WO2005000529A1 - Synthese d'un tampon a texture granulometrique fonctionnelle pour la planarisation chimique et mecanique - Google Patents

Synthese d'un tampon a texture granulometrique fonctionnelle pour la planarisation chimique et mecanique Download PDF

Info

Publication number
WO2005000529A1
WO2005000529A1 PCT/US2004/017638 US2004017638W WO2005000529A1 WO 2005000529 A1 WO2005000529 A1 WO 2005000529A1 US 2004017638 W US2004017638 W US 2004017638W WO 2005000529 A1 WO2005000529 A1 WO 2005000529A1
Authority
WO
WIPO (PCT)
Prior art keywords
pad
areas
functionally graded
polishing pad
different
Prior art date
Application number
PCT/US2004/017638
Other languages
English (en)
Other versions
WO2005000529A8 (fr
Inventor
Sudhanshu Misra
Pradip K. Roy
Manish Deopura
Original Assignee
Neopad Technologies Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Neopad Technologies Corporation filed Critical Neopad Technologies Corporation
Priority to JP2006515172A priority Critical patent/JP4746540B2/ja
Priority to CN2004800188570A priority patent/CN1816422B/zh
Priority to KR1020057022758A priority patent/KR101108024B1/ko
Publication of WO2005000529A1 publication Critical patent/WO2005000529A1/fr
Publication of WO2005000529A8 publication Critical patent/WO2005000529A8/fr
Priority to US11/251,547 priority patent/US7704125B2/en
Priority to US11/998,319 priority patent/US8864859B2/en
Priority to US14/489,177 priority patent/US9278424B2/en
Priority to US15/042,777 priority patent/US10220487B2/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • B24B37/24Lapping pads for working plane surfaces characterised by the composition or properties of the pad materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D7/00Bonded abrasive wheels, or wheels with inserted abrasive blocks, designed for acting otherwise than only by their periphery, e.g. by the front face; Bushings or mountings therefor
    • B24D7/14Zonally-graded wheels; Composite wheels comprising different abrasives
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D11/00Constructional features of flexible abrasive materials; Special features in the manufacture of such materials
    • B24D11/04Zonally-graded surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Definitions

  • polishing pads suitable for the chemical mechanical planarization (CMP) of semiconductor wafers In particular, our description relates to polishing pads synthesized to give spatial grading in material/tribological properties. These differential gradings may be used to achieve custom polishing of various dielectric and metal films during silicon integrated circuit (IC) processing.
  • CMP chemical mechanical planarization
  • CMP Chemical mechanical planarization
  • IC integrated circuit
  • CMP removes undesirable topographical features of the IC on the wafer, such as metal deposits subsequent to damascene processes.
  • CMD may be used to remove excess oxide produced during shallow trench isolation steps and to planarize inter-level dielectrics (ILD) & inter-metal dielectrics ( MD) including those having low-dielectric constant (low-k) materials.
  • CMP typically uses the combination of a reactive liquid medium and a polishing pad surface to provide the mechanical and chemical control appropriate in achieving planarity.
  • Either or both of the reactive liquid and the polishing surface of the pad may contain inorganic particles, often nano-size in dimension, to enhance the chemical reactivity and/or mechanical activity of the CMP process upon the wafer.
  • the pads in common use today are often made up of a substantially rigid, micro-porous polyurethane material selected to achieve the simultaneous functions of providing uniform slurry transport, of providing for the distribution and removal of the resulting particulate products, and of providing uniform distribution of applied pressure across the wafer.
  • the chemical interaction of the slurry fluid with the wafer results in the formation of a chemically modified layer at the polishing surface.
  • the abrasives in the slurry mechanically interact with the chemically-modified surface layers thereby resulting in material removal.
  • the abrasive particles generally participate by a mechanical abrasion in the step of material removal.
  • the CMP output that is to say, the removal rate (RR), the surface planarity, the surface defectivity, and the slurry selectivity. Therefore, the pad local material tribological/mechanical properties are important to both local and global planarization during a CMP process.
  • the material removal rate (RR) in a CMP process is a function of a number of factors, but particularly is a function of the slurry abrasive concentration and of the average coefficient of friction ("f ') in the pad/slurry/wafer interfacial region.
  • the extent of normal and shear forces during CMP and the 'real-time f depends both on the pad tribology and on the slurry rheology.
  • Recent studies (ref. 1&2) indicate that the compliance of pad material, the area of contact between wafer and the slurry abrasive particles, and the extent of lubricity of the system all play significant roles during any CMP process.
  • a Stribeck curve may be employed.
  • the partial lubrication regime offers increased pad life.
  • operation in the boundary lubrication regime offers greater stability, control, and predictability in RR and wafer uniformity.
  • Both & ' ⁇ ' have a linear relation with Kp r and hence with RR.
  • Kp r is inversely proportional to the pad storage modulus.
  • softer pads with higher compressibility provide larger RR and harder pads with lower compressibility provide lower RR.
  • a softer pad experiences a greater shear force at the leading edge of the wafer during polish since it is comparatively more compressed and thus creates a barrier that the wafer must continuously overcome during the wafer's motion.
  • the pad asperities at the wafer/pad interface are collapsed thereby increasing the shear force, components of both f and ⁇ .
  • the compliance, microtribology, and nanotribology of the pad material along with the slurry rheology, variously, the lubricity, the configuration of grooving or perforations, the abrasive concentration, the pH, and the temperature each may alter the shape of a specific Stribeck curve, may alter the relative extent of different lubrication regimes, and the values of
  • the pads that we describe herein, may have differing regions of pad tribology. By modulating pad tribology and choosing appropriate operating regimes of Stribeck curve lubricity for those modulated or differing regions, simultaneous local and global planarization may be attained even for wafers having dissimilar material stacks (such as may be found in metal/barrier, oxide/nitride constructs as found in shallow trench isolation (STI)); or those having the materials plied for sub-90nm technologies (such as the low-k and strain-Si materials and silicon-on-insulator (SOT) constructs), and those having complex device design and architectures such as those evident in "system-on a-chip” (SoC) and various vertical gate structures (e.g., the FinFET).
  • STI shallow trench isolation
  • a functionally graded polishing pad for a CMP process made up of a polishing pad having a polishing surface for polishing a silicon-containing wafer that is configured for use in a CMP procedure, wherein the polishing surface is one piece, substantially flat, and comprises at least two areas having differing physical characteristics.
  • the at least two areas may have have discrete boundaries or boundaries that are formed of mixtures of constituent polymers.
  • the at least two areas may each comprise a compositionally different polymeric material and the region between the areas may comprise mixtures of the compositionally different polymeric materials.
  • the shape of at least one of the at least two areas may be annular, an island., or of random shape.
  • the at least two areas each comprise a different polymeric material having different physical parameters and the change in composition between the at least two areas may be a step change, a continuous change., or a combination.
  • the pad may have an edge and a center and the edge comprises one area and the center comprises another area and the change in composition between the edge and the center may be continuous or step or another form.
  • the functionally graded polishing pad may be made by the process of sequential or simultaneous injection molding of at least two polymeric compositions to form said at least two areas.
  • the functionally graded polishing pad may have at least one of the at least two polymeric compositions comprising a block copolymer, perhaps where the block copolymer has differing constituent polymeric composition in the block copolymer over distance.
  • the key pad mechanical properties like hardness, modulus amongst other and physical properties like porosity are varied spatially.
  • Several types of grading can be conceived of which include patterns that can be classified as annular grading, island grading and step grading. Many other grading patterns are possible depending on the type of operation.
  • the most appropriate pad grading for a particular operation is developed using simulation methods based on parameters which include the wafer sweep and it's residence time distribution during CMP, coefficient of friction and other physical properties.
  • Fig. 1 depicts an exemplary generic Stribeck curve indicating the extent of contact between the rotating wafer, the rotating pad, and the encased abrasive particles in various lubrication regimes.
  • Fig. 2 depicts a schematic one-shot technique for producing graded polishing pads where isocyanate, polyamines/polyols, chain extenders, and other additives are all blended together.
  • Fig. 3 depicts a schematic prepolymer or "two-shot" technique for producing graded polishing pads.
  • Fig. 4 depicts a schematic step-graded pad having an outer ring of one formulation and an inner region of a second formulation having different tribological properties.
  • Fig. 5 depicts a step-graded pad having islands of one polymeric formulation and a surrounding region of a polymeric matrix having a different tribology.
  • Fig. 6 depicts a graded pad having a complex grading with varying shapes, sizes, and materials with tribological properties.
  • Fig. 7 shows a continuously graded pad where the center comprises a first formulation at the center and the edge comprises a second formulation. In this variation, the two formulations are completely miscible.
  • Fig. 8 shows a graded pad where the grading is a micro-domain morphological texture using injection of a block or grafted copolymer.
  • Fig. 9 depicts schematically a phase diagram (Temperature - Composition) of block copolymers with different equilibrium structures (bcc, hep and lamellae).
  • polishing pads suitable for use in the CMP procedure for polishing silicon-containing wafers mentioned above. These pads having at least two areas on the polishing surface adjacent the polished silicon wafer, having differing material characteristics. The areas may be discrete and well-defined with clear borders. The areas may be of a type wherein the material characteristics vary over a distance.
  • Our polishing pads comprise one or more polymeric materials that each may comprise one or more of the following: neat polymers having a specific molecular weight or molecular weight distribution, mixtures or alleys of one or more polymers, co-polymers of two or more species, and block co-polymers of two or more species.
  • the polymeric composition may be filled with other polymeric or non- polymeric materials, e.g., polymeric fibers, natural fibers, particulate materials such as discrete "crumbs" of polymeric materials, etc.
  • the surface of our described polishing pad adjacent the polished wafer surface may be substantially flat after completion of the synthesis steps described here. Grooved and hilled surfaces having the characteristics noted here.
  • Materials suitable for the described CMD polishing pads include a wide variety of polymers, including such diverse polymers as: polyurethane, polyurea, polycarbonate, the Nylons, various other polyesters, polysulfone, various polyacetals, and the like. These polymeric material and their chemically related brethren and may be used for the fabrication of the CMP pad. Other polymer chemistries may, of course, be used. Formulations of using these materials necessarily involve some understanding of the relationships between the structure of the polymeric material and the resulting physical properties. The processing characteristics of the various constituent and composite materials, e.g., inter polymer compatibility between various areas, reactivity, and viscosity.
  • polyurethane and polyurea chemistry system One polymer system having a significant scientific, engineering, and commercial history is the polyurethane and polyurea chemistry system. These polymer products often comprise isocyanates, polyols, polyamines, chain extenders, etc. Commercially speaking, more than 90% of isocyanates are toluene-diisocyanate (TDI) or diphenylmethane-diisocyanate (MDI) and its derivatives. Others include polymethylene polyphenyl isocyanate (PAPI). Isocyanate functionality is important as it leads to crosslinking and therefore hardness as well as other pad compliances. The size and molecular weight of the polyamines/polyols reactants contribute to the flexibility, low temperature properties, hydrophilicity, light stability and processing characteristics of the resulting polymer.
  • TDI toluene-diisocyanate
  • MDI diphenylmethane-diisocyanate
  • PAPI polymethylene polypheny
  • Chain extenders are often low molecular weight diamines or diols used to increase urea/urethane content in the final polymer. They react with the isocyanate and become part of the "hard segment" in the resultant polymer and often substantially influence the hardness as well as the elastic compliance. Many available chain extenders will also modify process characteristics such as gel time and viscosity build-up. The strength of the ultimate product is also often affected.
  • Crosslinkers are characterized by molecular weight and functionality. Low molecular weight molecules are effective at crosslinking the polymer matrix on a molar basis and effect increased resistance to swelling, low temperature flexibility, and processing kinetics. [0035] There are two well-known approaches for formulating polyurea/polyurethanes.
  • Figure 2 shows a ⁇ schematic of the one shot technique.
  • the components e.g., a long chain diol, a diisocyanate, and, as needed, a chain extender
  • Figure 3 shows a schematic depiction of the two shot technique.
  • the isocyanate is pre-reacted in a first step with the long chain diamine/diol to form a high molecular weight isocyanate, typically known as the "prepolymer.”
  • This functionahzed prepolymer is then further reacted with diamine/diol curatives or chain extenders to complete the polyurea/polyurethane formation. This process is more easily controlled but requires higher processing temperatures often in the neighborhood of 100°C.
  • polishing pads may comprise a neat polymer such as the polyurethane or polyurea materials discussed just above or may comprise two or more different molecular weight product polyurethanes or polyureas materials in the different areas on the polishing surface.
  • Another variation of the process for making functionally graded pads comprises a process known as multiple point injection molding (also called coinjection sandwich molding). Multiple injection molding is a sequential process in which two or more polymeric materials are utilized, however each of the materials is injected into the mold at a different time. This process is different from the in situ multiple injection molding process described below. [0040] An example of a procedure for synthesizing a two-area graded pad where two different polymeric compositions are used, one for each area, is this. As shown in Figure 4, a first outer annular ring of the pad is molded using an injection molding process. The completed outer ring is then placed in a second mold and the center of the pad ring is then filled with a second polymeric material.
  • This method may be used to form graded pads with more than two areas and with more than two steps. Further, this method may be used to achieve any step grading pattern from the simplest, most well defined annular patterns to the most complex and random of patterns.
  • Figures 5 and 6 show more complex patterns as may be made using this process. The crosses shown in Figure 5 (as region 2) are fenced by cross shaped forms or dividers so that neither polymer invades the other's space. The cross-shaped molds or forms are removed from the partially molded pad after the one or the other of the regions is filled.
  • Figure 6 shows a non-regular set of patterns defining, on a CMD polishing pad (200), a variety of chosen areas: ovals (202, 203, 204) and flag (205).
  • the respective polymers may each be a different polymer of the types discussed above or at least two differing ones. Again, such patterns may be achieved by using appropriate mold geometries.
  • Molds including multiple in-situ injection ports may be used to make a graded pad.
  • a mold is selected at least two ports, generally independent, for injection of polymer.
  • At least two different polymers are injected through the ports during the same injection step, often at the same time, to fill the mold.
  • the usual polymer engineering calculations may be used to calculate the fluid flow and heat transfer needed for selection of appropriate injection points and of injection flow rates for the different polymers being fed into the mold.
  • Figure 7 shows a continuously graded polymer pad (210) made using this process by injecting a first polymer from the outer periphery (212) of a mold while simultaneously injecting a second polymeric material from the center.
  • a block copolymer system may be used to produce a graded pad.
  • Figure 8 shows a phase diagram portraying, in a functional way, the relationship between the block copolymer composition (as the relative % constituents) and the crystal structure (BCC, HCP, etc.) of the final product.
  • the composition of the block copolymer is gradually.
  • the difference in geometry is achieved in the block copolymer by spatial variance.
  • hardness gradations may be achieved by varying the concentration of the molecular units of the block copolymer over distance since the two molecular units A and B used to make the pad will be of different hardness values. Furthermore, the variation in crystal structure produced as a result of the compositional relationship shown in Figure 9 creates additional and controllable variations in physical parameters.
  • Molecular units suitable for these procedures involving block copolymers include such materials as styrene, isoprene, butadiene, urethanes/urea, long-chain diols and diamines, etc.
  • a method to produce a graded pad having included microporosity would be to include a gas during the injection molding step to achieve functional grading of porosity in the polymer polishing pad. Gas may be dispersed into and injected into the mold from different ports with different flow rates in order to attain grading. The resulting pad will contain differing amounts of included gas at differing points and achieve a difference in hardness or density.
  • Particular polymeric systems are amenable to molding steps using the RLM techniques.
  • the constituent monomeric materials and appropriate crosslinking agents e.g., glycerol
  • the initiating agents and chain extenders are added and the resulting mixture is polymerized while molding.
  • multiple ports are used to inject two or more types of monomeric units (and corresponding chain extenders) to achieve gradation in chemical structure. Gradation in chemical structure will result in gradation of the mechanical and physical properties.
  • this method may be used to produce step graded pads as well as the continuous pads discussed above.
  • polishing pads having the graded characteristics may be produced. This way of producing simple physical mixtures of polymers is direct and easily applied to changing demands upon a producer. The resulting gradation of properties will be according to the mechanical and physical characteristics of the individual polymers.
  • This method can lead to microdomain gradation.
  • the polymer fluid being molded is mixed with gas in order to form a solution mixture. Utilizing two or more such solutions with different chemistries will lead to a gradation of both the mechanical properties and the porosity.
  • the goal of using a graded pad for a CMP process is to allow different regions of the wafer being polished to be exposed to different chosen regions of the polymer pad in a selected manner. For example: it may be beneficial for the outer perimeter of the wafer to be exposed to the softer regions of the pad where the frictional shear force is higher than the harder regions of the pad; in comparison it may be beneficial for the inner regions of the wafer to be exposed to the harder regions of the pad to achieve a higher planarization length.

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Porous Artificial Stone Or Porous Ceramic Products (AREA)

Abstract

Le taux d'élimination de matériau, la défectuosité, l'érosion, et le cintrage et la durée de traitement efficace d'un traitement de planarisation chimique et mécanique dépendent de la tribologie locale (la dureté, les niveaux de souplesse) et les propriétés physiques (taille et densité de pores, aspérités) du matériau de tampon. Des tampons à texture granulométrique présentent une modulation spatiale dans diverses propriétés matérielles/tribologiques adaptées à la planarisation : (i) de piles de matériaux dissemblables tels que des caissons d'isolation peu profonds métalliques/barrières ou d'oxyde/nitrure à minimum de cintrage, d'érosion, de surpolissage et de nanophotographie, (ii) de matériaux spécialisés (à faible constante diélectrique, silicium de déformation, silicium sur isolant) avec un minimum d'érosion et de sélectivité de dispersion, (iii) des dispositifs à configuration et architecture complexes (système sur une puce et grille verticale) à différente densité de configurations et de tailles de puce. Divers types de texture sont décrits dans l'invention comprenant la texturation annulaire, à îlots, à étages et continue. Le modèle de texturation de tampons pour un traitement de planarisation chimique et mécanique pour une chimie de dispersion particulière et un balayage de tranches sur le tampon est basé sur les propriétés locales de matériau de tampon (dureté, niveaux de souplesse, taille de pores et aspérités). On s'attend à ce que de tels tampons polymériques texturés de manière fonctionnelle aient un impact considérable dans la planarisation de circuits imprimés en silicium mis à l'échelle (inférieur à 100 nm), lecteur de disque, micromachines (systèmes mécaniques microélectriques) et substrats nanocomposites.
PCT/US2004/017638 2003-03-24 2004-06-03 Synthese d'un tampon a texture granulometrique fonctionnelle pour la planarisation chimique et mecanique WO2005000529A1 (fr)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2006515172A JP4746540B2 (ja) 2003-06-03 2004-06-03 化学機械的平坦化のための機能的に漸次的変化されたパッドの組み立て
CN2004800188570A CN1816422B (zh) 2003-06-03 2004-06-03 用于化学机械平整化的功能分级垫的合成
KR1020057022758A KR101108024B1 (ko) 2003-06-03 2004-06-03 화학 기계적 평탄화를 위한 기능적으로 그레이딩된 패드의합성
US11/251,547 US7704125B2 (en) 2003-03-24 2005-10-14 Customized polishing pads for CMP and methods of fabrication and use thereof
US11/998,319 US8864859B2 (en) 2003-03-25 2007-11-28 Customized polishing pads for CMP and methods of fabrication and use thereof
US14/489,177 US9278424B2 (en) 2003-03-25 2014-09-17 Customized polishing pads for CMP and methods of fabrication and use thereof
US15/042,777 US10220487B2 (en) 2003-03-25 2016-02-12 Customized polishing pads for CMP and methods of fabrication and use thereof

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US47530503P 2003-06-03 2003-06-03
US47537403P 2003-06-03 2003-06-03
US47528303P 2003-06-03 2003-06-03
US47530703P 2003-06-03 2003-06-03
US60/475,374 2003-06-03
US60/475,305 2003-06-03
US60/475,307 2003-06-03
US60/475,283 2003-06-03

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US10/897,192 Continuation-In-Part US7377840B2 (en) 2003-03-24 2004-07-21 Methods for producing in-situ grooves in chemical mechanical planarization (CMP) pads, and novel CMP pad designs

Related Child Applications (2)

Application Number Title Priority Date Filing Date
US11/060,898 Continuation-In-Part US20060189269A1 (en) 2003-03-24 2005-02-18 Customized polishing pads for CMP and methods of fabrication and use thereof
US11/251,547 Continuation-In-Part US7704125B2 (en) 2003-03-24 2005-10-14 Customized polishing pads for CMP and methods of fabrication and use thereof

Publications (2)

Publication Number Publication Date
WO2005000529A1 true WO2005000529A1 (fr) 2005-01-06
WO2005000529A8 WO2005000529A8 (fr) 2005-03-17

Family

ID=33556644

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2004/017638 WO2005000529A1 (fr) 2003-03-24 2004-06-03 Synthese d'un tampon a texture granulometrique fonctionnelle pour la planarisation chimique et mecanique

Country Status (5)

Country Link
JP (2) JP4746540B2 (fr)
KR (1) KR101108024B1 (fr)
CN (1) CN1816422B (fr)
SG (2) SG2012073722A (fr)
WO (1) WO2005000529A1 (fr)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008546167A (ja) * 2005-02-18 2008-12-18 ネオパッド テクノロジーズ コーポレイション Cmp用のカスタマイズされた研磨パッド、ならびにその製造方法および使用
KR20130092625A (ko) * 2005-02-18 2013-08-20 넥스플래너 코퍼레이션 화학적 기계적인 평탄화를 위해 적합화된 연마 패드와 그 연마 패드의 제조 및 사용 방법
US8697576B2 (en) 2009-09-16 2014-04-15 Cabot Microelectronics Corporation Composition and method for polishing polysilicon
US8815110B2 (en) 2009-09-16 2014-08-26 Cabot Microelectronics Corporation Composition and method for polishing bulk silicon
US8883034B2 (en) 2009-09-16 2014-11-11 Brian Reiss Composition and method for polishing bulk silicon
US9731456B2 (en) 2013-03-14 2017-08-15 Sabic Global Technologies B.V. Method of manufacturing a functionally graded article

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100445037C (zh) * 2007-09-21 2008-12-24 南京航空航天大学 用于化学机械抛光的分层冷冻磨料抛光垫及其制备方法
CN102119069B (zh) * 2008-08-08 2015-04-15 可乐丽股份有限公司 抛光垫及抛光垫的制造方法
US9156124B2 (en) 2010-07-08 2015-10-13 Nexplanar Corporation Soft polishing pad for polishing a semiconductor substrate
KR102350350B1 (ko) * 2014-04-03 2022-01-14 쓰리엠 이노베이티브 프로퍼티즈 컴파니 폴리싱 패드 및 시스템과 이의 제조 및 사용 방법
TWI689406B (zh) * 2014-10-17 2020-04-01 美商應用材料股份有限公司 研磨墊及製造其之方法
CN105397609B (zh) * 2015-11-03 2017-06-27 大连理工大学 一种光学零件高精度平面的修形加工方法
CN110815038B (zh) * 2018-08-08 2021-06-04 湖北鼎龙控股股份有限公司 抛光垫及其制备方法、应用

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5197999A (en) * 1991-09-30 1993-03-30 National Semiconductor Corporation Polishing pad for planarization
US6062958A (en) * 1997-04-04 2000-05-16 Micron Technology, Inc. Variable abrasive polishing pad for mechanical and chemical-mechanical planarization
US6257973B1 (en) * 1999-11-04 2001-07-10 Norton Company Coated abrasive discs
US6413153B1 (en) * 1999-04-26 2002-07-02 Beaver Creek Concepts Inc Finishing element including discrete finishing members

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63297416A (ja) * 1987-05-28 1988-12-05 Dainippon Ink & Chem Inc 熱硬化型ウレタンエラストマ−組成物
JPH01257018A (ja) * 1988-04-07 1989-10-13 Fuji Heavy Ind Ltd 異種発泡体の同時一体成形法
JPH09262759A (ja) * 1996-03-28 1997-10-07 Naoetsu Seimitsu Kako Kk 面加工装置
JPH11226861A (ja) * 1998-02-13 1999-08-24 Toshiba Mach Co Ltd 研磨布及び平面研磨装置
JPH11333699A (ja) * 1998-03-24 1999-12-07 Sony Corp 研磨パッド、研磨装置および研磨方法
US5897426A (en) * 1998-04-24 1999-04-27 Applied Materials, Inc. Chemical mechanical polishing with multiple polishing pads
JPH11347919A (ja) * 1998-06-09 1999-12-21 Oki Electric Ind Co Ltd 半導体素子の研磨平坦化装置及び研磨平坦化方法
JP2000158325A (ja) * 1998-11-26 2000-06-13 Promos Technol Inc 化学機械研磨の装置と方法
JP2000176829A (ja) * 1998-12-18 2000-06-27 Tdk Corp 研磨装置
JP3425894B2 (ja) * 1999-05-27 2003-07-14 ロデール ホールディングス インコーポレイテッド 加工品の表面を平坦化する方法
US6234875B1 (en) * 1999-06-09 2001-05-22 3M Innovative Properties Company Method of modifying a surface
JP2001100545A (ja) * 1999-09-30 2001-04-13 Ricoh Co Ltd 中間転写体及び該中間転写体を用いた画像形成装置
KR100394572B1 (ko) * 2000-12-28 2003-08-14 삼성전자주식회사 복합특성을 가지는 씨엠피 패드구조와 그 제조방법
US6632259B2 (en) * 2001-05-18 2003-10-14 Rodel Holdings, Inc. Chemical mechanical polishing compositions and methods relating thereto
US6841480B2 (en) * 2002-02-04 2005-01-11 Infineon Technologies Ag Polyelectrolyte dispensing polishing pad, production thereof and method of polishing a substrate
US20040171339A1 (en) * 2002-10-28 2004-09-02 Cabot Microelectronics Corporation Microporous polishing pads

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5197999A (en) * 1991-09-30 1993-03-30 National Semiconductor Corporation Polishing pad for planarization
US6062958A (en) * 1997-04-04 2000-05-16 Micron Technology, Inc. Variable abrasive polishing pad for mechanical and chemical-mechanical planarization
US6413153B1 (en) * 1999-04-26 2002-07-02 Beaver Creek Concepts Inc Finishing element including discrete finishing members
US6257973B1 (en) * 1999-11-04 2001-07-10 Norton Company Coated abrasive discs

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008546167A (ja) * 2005-02-18 2008-12-18 ネオパッド テクノロジーズ コーポレイション Cmp用のカスタマイズされた研磨パッド、ならびにその製造方法および使用
KR20130092625A (ko) * 2005-02-18 2013-08-20 넥스플래너 코퍼레이션 화학적 기계적인 평탄화를 위해 적합화된 연마 패드와 그 연마 패드의 제조 및 사용 방법
US8715035B2 (en) 2005-02-18 2014-05-06 Nexplanar Corporation Customized polishing pads for CMP and methods of fabrication and use thereof
KR20150065914A (ko) * 2005-02-18 2015-06-15 넥스플래너 코퍼레이션 화학적 기계적인 평탄화를 위해 적합화된 연마 패드와 그 연마 패드의 제조 및 사용 방법
KR101616535B1 (ko) * 2005-02-18 2016-04-29 넥스플래너 코퍼레이션 화학적 기계적인 평탄화를 위해 적합화된 연마 패드와 그 연마 패드의 제조 및 사용 방법
KR101688030B1 (ko) 2005-02-18 2016-12-20 넥스플래너 코퍼레이션 화학적 기계적인 평탄화를 위해 적합화된 연마 패드와 그 연마 패드의 제조 및 사용 방법
US8697576B2 (en) 2009-09-16 2014-04-15 Cabot Microelectronics Corporation Composition and method for polishing polysilicon
US8815110B2 (en) 2009-09-16 2014-08-26 Cabot Microelectronics Corporation Composition and method for polishing bulk silicon
US8883034B2 (en) 2009-09-16 2014-11-11 Brian Reiss Composition and method for polishing bulk silicon
US9731456B2 (en) 2013-03-14 2017-08-15 Sabic Global Technologies B.V. Method of manufacturing a functionally graded article

Also Published As

Publication number Publication date
WO2005000529A8 (fr) 2005-03-17
JP2006526902A (ja) 2006-11-24
JP4746540B2 (ja) 2011-08-10
KR20060017824A (ko) 2006-02-27
JP2010135861A (ja) 2010-06-17
SG2012073722A (en) 2016-11-29
SG168412A1 (en) 2011-02-28
JP5448177B2 (ja) 2014-03-19
CN1816422B (zh) 2011-06-22
KR101108024B1 (ko) 2012-01-25
CN1816422A (zh) 2006-08-09

Similar Documents

Publication Publication Date Title
JP5448177B2 (ja) 化学機械的平坦化のための機能的に漸次的変化されたパッドの組み立て
US10220487B2 (en) Customized polishing pads for CMP and methods of fabrication and use thereof
TWI385050B (zh) 用於cmp之特製拋光墊及其製造方法及其用途
US8864859B2 (en) Customized polishing pads for CMP and methods of fabrication and use thereof
US20060189269A1 (en) Customized polishing pads for CMP and methods of fabrication and use thereof
US7704125B2 (en) Customized polishing pads for CMP and methods of fabrication and use thereof
EP1848569B1 (fr) Tampons de polissage sur mesure pour pmc et procedes de leur utilisation
EP1284841B1 (fr) Tampons de polissage rainures de planarisation mecanique chimique
TWI634968B (zh) 包含具有透明基準層上的隙縫或開孔之拋光表面層之拋光墊
KR101107842B1 (ko) 연마패드 및 이를 사용하는 반도체 디바이스의 제조방법
KR20230038398A (ko) 압축성 비-망상형 폴리우레아 폴리싱 패드
KR101616535B1 (ko) 화학적 기계적인 평탄화를 위해 적합화된 연마 패드와 그 연마 패드의 제조 및 사용 방법
CN115431168A (zh) 抛光垫和使用该抛光垫制备半导体器件的方法
KR20070057157A (ko) 연마 패드 및 패드 제거 속도와 평탄화의 개선 방법
US20090017729A1 (en) Polishing pad and methods of improving pad removal rates and planarization
JP2023041642A (ja) 不均一なフルオロポリマー混合物研磨パッド
JP2023041640A (ja) フッ素化ポリ尿素コポリマーパッド
JP2024096673A (ja) フッ化ポリマー、及び、多様な溝パターンを有する化学機械研磨パッド

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): BW GH GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
CFP Corrected version of a pamphlet front page

Free format text: UNDER (54) PUBLISHED TITLE REPLACED BY CORRECT TITLE

WWE Wipo information: entry into national phase

Ref document number: 11251547

Country of ref document: US

WWE Wipo information: entry into national phase

Ref document number: 1020057022758

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2006515172

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 20048188570

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 1020057022758

Country of ref document: KR

122 Ep: pct application non-entry in european phase
WWP Wipo information: published in national office

Ref document number: 11251547

Country of ref document: US