WO2003044078A9 - Couches antireflets pour photolithographie et procedes de preparation associes - Google Patents

Couches antireflets pour photolithographie et procedes de preparation associes

Info

Publication number
WO2003044078A9
WO2003044078A9 PCT/US2002/036327 US0236327W WO03044078A9 WO 2003044078 A9 WO2003044078 A9 WO 2003044078A9 US 0236327 W US0236327 W US 0236327W WO 03044078 A9 WO03044078 A9 WO 03044078A9
Authority
WO
WIPO (PCT)
Prior art keywords
grams
composition
absorbing
agent
added
Prior art date
Application number
PCT/US2002/036327
Other languages
English (en)
Other versions
WO2003044078A1 (fr
Inventor
Teresa Baldwin
Joseph Kennedy
Nancy Iwamoto
Tadashi Nakano
William Bedwell
Jason Stuck
Mello Hebert
Arlene Suedmeyer
Original Assignee
Honeywell Int Inc
Teresa Baldwin
Joseph Kennedy
Nancy Iwamoto
Tadashi Nakano
William Bedwell
Jason Stuck
Mello Hebert
Arlene Suedmeyer
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/001,143 external-priority patent/US6824879B2/en
Priority claimed from PCT/US2001/045306 external-priority patent/WO2003044600A1/fr
Application filed by Honeywell Int Inc, Teresa Baldwin, Joseph Kennedy, Nancy Iwamoto, Tadashi Nakano, William Bedwell, Jason Stuck, Mello Hebert, Arlene Suedmeyer filed Critical Honeywell Int Inc
Priority to JP2003545712A priority Critical patent/JP2005509913A/ja
Priority to EP02793921A priority patent/EP1478682A4/fr
Priority to AU2002359387A priority patent/AU2002359387A1/en
Priority to US10/495,686 priority patent/US9069133B2/en
Publication of WO2003044078A1 publication Critical patent/WO2003044078A1/fr
Priority to AU2003295517A priority patent/AU2003295517A1/en
Priority to PCT/US2003/036354 priority patent/WO2004044025A2/fr
Priority to TW092131890A priority patent/TW200426199A/zh
Publication of WO2003044078A9 publication Critical patent/WO2003044078A9/fr

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement

Definitions

  • the present invention relates generally to anti-reflective coating materials and more specifically to anti-reflective layers for use in photolithography and methods of producing the materials.
  • photolithography can result from optical interference from light reflecting off an underlying layer on a semiconductor wafer. Variations in photoresist thickness due to the topography of the underlying layer also induce linewidth variations.
  • Anti-reflective coatings (ARC) applied under a .photoresist layer have been used to prevent interference from reflection of the '
  • anti-reflective coatings partially planarize. the wafer topography, helping to improve linewidth variation;, over steps because the photoresist
  • Organic polymer films particularly those that absorb at the i-line (365 nm) and g-line (436 nm) wavelengths conventionally used to expose photoresists, and at the recently used 25 .157 nm, 193 nm, 248 nm wavelengths, have been employed or are being tested as anti- reflective coatings.
  • the fact that the organic ARC's share many chemical properties with the organic photoresists can limit usable process sequences.
  • ARC's including both organic and inorganic ARC's, may intermix with photoresist layers.
  • Organic and inorganic ARC's can mix with photoresist layers if they are not sufficiently baked or cured.
  • thermosetting binders as additional components of organic ARC's, as described. for example in U.S. Patent No. 5,693,691 to
  • Flaim et al. Dyes may also be. incorporated in organic ARC's, as well as, optionally, additional additives such as wetting agents, adhesions promoters, preservatives, and plasticizers, as described in U. S. Patent No. 4,910,122 to Arnold et al. Another attempt to avoid intermixing is found in US Patent 6,268,108 issued to Iguchi et al. However, the compositions for forming ant-reflective coatings found in Iguchi must be irradiated with
  • Photoresists and anti-reflective coatings can also influence one another to the extent 15 that the chemical properties of the anti-reflective coating and/or the resist material can lead the resist to "fall over" once a pattern has been developed into the resist.
  • the' • patterned resist sidewall can't maintain an approximate 90-degree angle with respect to the anti-reflective coating after photoresist developing.
  • the resist w ⁇ ll take on a 120- degree or an 80-degree angle with respect to the anti-reflective coating.
  • Photoresists and anti-reflective coatings can also have substandard or unacceptable etch selectivity or stripping selectivity. Poor etch selectivity and/or stripping selectivity can lead to low etch rates for the film. Poor etch selectivity can also lead to poor transfer of ,
  • the organic content of this product is approximately 47% and is formulated in a high flashpoint solvent, such as n-propoxypropanol. Its "n” and “k” properties at 193 nm wavelength are approximately 1.9 and 0.6 respectively. However, with all of these materials, it would be beneficial to be able to modify the materials, coatings and films described therein to improve etch selectivity and/or stripping selectivity and to minimize fill bias.
  • an absorbing anti-reflective coating and lithography material that a) absorbs strongly and uniformly in the ultraviolet spectral region, b) can keep the resist material from "falling over" and expanding outside or contracting inside of the intended resist line, c) would be impervious to photoresist developers and methods of production of the SOG anti-reflective coating described; d) can satisfy any goals of increasing etch selectivity and/or stripping selectivity and e) can satisfy any goals of minimizing fill bias and voiding in via structures would be desirable to advance the production of layered materials, electronic components and semiconductor components.
  • An anti-reflective coating material for ultraviolet photolithography comprises at least one inorganic-based compound; at least one absorbing compound and at least one material modification agent.
  • the at least one material modification agent may include any compound or composition that can modify the coating material to improve the photolithographic, compatibility or physical quality of the resulting film, such as by improving the etch selectivity and/or stripping selectivity or by minimizing the fill bias.
  • the at least one material modification agent may comprise at least one porogen, at least one high-boiling solvent, at least one catalyst, at least one leveling agent, at least one pH tuning agent, at least one replacement solvent, at least one capping agent, at least one adhesion promoter, such as a resin-based material and/or a combination thereof that are incorporated into the inorganic- based material or compound.
  • Resin-based adhesion promoters may comprise phenolic- containing resins, novolac resins, such as CRJ-406 or HRJ- 11040 (both from Schenectady International, Inc.), an organic acrylate resin and/or a styrene resin.
  • Other adhesion promoters may comprise polydimethylsiloxane materials, ethoxy or hydroxy-containing silane monomers, vinyl-containing silane monomers, acrylated silane monomers, or silyl hydrides.
  • the inorganic materials and inorganic-based compounds may comprise any compound, material or composition that comprises an inorganic moiety, such as those that are ' silicon-based, gallium-based; arsenic-based, boron-based or a combination of those inorganic elements and materials.
  • inorganic materials may comprise spin-on glass ⁇ '
  • materials such as methylsiloxane, methylsilsesquioxane, phenylsiloxahe, pheriylsilsesquioxane, dimethylsiloxane, diphenylsiloxane, methylphenylsiloxane, methylphenylsilsesquioxane, silicate polymers and mixtures thereof.
  • the group known as "spin-on-glass materials” also comprises siloxane polymers,, hydrogensiloxane polymers of the general formula (Ho-i.oSiO 1.5-2.0)5. and hydrogensilsesquioxane polymers, which have the formula (HSiO t ⁇ x , where x is greater than about four. Also ' included are copolymers- of hydrogensilsesquioxane and
  • organosiloxane polymers include organosiloxane polymers, acrylic siloxane polymers, silsesquioxane-based polymers, derivatives of silici acid, organohydridosiloxane polymers of the general formula (Ho- ⁇ .oSiO ⁇ .5- 2 .o) ⁇ (Ro- ⁇ .oSiO ⁇ .5.2.o)m, an organohydridosilsesquioxane polymers of the general formula (HSiO ⁇ . 5 ) n (RSiO ⁇ . 5 ) m , where m is greater than zero and the sum of n and m is greater than about four and R is alkyl or aryl.
  • Absorbing compounds suitable for incorporation into the inorganic materials and inorganic-based compounds are strongly absorbing at wavelengths less than 375 nm or less than about 260 nm.
  • suitable absorbing compounds are around wavelengths such as 248 nm, 193 nm, 157 nm or .other ultraviolet wavelengths, such as 365 nm, that may be used in photolithography.
  • the chromophores of suitable compounds typically have at least one benzene ring, and in those instances where there are two or more benzene rings, those rings may or may not be fused.
  • Incorporatable absorbing compounds have an accessible reactive group attached to the chromophore, wherein the reactive groups can include hydroxyl groups, amine groups, carboxylic acid groups, and substituted silyl groups with silicon bonded to one, two, or three alkoxy group, acetoxy group, hydroxy or halogen atom substituents.
  • the reactive groups may be directly bonded to the chromophore or the reactive groups may be attached to the chromophore through a hydrocarbon bridge, a carbonyl- containing group or an ester, ketone and or oxygen linkage.
  • the chromophores may also comprise silicon-based compounds or polymers similar to those polymers used to formulate the inorganic materials.
  • At least one material modification agent such as at least one porogen, at least one high-boiling solvent, at least one catalyst, at least one pH tuning agent, at least one capping agent, at least one replacement solvent, at least one leveling agent, at least one adhesion promoter, such as a resin-based material and/or a combination thereof that are incorporated into the inorganic-based material or compound.
  • Resin-based adhesion promoters may comprise phenolic-containing resins, novolac resins, such as CRJ-406 or HRJ-11040 (both from Schenectady International, Inc.), an organic acrylate resin and/or a styrene resin.
  • adhesion promoters may comprise polydimethylsiloxane materials, ethoxy or hydroxy-containing silane monomers, vinyl-containing silane monomers, acrylated silane monomers, or silyl hydrides.
  • the material modification agent whether it is the porogen, the high-boiling solvent the catalyst, the leveling agent, the pH tuning agent, the one replacement solvent, the capping agent, the one adhesion promoter and/or a combination thereof is added in order to modify or adjust the anti-reflective coating material in order to meet the design goals of the component or layered material being manufactured.
  • the material modification agent will a) increase the etch selectivity and/or strip rate of the coating material; b) minimize the fill bias and/or voiding in the via structures; and/or c) reduce resist "fall over" once a pattern has been developed in the resist.
  • Absorbing and anti-reflective materials are conventionally synthesized from silane and silicon-based reactants such as triethoxysilane, tetraethoxysilane, methyltriethoxysilane, dimethyldiethoxysilane, tetramethoxysilane, methyltrimethoxysilane, trimethoxysilane, dimethyldimethoxysilane, methylphenyldimethoxysilane, methylphenyldiethoxysilane, phenyltriethoxysilane, phenyltrimethoxysilane, diphenyldiethoxysilane, diphenyldimethoxysilane, tetracetoxysilane, methyltriacetoxysilane, dimethyldiacetoxysilane, phenyltriacetoxysilane, and diphenyldiacetoxysilane.
  • silicon-based reactants such as triethoxysilane, te
  • gallium, arsenic, germanium, boron and similar atoms and materials may also be used in conjunction with silicon atoms or as the sole atomic material to produce an absorbing and anti-reflective material.
  • Halosilanes, particularly chlorosilanes are also used as silane reactants.
  • a method of making an absorbing anti-reflective composition includes combining at least one inorganic-based composition, at least one mcorporatable absorbing compound, and at least one material modification agent that may comprise at least one porogen, at least one high-boiling solvent, at least one leveling agent, at least one catalyst, at least one pH tuning agent, at least one capping agent, at least one adhesion promoter and/or at least one replacement solvent, an acid/water mixture, such as a nitric acid/water mixture, and at least one solvent to form a reaction mixture and then either left to react at about room temperature in an exothermic fashion, warmthing to a temperature greater than 40°C or refluxing the reaction mixture to form the absorbing composition.
  • material modification agent may comprise at least one porogen, at least one high-boiling solvent, at least one leveling agent, at least one catalyst, at least one pH tuning agent, at least one capping agent, at least one adhesion promoter and/or at least one replacement solvent, an acid/water mixture, such
  • the anti-reflective composition formed is then diluted with at least one solvent to provide coating solutions that produce films of various thicknesses.
  • the coating solutions may be applied by any suitable method or device, such as spin-on coating, dripping, rolling or dip coating.
  • the material modification agent such as the at least one porogen, at least one high-boiling solvent, at least one leveling agent, at least one capping agent, at least one catalyst, at least one pH tuning agent, at least one replacement solvent and/or a combination thereof may also and alternatively be added during the refluxing step or after the refluxing step.
  • At least one inorganic-based composition or inorganic material, at least one mcorporatable absorbing compound, at least one material modification agent, such as at least one porogen, at least one high-boiling solvent, at least one catalyst, at least one pH tuning agent, at least one leveling agent, at least one capping agent, at least one replacement solvent, at least one adhesion promoter and/or a combination thereof, and at least one additional solvent can be combined to form a reaction mixture.
  • the reaction mixture is then either left to react at about room temperature in an exothermic fashion, warmed to a temperature about or greater than 40°C or refluxed to form the absorbing anti-reflective composition.
  • the anti-reflective composition formed is diluted with at least one solvent to provide coating solutions that can be applied to a surface by any suitable process to produce films of various thicknesses.
  • the pH tuning agent in this method may either be a variation of the conventional acid/water mixture, in that a different acid may be added, less acid may be added, or more water may be added.
  • the basic principal still remains - which is that not only the pH is influenced by the pH tuning agent, but the chemical, mechanical and physical properties of the ARC are also influenced resulting in a more compatible resist/ARC couple.
  • an absorbing anti-reflective composition comprising at least one silicon-based compound, at least one mcorporatable absorbing compound that absorbs light at wavelengths less than about 375 nm, and at least one material modification agent, such as at least one porogen, at least one high- boiling solvent, at least one capping agent, at least one catalyst, at least one leveling agent, at least one pH tuning agent, at least one adhesion promoter and/or at least one replacement solvent.
  • material modification agent such as at least one porogen, at least one high- boiling solvent, at least one capping agent, at least one catalyst, at least one leveling agent, at least one pH tuning agent, at least one adhesion promoter and/or at least one replacement solvent.
  • absorbing spin-on compositions wherein at least one of the silicon-based compounds or the mcorporatable absorbing compound comprises at least one alkyl group, alkoxy group, acetoxy group, ketone group or azo group.
  • compositions comprising the absorbing compounds of the chemical class comprising 9-anthracene carboxy-alkyl tnalkoxysilane are provided.
  • a method of synthesizing any one of the 9-anthracene carboxy- alkyl trialkoxysilanes includes combining 9-anthracene carboxylic acid, chloroalkyltrialkoxysilane, triethylamine, and a solvent to form a reaction mixture; refluxing the reaction mixture; cooling the refluxed reaction mixture to form a precipitate and a remaining solution; and filtering the remaining solution to produce liquid 9-anthracene carbdxy-alkyl trialkoxysilane.
  • Absorbing compounds may also be inorganic-based compounds.
  • yet another method of making an absorbing anti-reflective composition requires at least one inorganic-based monomer to be added after the polymerization reaction has started in a "staged silane addition" manner. Any remaining silane monomers, the mcorporatable absorbing compound that absorbs light at wavelengths less than about 375 nm, and the material modification agent that includes the at least one porogen, at least one high- boiling solvent, at least one catalyst, at least one pH tuning agent, at least one leveling agent, at least one adhesion promoter, at least one replacement solvent, at least one capping agent and/or a combination thereof is then added to the reaction mixture after polymerization.
  • the material modification agent that includes the at least one porogen, at least one high- boiling solvent, at least one catalyst, at least one pH tuning agent, at least one leveling agent, at least one adhesion promoter, at least one replacement solvent, at least one capping agent and/or a combination thereof is then added to the reaction mixture after polymerization.
  • Figs, la - If show the chemical formulas of absorbing compounds incorporated into inorganic-based compositions and materials.
  • Figs. 2a-2h illustrate the use of absorbing anti-reflective compositions in a photolithography process.
  • Fig. 3 graphically illustrates MW (daltons) v. days at about 40°C for a contemplated compound.
  • Fig. 4 graphically illustrates MW (daltons) v. days at about 40°C for a contemplated compound.
  • Fig. 5 graphically illustrates MW (daltons) v. days at about 40°C for a contemplated compound.
  • Fig. 6 graphically illustrates Thickness (Angstroms) v. days at about 40°C for a contemplated compound.
  • Fig. 7 graphically illustrates Thickness (Angstroms) v. days at about 40°C for a contemplated compound.
  • Fig. 8 graphically illustrates Thickness (Angstroms) v. days at about 40°C for a contemplated compound.
  • Fig. 9 graphically illustrates Polydispersity (Mw/Mn - unitless) v. days at about 40°C for a contemplated compound.
  • Fig. 10 graphically illustrates Polydispersity (Mw/Mn - unitless) v. days at about 40°C for a contemplated compound.
  • Fig. 11 graphically illustrates Polydispersity (Mw/Mn - unitless) v. days at about 40°C for a contemplated compound.
  • Fig. 12 graphically illustrates pH (unitless) v. days at about 40°C for a contemplated compound.
  • Fig. 13 graphically illustrates pH (unitless) v. days at about 40°C for a contemplated compound.
  • Fig. 14 graphically illustrates pH (unitless) v. days at about 40°C for a contemplated compound.
  • Fig. 15 graphically illustrates Temperature (°C) v. Time (minutes) for a group of contemplated compounds.
  • Fig. 16 graphically illustrates % Fill (Thickness over via via depth) v. blanket film thickness (Angstroms) for a contemplated compound.
  • Fig. 17 graphically illustrates % Fill (Thickness over via/via depth) v. blanket film thickness (Angstroms) for a contemplated compound.
  • Fig. 18 graphically illustrates % Fill (Thickness over via via depth) v. blanket film thickness (Angstroms) for a contemplated compound.
  • Fig. 19 graphically illustrates % Fill (Thickness over via/via depth) v. 'blanket film thickness (Angstroms) for a contemplated compound.
  • Fig. 20 graphically illustrates % Fill (Thickness over via/via depth) v. blanket film thickness (Angstroms) for a contemplated compound.
  • Fig. 21 graphically illustrates % Fill (Thickness over via/via depth) v. blanket film thickness (Angstroms) for a contemplated compound.
  • Fig. 22 graphically illustrates % Fill (Thickness over via/via depth) v. blanket film thickness (Angstroms) for a contemplated compound and also shows SEM data for one comtemplated embodiment.
  • Fig. 23 graphically illustrates % Fill (Thickness over via/via depth) v. blanket film thickness (Angstroms) for a contemplated compound and also shows SEM data for one comtemplated embodiment.
  • Fig. 24 graphically illustrates % Fill (Thickness over via/via depth) v. blanket film thickness (Angstroms) for a contemplated compound and also shows SEM data for one comtemplated embodiment.
  • Fig. 25 graphically illustrates % Fill (Thickness over via/via depth) v. blanket film thickness (Angstroms) for a contemplated compound.
  • Fig. 26 graphically illustrates % Fill (Thickness over via/via depth) v. blanket film thickness (Angstroms) for a contemplated compound and also shows SEM data for one'' comtemplated embodiment.
  • Fig. 27 graphically illustrates % Fill (Thickness over via via depth) v. blanket film thickness (Angstroms) for a contemplated compound and also shows SEM data for one comtemplated embodiment.
  • Fig. 28 shows SEM data for contemplated embodiments of the present subject matter.
  • Fig. 29 graphically illustrates Mw (Daltons) v. Time (Hours) for several contemplated embodiments of the present subject matter.
  • Fig. 30 graphically illustrates Film Thickness (Angstroms) v. Time (Hours) for several contemplated embodiments of the present subject matter.
  • Fig. 31 graphically illustrates Delta Thickness (Angstroms) v. Distance Between Vias (nm) for several contemplated embodiments.
  • Table 2 shows reflux time, temperature, gas chromatography information, thickness, optical properties, ratios of water, ethanol, butanol and PGMEA, density, pH and total percent solids for 6 "runs" of contemplated materials.
  • Table 3 shows the heat ramp data for the 6 runs represented in Table 2.
  • Table 4 shows the summary of the via fill versus the thickness and pitch for several contemplated materials at different pH measurements versus other contemplated materials with high boiling point solvents at different pH measurements.
  • Table 5 shows the Parent QC results from water control experiments.
  • Table 6 shows the Child QC results from water control experiments.
  • Table 7 shows the data collected for Example 4, which is graphically represented in
  • Table 8 shows etch solution experiments conducted using contemplated compounds of the present subject matter.
  • An anti-reflective coating material for ultraviolet photolithography comprises at least one inorganic-based compound or inorganic material; at least one absorbing compound and at least one material modification agent.
  • the at least one material modification agent may include any compound or composition that can modify the coating material to improve the photolithographic, compatibility and/or physical quality of the resulting film, such as by improving the etch selectivity and/or stripping selectivity or by minimizing the fill bias.
  • the at least one material modification agent may comprise at least one porogen, at least one leveling agent, at least one high-boiling solvent, at least one catalyst, at least one pH tuning agent, at least one capping agent, at least one replacement solvent, at least one adhesion promoter, such as a resin-based material and/or a combination thereof that are incorporated into the inorganic-based material or compound.
  • Resin-based adhesion promoters may comprise organic resis such as phenolic-containing resins, novolac resins, such as CRJ-406 or HRJ-11040 (both from Schenectady International, Inc.), and acrylate resin and/or a styrene resins.
  • Other adhesion promoters may comprise polydimethylsiloxane materials, ethoxy or hydroxy-containing silane monomers, vinyl-containing silane monomers, acrylated silane monomers, or silyl hydrides.
  • the absorbing compositions are further diluted in appropriate solvents to form coating solutions. and applied to various layers of materials in fabricating layered materials, electronic devices, and semiconductor devices.
  • the coating solutions may be applied by any suitable method or process, including spinning onto a surface, dripping onto a surface, rolling onto a surface and/or a combination of any of these methods or processes.
  • the absorbing anti- reflective coatings are designed to be readily integrated into existing layered material, electronic component or semiconductor fabrication processes. Some properties that facilitate integration include a) developer resistance, b) thermal stability during standard photoresist processing, and c) selective removal with respect to underlying layers.
  • Inorganic-based compounds and or materials and/or contemplated spin-on inorganic- based compounds and/or materials, such as silicon-based, gallium-based, germanium-based, arsenic-based, boron-based compounds or combinations thereof are contemplated herein.
  • silicon-based compounds comprise siloxane compounds, such as methylsiloxane, methylsilsesquioxane, phenylsiloxane, phenylsilsesquioxane, methylphenylsiloxane, methylphenylsilsesquioxane, silazane polymers, dimethylsiloxane, diphenylsiloxane, methylphenylsiloxane, silicate polymers, silsilic acid derivaties, and mixtures thereof.
  • a contemplated silazane polymer is perhydrosilazane, which has a "transparent" polymer backbone where chromophores can be attached.
  • inorganic-based materials, inorganic compounds and spin-on-glass materials also include siloxane polymers and blockpolymers, hydrogensiloxane polymers of the general formula (Ho- ⁇ .oSiO ⁇ . 5 - 2 .o) x , hydrogensilsesquioxane polymers, which have the formula (HSiO ⁇ .s) x , where x is greater than about four and derivatives of silsilic acid. Also included are copolymers of hydrogensilsesquioxane and an alkoxyhydridosiloxane or hydroxyhydridosiloxane.
  • Organosiloxane polymers acrylic siloxane polymers, silsesquioxane-based polymers, derivatives of silici acid, organohydridosiloxane polymers of the general formula (Ho- ⁇ .oSiO ⁇ .5- 2 .o) n (Ro- ⁇ .oSiO ⁇ .5. 2 .o)m, and organohydridosilsesquioxane polymers of the general formula (HSiO ⁇ . 5 ) n (RSiO ⁇ . 5 ) m , where m is greater than zero and the sum of n and m is greater than about four and R is alkyl or aryl.
  • organohydridosiloxane polymers have the sum of n and m from about four to about 5000 where R is a CrC2o alkyl group or a C 6 -Ci2 aryl group.
  • the organohydridosiloxane and organohydridosilsesquioxane polymers are alternatively denoted spin-on-polymers.
  • alkylhydridosiloxanes such as methylhydridosiloxanes, ethylhydridosiloxanes, propylhydridosiloxanes, t-butylhydridosiloxanes, phenylhydridosiloxanes; and alkylhydridosilsesquioxanes, such as methylhydridosilsesquioxanes, ethylhydridosilsesquioxanes, propylhydridosilsesquioxanes, t-butylhydridosilsequioxanes, phenylhydridosilsesquioxanes, and combinations thereof.
  • spin-on material As used herein, the phrases “spin-on material”, “spin-on organic material”, “spin-on composition” and “spin-on inorganic composition” may be used interchangeable and refer to those solutions and compositions that can be spun-on to a substrate or surface. It is further contemplated that the phrase “spin-on-glass materials” refers to a subset of “spin-on inorganic materials", in that spin-on glass materials refer to those spin-on materials that comprise silicon-based compounds and/or polymers in whole or in part.
  • organohydridosiloxane resins utilized herein have the following general formulas:
  • R is selected from substituted and unsubstituted, normal and branched alkyls (methyl, ethyl, butyl, propyl, pentyl), alkenyl groups (vinyl, allyl, isopropenyl), .cycloalkyls, cycloalkenyl groups, aryls (phenyl groups, benzyl groups, naphthalenyl groups, anthracenyl groups and phenanthrenyl groups), and mixtures thereof; and wherein the specific mole percent of carbon containing substituents is a function of the ratio of the amounts of starting materials.
  • LOSP embodiments particularly favorable results are obtained with the mole percent of carbon containing substituents being in the range of between about 15 mole percent to about 25 mole percent. In some HOSP embodiments, favorable results are obtained with the mole percent of carbon containing substituents are in the range of between about 55 mole percent to about 75 mole percent.
  • contemplated polymers comprise a polymer backbone encompassing alternate silicon and oxygen atoms.
  • some of the polymers and inorganic-based compositions and materials utilized herein have essentially no hydroxyl or alkoxy groups bonded to backbone silicon atoms. Rather, each silicon atom, in addition to the aforementioned backbone oxygen atoms, is bonded only to hydrogen atoms and/or R groups as defined in Formulae 1, 2, 3 and 4. By attaching only hydrogen and/or R groups directly to backbone silicon atoms in the polymer, unwanted chain lengthening and cross-linking is avoided.
  • the shelf life of these resin solutions is enhanced as compared to previously known organosiloxane resins.
  • silicon-carbon bonds are less reactive than silicon hydrogen bonds, the shelf life of the organohydridosiloxane resin solutions described herein is enhanced as compared to previously known hydridosiloxane resins.
  • Silica compounds contemplated herein are those compounds found in US Issued Patents: 6,022,812; 6,037,275; 6,042,994; 6,048,804; 6,090,448; 6,126,733; 6,140,254; 6,204,202; 6,208,041; 6,318,124 and 6,319,855.
  • crosslinking refers to a process in which at least two molecules, or two portions of a long molecule, are joined together by a chemical interaction.
  • Such interactions may occur in many different ways including formation of a covalent bond, formation of hydrogen bonds, hydrophobic, hydrophilic, ionic or electrostatic interaction.
  • molecular interaction may' also be characterized by an at least temporary physical connection between a molecule and itself or between two or more molecules.
  • the polymer backbone conformation is a cage configuration. Accordingly, there are only very low levels or reactive terminal moieties in the polymer resin given the cage conformation. A cage conformation of the polymer backbone also ensures that no unwanted chain lengthening polymerization will occur in solution, resulting in an extended shelf life.
  • Each silicon atom of the polymer is bonded to at least three oxygen atoms.
  • Moieties bonded to the polymer backbone include hydrogen and the organic groups described herein.
  • the term "backbone” refers to a contiguous chain of atoms or moieties forming a polymeric strand that are covalently bound such that removal of any of the atoms or moiety would result in interruption of the chain.
  • the term "monomer” refers to any chemical compound that is capable of forming a covalent bond with itself or a chemically different compound in a repetitive manner.
  • the repetitive bond formation' between monomers may lead to a linear, branched, super-branched, or three-dimensional product.
  • monomers may themselves comprise repetitive building blocks, and when polymerized the polymers formed from such monomers are then termed "blockpolymers".
  • Monomers may belong to various chemical classes of molecules including organic, organometallic or inorganic molecules. The molecular weight of monomers may vary greatly between about 40 Dalton and 20000 Dalton. However, especially when monomers comprise repetitive building blocks, monomers may have even higher molecular weights.
  • Monomers may also include additional groups, such as groups used for crosslinking.
  • the molecular weight of the inorganic-based compound may be increased in order to change the solubility of the material.
  • changing the solubility of the material helps to prevent voiding and increases the planarization ability of the material.
  • naphthalene-, phenanthrene- and anthracene-based compounds have significant absorption at 248 nm and below.
  • Benzene-based, equivalently termed here phenyl-based, compounds have significant absorption at wavelengths shorter than 200 nm. While these naphthalene-, anthracene-, phenanthrene- and phenyl-based compounds are frequently referred to as dyes, the term absorbing compound is used here because the absorptions of these compounds are not limited to wavelengths in the visible region of the spectrum. However, not all such absorbing compounds can be incorporated into inorganic-based materials for use as anti-reflective coating materials.
  • Preferred absorbing compounds suitable for use have a definable absorption peak centered around wavelengths such as 248 nm, 193 nm, 157 nm or other ultraviolet wavelengths, such as 365 nm, that may be used in photolithography. It is contemplated that a preferred "definable absorption peak" is one that is at least 0.5 nm in width, wherein width is calculated by those methods commonly known in the art of photolithography. In more preferred embodiments, the definable absorption peak is at least 1 nm in width. In even more preferred embodiments, the definable absorption peak is at least 5 nm in width. In most preferred embodiments, the definable absorption peak is at least 10 nm in width.
  • the chromophores of suitable absorbing compounds typically have at least one benzene ring, and where there are two or more benzene rings, the rings may or may not be fused, mcorporatable absorbing compounds have an accessible reactive group attached to the chromophore, wherein the reactive groups include hydroxyl groups, amine groups, carboxylic acid groups, and substituted silyl groups with silicon bonded to one, two, or three "leaving groups,” such as alkoxy groups, acetoxy groups or halogen atoms. Ethoxy or methoxy groups or chlorine atoms are frequently used as leaving groups.
  • Preferable reactive groups comprise siliconalkoxy, silicondialkoxy and silicontrialkoxy groups, such as siliconethoxy, silicondiethoxy, silicontriethoxy, siliconmethoxy, silicondimethoxy, and silicontrimethoxy groups and halosilyl groups, such as chlorosilyl, dichlorosilyl, and trichlorosilyl groups, and acetoxy groups like methyltriacetoxysilane, tetraacetoxysilane.
  • siliconalkoxy, silicondialkoxy and silicontrialkoxy groups such as siliconethoxy, silicondiethoxy, silicontriethoxy, siliconmethoxy, silicondimethoxy, and silicontrimethoxy groups and halosilyl groups, such as chlorosilyl, dichlorosilyl, and trichlorosilyl groups, and acetoxy groups like methyltriacetoxysilane, tetraacetoxysilane.
  • the reactive groups may be directly bonded to the chromophore, as, for example, in phenyltriethoxysilane, or the reactive groups may be attached to the chromophore through an ester, a ketone and/or oxygen linkage or a hydrocarbon bridge, as, for example, in 9-anthracene carboxy-alkyl trialkoxysilane.
  • the inclusion of silicontrialkoxy groups on chromophores has been found to-be advantageous, especially for promoting stability of the absorbing SOG films.
  • Azo groups may be included as part of a straight-chain molecule, a cyclic molecule or a hybrid straight-chain/cyclic molecule.
  • the absorbing compounds may be incorporated interstitially in the inorganic-based material matrix.
  • the absorbing compounds may also be chemically bonded to the inorganic- based material or polymer.
  • the incorporatable absorbing compounds form bonds with the inorganic-based material backbone or polymer backbone via the accessible reactive groups.
  • Absorbing compositions and materials may also comprise a silicon-based compound and an incorporatable absorbing compound that absorbs light at wavelengths less than about 375 nm. Further, it is contemplated that in other embodiments at least one of the silicon- based compound or the incorporatable absorbing compound comprises at least one alkyl group, alkoxy group, ketone group, acetoxy group, or azo group.
  • absorbing compounds suitable for use include those absorbing compounds that have a definable absorption peak around wavelengths less than about 375 nm, such as 365 nm, 248 nm, 193 nm and 157 nm, which include compounds such as anthraflavic acid (1), 9-anthracene carboxylic acid (2), 9-anthracene methanol (3), 9- anthracene ethanol (4), 9-anthracene propanol (5), 9-anthracene butanol (6), alizarin (7), quinizarin (8), primuline (9), 2-hydroxy-4-(3-triethoxysilylpropoxy)-diphenylketone (10), 2-hydroxy-4-(3-trimethoxysilylpropoxy)-diphenylketone (11), 2-hydroxy-4-
  • the absorbing compound may be organic-based, as long as the ARC doesn't share the same chemical properties with the photoresist, which can limit the useable process sequences.
  • the class of photoresist materials can be broad because the addition of a material modification agent, such as a pH tuning agent, makes it possible to match any photolithographic resist material with an anti-reflective coating and make them compatible with one another.
  • Examples of some contemplated photolithographic resist materials comprise acrylate-based resist materials, epoxy-based chemically amplified resists, fluoropolymer resists (which are especially useful when contemplating a 157 nm abso ⁇ tion wavelength), poly(norbornene- maleic anhydride) alternating co-polymers, polystyrene systems and diazonaphthoquinone/novolac resists.
  • Absorbing compounds 1 - 25 and 29-41 are available commercially, for example, from Aldrich Chemical Company (Milwaukee, WI). 9-anthracene carboxy-alkyl trialkoxysilanes are synthesized using esterification methods, as described below in the
  • phenyl-based absorbing compounds in addition to absorbing compound (26-28), many of which are also commercially available from Gelest, Inc., include structures with silicon-based reactive groups attached to phenyl rings or to substituted phenyls, such as methylphenyl, chlorophenyl, and chloromethylphenyl.
  • Specific phenyl- based absorbing compounds include phenyltrimethoxysilane, benzyltrichlorosilane, chloromethylphenyltrimethoxysilane, phenyltrifluorosilane, to name only a few examples.
  • Diphenyl silanes including one or two "leaving groups,” such as diphenylmethylethoxysilane, diphenyldiethoxysilane, and diphenyldichlorosilane, to again name only a few examples, are also suitable incorporatable absorbing compounds.
  • Alkoxybenzoic acids may also be used as absorbing compounds, including methoxybenzoic acid.
  • a general method of synthesizing 9-anthracene carboxy-alkyl trialkoxysilane compounds comprises using 9-anthracene carboxylic acid and a chloromethyl trialkoxysilane compound as reactants.
  • a method of synthesizing 9-anthracene carboxy-methyl triethoxysilane (18) uses 9-anthracene carboxylic acid (2) and chloromethyl triethoxysilane as reactants.
  • the reactants are combined with triethylamine and methylisobutylketone (MDBK), previously dried over 4 A molecular sieves, to form a reaction mixture which is heated to reflux and refluxed for from approximately 6 to 10 hours.
  • MDBK methylisobutylketone
  • This method is significant because it is suitable to use to produce any compound in the class of 9-anthracene carboxy-alkyl trialkoxysilanes, including 9-anthracene carboxy-ethyl triethoxysilane, 9-anthracene carboxy-propyl trimethoxysilane, and 9- anthracene carboxy-propyl triethoxysilane.
  • At least one porogen may be added to the inorganic-based composition or inorganic material in order to increase etch selectivity and/or stripping selectivity of the inorganic-based material or composition.
  • the addition of at least one porogen to the inorganic- based material will result in pores or voids being formed in the material, coating and/or film.
  • the pores or voids may be formed as a result of structural rearrangement or loss of material such that a pore or void or increase in free volume is left behind.
  • the pores or voids in the material, coating and/or film create additional surface area in the coating or film which ultimately increases the etch selectivity and/or stripping selectivity of the material, coating and/or film.
  • the plasma etch rate of the film will increase with increasing porosity giving it better dry etch selectivity to photoresist. This dry etch selectivity is necessary to maintain proper transfer of the critical dimension from printing through etch. Adding at least one porogen increases both the dry and wet etch rate of the absorbing material, coating and/or film versus the porogen content without degrading the lithographic performance of the absorbing film's antireflective properties.
  • the porogen's molecular weight can also be used to determine if the porogen is compatible with the inorganic-based compound's matrix in the material. This compatibility quotient is related to the solubility parameters of the inorganic-based compound's matrix. In an ideal case the porogen should match the solubility parameter of the matrix coating formulation before cure, so that when formulation molecular weights are known, appropriate molecular weights of the porogen can be determined by matching the solubility parameters with the matrix.
  • Solubility parameters may be determined experimentally by relationships to the refractive index, dielectric constant, surface tension and intrinsic viscsocity, or by calculation using group contribution methods or by molecular models of cohesive energy, (review ref Physical Properties of Polymers Handbook, Chapter 16 "Solubility Parmaters” Y. Du, Y. Xue, H.L. Frisch pp 227-239; James E. Mark Ed., 1996, American Institute of Physics, Woodbury, NY)
  • the porogen may serve a dual purpose or multi-stage purpose.
  • the porogen may be specifically chosen for a particular absorbing composition based on polarity and/or functional groups. Once the porogen is incorporated into the composition it will act effectively as a "magnet" to attract the stripping and/or etching solution to the porogen by utilizing a difference in polarity between the porogen or by utilizing the functional groups on the porogen. This attraction effect by the porogen can be activated in several ways.
  • the porogen activated to the point where a complete pore or void is formed and the porogen remains until the lithography step is completed.
  • the absorbing composition comprising the porogen may be further heated so that the porogen can form a pore or void.
  • the pores or voids in the material, coating and/or film create additional surface area in the coating or film which ultimately increases the etch selectivity and/or stripping selectivity of the material, coating andor film, as described in the earlier embodiments.
  • pore includes voids and cells in a material and any other term meaning space occupied by gas in the material.
  • the term “pore” may also include a differential in material density wherein the free volume has been increased ("porous nature" has been introduced).
  • Appropriate gases include relatively pure gases and mixtures thereof. Air, which is predominantly a mixture of N 2 and O 2 is commonly distributed in the pores, but pure gases such as nitrogen, helium, argon, CO 2 or CO are also contemplated.
  • Pores are typically spherical but may alternatively or additionally include tubular, lamellar, discoidal, voids having other shapes, or a combination of the preceding shapes and may be open or closed.
  • porogen as used herein may have a variety of mechanisms available to form the pore but in general is a material which upon removal leaves behind either a "pore” or a “void” or a material that can rearrange to create a "pore” or “void".
  • a porogen is a decomposable material that is radiation, thermally, chemically or moisture decomposable, degradable, depolymerizable or otherwise capable of breaking down and includes solid, liquid or gaseous material.
  • the decomposed porogen is removable from or can volatilize or diffuse through a partially or fully cross-linked matrix to create pores in a subsequently fully-cured matrix and thus, lower the matrix's dielectric constant and enhance the sacrificial properties.
  • the porogen might be a material, which does not decompose but can be dissolved out of the matrix leaving behind the "pore".
  • the porogen might be a material that does not decompose but is volatile enough to dissipate at specific elevated temperatures such as in the 250-350°C range. Supercritical materials, such as CO 2 , may be used to remove the porogen and decomposed porogen fragments.
  • the porogen comprises a material having a decomposition temperature greater than the minimum crosslinking temperature of the material.
  • the present novel porogens have a degradation or decomposition temperature of up to about 300°C, and in some cases greater than about 300°C.
  • the degraded or decomposed porogens volatilize at a temperature greater than the minimum cross-linking temperature of the material with which the porogen is combined.
  • the degraded or decomposed . porogens volatilize at a temperature between about 50° to about 450°C.
  • Suitable linear polymers are polyethers, such as poly(ethylene oxide) and poly(propylene oxide); polyacrylates such .as poly(methylmethacrylate); aliphatic polycarbonates such as poly(propylene carbonate) and poly(ethylene carbonate); polyesters; polysulfones; polystyrene (including monomer units selected from halogenated styrene and hydroxy-substituted styrene); poly( ⁇ -methylstyrene); and other vinyl-based polymers.
  • polyester porogens include polycaprolactone; polyethylene terephthalate; poly(oxyadipoyloxy-l,4-phenylene); poly(oxyterephthaloyloxy- 1,4-phenylene); poly(oxyadipoyloxy-l,6-hexamethylene); polyglycolide, polylactide (polylactic acid), polylactide-glycolide, polypyruvic acid, polycarbonate such as poly(hexamethylene carbonate) diol having a molecular weight from about 500 to about 2500; and polyether such as poly(bisphenol A-co-epichlorohydrin) having a molecular weight from about 300 to about 6500.
  • Suitable crosslinked, insoluble nanospheres are suitably comprised of polystyrene or poly(methylmethacrylate).
  • Suitable block copolymers are poly-gylcolids, polylactic acid, poly(styrene-co- ⁇ -methylstyrene, poly(styrene-ethylene oxide), poly(etherlactones), poly(estercarbonates) and poly(lactonelactide).
  • Suitable hyperbranched polymers are hyperbranched polyester, e.g. hyperbranched poly(caprolactone), and polyethers such as polyethylene oxide and polypropylene oxide. Another useful porogen is ethylene glycol-poly(caprolactone).
  • Useful polymer blocks include polyvinylpyridines, hydrogenated polyvinyl aromatics, ⁇ polyacrylonitriles, . olysiloxanes, polycaprolactams, polyurethanes, polydienes such as polybutadienes and polyisoprenes, polyvinyl chlorides, polyacetals and amine-capped alkylene oxides.
  • Other useful thermoplastic materials include polyisoprenes, polytetrahydrofurans and polyethyloxazolines.
  • suitable porogens suitable for use in contemplated embodiments include polymers, preferably those which contain one or more reactive groups, such as hydroxyl or amino.
  • a suitable polymer porogen for use in the compositions and methods disclosed herein is, e.g. a polyalkylene oxide, a monoether of a polyalkylene oxide, a diether of a polyalkylene oxide, bisether of a polyalkylene oxide, an aliphatic polyester, an acrylic polymer, an acetal polymer, a poly(caprolactone), a poly(valeractone), a poly(methlymethoacrylate), a poly(vinylbutyral) and/or combinations thereof.
  • porogen is a polyalkylene oxide monoether
  • one particular embodiment is a Ci to about C 6 alkyl chain between oxygen atoms and a Ci to about C 6 alkyl ether moiety, and wherein the alkyl chain is substituted or unsubstituted, e.g., polyethylene glycol monomethyl ether, polyethylene glycol dimethyl ether, or polypropylene glycol monomethyl ether.
  • Porogens comprising at least two fused aromatic rings wherein each of the fused aromatic rings has at least one alkyl substituent thereon and a bond exists between at least two of the alkyl substituents on adjacent aromatic rings may be used in contemplated embodiments.
  • Preferred porogens include unfunctionalized polyacenaphthylene homopolymer, functionalized polyacenaphthylene homopolymer, the polyacenaphthylene copolymers described below, poly(2-vinylnaphthalene) and vinyl anthracene, and blends with each other.
  • Other useful porogens include adamantane, diamantane, fullerene and polynorbornene.
  • porogens including those listed above, may be blended with one another or other porogen material, such as polycaprolactone, polystyrene and polyester.
  • Useful blends include unfunctionalized polyacenaphthylene homopolymer and polycaprolactone.
  • the more prefened porogens are unfunctionalized polyacenaphthylene homopolymer, functionalized polyacenaphthylene homopolymer, polyacenaphthylene copolymer and polynorbornene.
  • Useful polyacenaphthylene homopolymers may have weight average molecular weights ranging from preferably about 300 to about 20,000; more preferably about 300 to about 10,000; and most preferably about 1000 to about 7000 and may be polymerized from acenaphthylene using different initiators such as 2,2'-azobisisobutyronitrile (AIBN); di-tert- butyl azodicarboxylate; di-phenylazodicarboxylate; l, -azobis(cyclohezanecarbonitrile); benzoyl peroxide (BPO); t-butyl peroxide; and boron trifluoride diethyl etherate.
  • AIBN 2,2'-azobisisobutyronitrile
  • BPO benzoyl peroxide
  • BPO t-butyl peroxide
  • boron trifluoride diethyl etherate boron trifluoride diethyl etherate.
  • the polyacenaphthylene homopolymer may have functional end groups such as triple bonds or double bonds to the chain end or cationic polymerization quenched with a double or triple bond alcohol, such as allyl alcohol, propargyl alcohol, butynol, butenol or hydroxyethylmethacrylate.
  • a double or triple bond alcohol such as allyl alcohol, propargyl alcohol, butynol, butenol or hydroxyethylmethacrylate.
  • European Patent Publication 315453 teaches that silica and certain metal oxides may react with carbon to form volatile sub oxides and gaseous carbon oxide to form pores and teaches that sources of carbon include any suitable organic polymer including polyacenaphthylene.
  • polyacenaphthylene is a porogen useful in non-metallic materials or in reducing the dielectric constant of a matrix or increasing the etch selectivity of an absorbing spin-on material.
  • Useful polyacenaphthylene copolymers may be linear polymers, star polymers or hyperbranched polymers.
  • the comonomer may have a bulky side group that .will result in copolymer conformation that is similar to that of polyacenaphthylene homopolymer or a nonbulky side group that will result in copolymer conformation that is dissimilar to that of polyacenaphthylene homopolymer.
  • Comonomers having a bulky side group include vinyl pivalate; tert-butyl acrylate; styrene; ⁇ -methylstyrene; tert-butylstyrene; 2-vinylnaphthalene; 5-vinyl-2-norbornene; vinyl cyclohexane; vinyl cyclopentant; 9-vinylanthracene; 4- vinylbiphenyl; tetraphenylbutadiene; stilbene; tert-butylstilbene; and indene; and preferably vinyl pivalate.
  • Hydridopolycarbosilane may be used as an additional co-monomer or copolymer component with acenaphthylene and at least one of the preceding comonomers.
  • An example of a useful hydridopolycarbosilane has 10% or 75% allyl groups.
  • Comonomers having a nonbulky side group include vinyl acetate, methyl acrylate, methyl methacrylate, and vinyl ether and preferably vinyl acetate.
  • the term "degrade” refers to the breaking of covalent bonds. Such breaking of bonds may occur in numerous ways including heterolytic and homolytic breakage. The breaking of bonds need not be complete, i.e., not all breakable bonds must be cleaved. Furthermore, the breaking of bonds may occur in some bonds faster than in others. Ester bonds, for example, are generally less stable than amide bonds, and therefore, are cleaved at a faster rate. Breakage of bonds may also result in the release of fragments differing from one another, depending on the chemical composition of the degraded portion.
  • substantially degrade preferably means at least about 40 weight percent of the porogen degrades or decomposes. In more prefened embodiments, at least about 50 weight percent of the porogen degrades or decomposes, and in most prefened embodiments, at least about 80 weight percent of the porogen degrades or decomposes.
  • the porogen is dissolved out in either a separate process stage or in combination with other stages of process, such as during the photolithography development or during the actual wet stripping of the porogen containing material.
  • thermal energy is also applied to volatilize the substantially degraded or decomposed porogen out of the absorbing compound matrix.
  • the same thermal energy is used for both the degradation and volatilization steps.
  • Any suitable procedure or condition may be used to remove or at least partially remove the at least one porogen, including heat, dissolution in solvents, preferential etching, exposure to radiation, electromagnetic radiation, such as ultraviolet, x-ray, laser or infrared radiation; mechanical energy, such as sonication or physical pressure; or particle radiation, such as gamma ray, alpha particles, neutron beam or electron beam as taught by commonly assigned patent publication PCT/US 96/08678 and US Patents 6,042,994; 6,080,526; ' 6,177,143; and 6,235,353, which are incorporated herein by reference in their entireties.
  • electromagnetic radiation such as ultraviolet, x-ray, laser or infrared radiation
  • mechanical energy such as sonication or physical pressure
  • particle radiation such as gamma ray, alpha particles, neutron beam or electron beam as taught by commonly assigned patent publication PCT/US 96/08678 and US Patents 6,042,994; 6,080,526; ' 6,177,143;
  • At least one high-boiling solvent and/or at least one replacement solvent may be added to the anti-reflective material, coating and/or film.
  • a high-boiling solvent prevents voiding and improves planarization by making the film a "slow drying" film.
  • the phrase "high boiling solvents” means that the solvent volatilizes at a temperature at, near and/or preferably slightly above the drying and/or curing temperature of the material, coating and/or film.
  • Prefened high boiling solvents include glycerol, dibutylether, dibutylglycerol, dimethyl sulfoxide (DMSO), dimethylformamide (DMF), N-methyl-pynolidone (NMP), di- methyl-acetamide (DMAc), high boiling aromatic-based solvents, petroleum ether, the carbitol family, and the glycol ether family.
  • High boiling point solvents can also act as porogens, such as dipropyleneglycol or ethyl lactate.
  • At least one replacement solvent may also be used to replace acetone (or another low boiling solvent) in the original anti-reflective coating material in order to improve the planarization of the coating and/or film and decrease voiding.
  • the replacement solvent doesn't necessarily need to be a high-boiling solvent, but must instead improve the planarization ability of the material, coating and/or film over the conventional solvent being used.
  • the replacement solvent may comprise additional functional groups, such as -OH, that have been shown to lead to better strip properties at similar bake temperatures for the solvent being replaced. Without being bound to any particular theory, it is understood that the additional functional groups may cause the replacement solvent to act almost like a porogen would to attract the stripping chemistry into the composition.
  • This porogen-like action may be based on hydrogen-bonding of the replacement solvent with the matrix or the stripping chemistry.
  • Acetone may also be left out of the material, coating or film altogether depending on the improvement to the planarization ability of the material, coating and/or film.
  • Typical replacement solvents comprise isopropylalcohol, propanol, butanol, ethanol, PGMEA, ethyl lactate and/or 2-heptanone.
  • the solvent may serve a dual purpose or multi-stage purpose.
  • the solvent may be specifically chosen for a particular absorbing composition based on polarity and/or functional groups other than those characteristics needed by the solvent to blend with or solvate the absorbing composition. Once the solvent is incorporated into the composition it will act effectively as a "magnet" to attract the stripping and/or etching solution to the solvent by utilizing a difference in polarity between the solvent or by utilizing the functional groups on the solvent. This attraction effect by the solvent can be activated in several ways.
  • the solvent is not heated or activated to the point where it is no longer present until the lithography step is completed.
  • the absorbing composition comprising the solvent may be further heated so that the solvent can evaporate. At this point, the coating or film can be further processed, as described in the earlier embodiments.
  • Typical solvents are also those solvents that are able to solvate the monomers and polymers contemplated herein to be used as absorbing compounds and inorganic-based compounds and materials.
  • Contemplated solvents include any suitable pure or mixture of organic, organometallic or inorganic molecules that are volatilized at a desired temperature.
  • the solvent may also comprise any suitable pure or mixture of polar and non-polar compounds.
  • the solvent comprises water, ethanol, propanol, acetone, toluene, ethers, cyclohexanone, butyrolactone, methylethylketone, methylisobutylketone, N-methylpynolidone, polyethyleneglycolmethylether, mesitylene, ethyl lactate, PGMEA, anisole, and families of poly-ether solvents such as carbitols (which . consitute a family of ethyleneglycol ethers capped by hydroxy, alkoxy or carboxy groups) and analogous propyleneglycol ethers .
  • carbitols which . consitute a family of ethyleneglycol ethers capped by hydroxy, alkoxy or carboxy groups
  • analogous propyleneglycol ethers analogous propyleneglycol ethers
  • At least one catalyst may be added to the absorbing material in order to improve etch selectivity and/or stripping selectivity.
  • Catalysts may also be added in conjunction with the porogen to expedite pore formation.
  • the term "catalyst” means any substance that affects the rate of the chemical reaction without itself being consumed or undergoing a chemical change.
  • Catalysts may be inorganic, organic, or a complex of organic groups and metal halides.
  • Catalysts may also be liquids, solids, gases or a combination thereof.
  • Contemplated catalysts to add inespective of the porogen addition may comprise acids, such as HNO3, HCI, lactic acid, acetic acid, oxalic acid, succinic acid, maleic acid and the like.
  • Contemplated porogen depolymerization catalysts include simple acids as described above, superacid photosensitive molecules such as triarylsulfonium, triaryloxonium, or diaryliodonium salts, free radical generators typically used for vinyl-based, acryl-based (and other multiple-bond monomer-based polymers) polymerization and other free-radical based chemistries (such as benozyl peroxide, azobisisobutyronitrile).
  • adhesion promoter means any component that when used with the thermally degradable polymer, improves the adhesion thereof to substrates compared with thermally degradable polymers.
  • the at least one adhesion promoter is used with the thermally degradable polymer.
  • the adhesion promoter may be a co-monomer reacted with the thermally degradable polymer precursor or an additive to the thermally degradable polymer precursor. Examples of useful adhesion promoters are disclosed in commonly assigned pending US Application Serial Number 158513 filed May 30, 2002 inco ⁇ orated herein in its entirety.
  • Adhesion promoters contemplated herein may comprise compounds having at least bifunctionality wherein the bifunctionality may be the same or different and at least one of said first functionality and said second functionality is selected from the group consisting of Si-containing groups; N-containing groups; C bonded to O-containing groups; hydroxyl groups; and C double bonded to C-containing groups.
  • the phrase "compound having at least bifunctionality" as used herein means any compound having at least two functional groups capable of interacting or reacting, or forming bonds as follows.
  • the functional groups may react in numerous ways including addition reactions, nucleophilic and electrophilic substitutions or eliminations, radical reactions, etc.
  • At least one adhesion promoter preferably at least one of the first functionality and the second functionality is selected from Si-containing groups; N- containing groups; C bonded to O-containing groups; hydroxyl groups; and C double bonded to C-containing groups.
  • the Si-containing groups are selected from Si-H, Si-O, and Si-N;
  • the N-containing groups are selected from such as C-NH 2 or other secondary and tertiary amines, imines, amides, and imides;
  • the hydroxyl group is phenol; and the C double bonded to C-containing groups are selected from allyl and vinyl groups.
  • Contemplated adhesion promoters may also comprise an organic resin-based material that further comprises phenolic-containing resins, novolac resins, such as CRJ-406 or HRJ- 11040 (both from Schenectady International, Inc.), organic acrylate and/or a styrene resins.
  • Other adhesion promoters may comprise polydimethylsiloxane materials, ethoxy or hydroxy- containing silane monomers, vinyl-containing silane monomers, acrylated silane monomers, or silyl hydrides.
  • An example of a contemplated adhesion promoter having Si-containing groups is silanes of the Formula I: (R ⁇ )k(R ⁇ s) ⁇ Si(Ri6)m(Ri7)n wherein R 14 , ⁇ 5 , R 16 , and R 17 each independently represents hydrogen, hydroxyl, unsaturated or saturated alkyl, substituted or unsubstituted alkyl where the substituent is amino or epoxy, saturated or unsaturated alkoxyl, unsaturated or saturated carboxylic acid radical, or aryl; at least two of R ⁇ 4 , is, Ri ⁇ , and Rn represent hydrogen, hydroxyl, saturated or unsaturated alkoxyl, unsaturated alkyl, or unsaturated carboxylic acid radical; and k+l+m+n ⁇ 4.
  • the aforementioned silanes are commercially available from Gelest.
  • glycidyl ethers including but not limited to l,l,l-tris-(hydroxyphenyl)ethane tri- glycidyl ether which is commercially available from TriQuest.
  • An example of a prefened adhesion promoter having C bonded to O-containing groups is esters of unsaturated carboxylic acids containing at least one carboxylic acid group.
  • Examples include trifunctional methacrylate ester, trifunctional acrylate ester, trimethylolpropane triacrylate, dipentaerythritol pentaacrylate, and glycidyl methacrylate.
  • the foregoing are all commercially available from Sartomer.
  • a prefened adhesion promoter having vinyl groups is vinyl cyclic pyridine oligomers or polymers wherein the cyclic group is pyridine, aromatic, or heteroaromatic.
  • Useful examples include but not limited to 2-vinylpyridine and 4- vinylpyridine, commercially available from Reilly; vinyl aromatics; and vinyl heteroaromatics including but not limited to vinyl quinoline, vinyl carbazole, vinyl imidazole, and vinyl oxazole.
  • a prefened adhesion promoter having Si-containing groups is the polycarbosilane disclosed in commonly assigned copending allowed US Patent Application Serial Number 09/471299 filed December 23, 1999 inco ⁇ orated herein by reference in its entirety.
  • the polycarbosilane is that shown in Formula II:
  • R 20 , R 2 ⁇ , and R 29 each independently represents substituted or unsubstituted alkylene, cycloalkylene, vinylene, allylene, or arylene; R21, R 22 , R23 > R24.
  • R27, and R 28 each independently represents hydrogen atom or organo group comprising alkyl, alkylene, vinyl, cycloalkyl, allyl, or aryl and may be linear or branched;
  • R 25 represents organosilicon, silanyl, siloxyl, or organo group; and p, q,' r, and s satisfy the conditions of [4 ⁇ p + q + r + s ⁇ 100,000], and q and r and s may collectively or independently be zero.
  • the organo groups may contain up to 18 carbon atoms but generally contain from about 1 to about 10 carbon atoms.
  • Useful alkyl groups include -CH 2 - and -(CH 2 ) t - where t
  • Contemplated polycarbosilanes include dihydridopolycarbosilanes in which R 2 n is a substituted or unsubstituted alkylene or phenyl, R 21 group is a hydrogen atom and there are no • appendent radicals in the polycarbosilane chain; that is, q, r, and s are all zero.
  • Another prefened group of polycarbosilanes are those in which the R 2 ⁇ , R 22 , R 23 , R 24 , R 25 , and R 28 groups of Formula JJ are substituted or unsubstituted alkenyl groups having from 2 to 10 carbon atoms.
  • the alkenyl group may be ethenyl, propenyl, allyl, butenyl or any other unsaturated organic backbone radical having up to 10 carbon atoms.
  • the alkenyl group may be dienyl in nature and includes unsaturated alkenyl radicals appended or substituted on an otherwise alkyl or unsaturated organic polymer backbone.
  • these prefened polycarbosilanes include dihydrido or alkenyl substituted polycarbosilanes such as polydihydridocarbosilane, polyallylhydrididocarbosilane and random copolymers of polydihydridocarbosilane and polyallylhydridocarbosilane.
  • the R 2 ⁇ group of Formula II is a hydrogen atom and R 2 ⁇ is methylene and the appendent radicals q, r, and s are zero.
  • Other prefened polycarbosilane compounds of the invention are polycarbosilanes of Formula ⁇ in which R 2 ⁇ and R 27 are hydrogen, R 2 o and R 29 are methylene, and R 28 is an alkenyl, and appendent radicals q and r are zero.
  • the polycarbosilanes may be prepared from well known prior art processes or provided by manufacturers of polycarbosilane compositions.
  • the R 2 ⁇ group of Formula II is a hydrogen atom; R 2 is -CH 2 -; q, r, and s are zero and p is from 5 to 25.
  • R 2 ⁇ group of Formula II is a hydrogen atom; R 2 is -CH 2 -; q, r, and s are zero and p is from 5 to 25.
  • These most prefened polycarbosilanes may be obtained from Starfire Systems, Inc. Specific examples of these most prefened polycarbosilanes follow:
  • the polycarbosilanes utilized may contain oxidized radicals in the form of siloxyl groups when r > 0.
  • R 2 s represents organosilicon, silanyl, siloxyl, or organo group when r > 0. It is to be appreciated that the oxidized versions of the polycarbosilanes (r > 0) operate very effectively in, and are well within the purview of the present invention.
  • r can be zero independently of p, q, and s the only conditions being that the radicals p, q, r, and s of the Formula II polycarbosilanes must satisfy the conditions of [4 ⁇ p + q + r + s ⁇ 100,000], and q and r can collectively or independently be zero.
  • the polycarbosilane may be produced from starting materials that are presently commercially available from many manufacturers and by using conventional polymerization processes.
  • the starting materials may be produced from common organo silane compounds or from polysilane as a starting material by heating an admixture of polysilane with polyborosiloxane in an inert atmosphere to thereby produce the conesponding polymer or by heating an admixture of polysilane with a low molecular weight carbosilane in an inert atmosphere to thereby produce the conesponding polymer or by heating an admixture of polysilane with a low molecular carbosilane in an inert atmosphere and in the presence of a catalyst such as polyborodiphenylsiloxane to thereby produce the conesponding polymer.
  • a catalyst such as polyborodiphenylsiloxane
  • Polycarbosilanes may also be synthesized by Grignard Reaction reported in U.S. Patent 5,153,295 hereby inco ⁇ orated by reference in its entirety.
  • alkyl groups examples include -CH 2 - and -(CH 2 ) V - where v>l.
  • a particularly useful phenol-formaldehyde resin oligomer has a molecular weight of 1500 and is commercially available from Schenectady International Inc.
  • the present adhesion promoter is added in small, effective amounts preferably from about 0.01% up to about 15% and more preferably from about 0.05% to about 7% based on the weight of the present thermally degradable polymer.
  • the adhesion promoter may also serve a dual pu ⁇ ose or multi-stage p pose.
  • the adhesion promoter may be specifically chosen for a particular absorbing composition based on polarity and/or functional groups other than those characteristics needed by the adhesion promoter in order to promote adhesion in the absorbing composition.
  • the adhesion promoter Once the adhesion promoter is inco ⁇ orated into the composition it will act effectively as a "magnet" to attract the stripping and/or etching solution to the adhesion promoter by utilizing a difference in polarity between the adhesion promoter or by utilizing the functional groups on the adhesion promoter. This attraction effect by the adhesion promoter can be activated in several ways.
  • the adhesion promoter may be an exothermic reaction that takes place when the adhesion promoter is inco ⁇ drated into the absorbing composition at room temperature, there may be an external energy and/or heat that needs to be added to "activate” the adhesion promoter, there may not need to be any energy added to the composition depending on the chemical composition of the adhesion promoter, or there may be a pressure differential applied to the absorbing composition that will "activate” the adhesion promoter.
  • energy is added or not once the adhesion promoter is added, it should be understood that, in this embodiment, the adhesion promoter is not heated or activated to the point where it is chemically modified or broken down until the lithography step is completed.
  • the absorbing composition comprising the adhesion promoter may be left unheated or further heated so that the adhesion promoter's chemical composition can change to make it further compatible with the composition.
  • the coating or film can be further processed, as described in the earlier embodiments.
  • the pH tuning agent is a compound, material or solution that is added to the mixture of the inorganic-based material and the absorbing compound in order to "tune” or adjust the pH of the final absorbing composition so that it is compatible or more compatible with any chosen resist material, including those with abso ⁇ tion peaks around 365 nm, 248 nm, 193 nm and 157 nm.
  • pH tuning agents contemplated herein are those also found in commonly assigned PCT Application Serial No.: PCT/USO 1/45306 filed on November 15, 2001.
  • the pH tuning agent not only adjusts the pH of the absorbing material, coating and/or film, but it also influences the chemical performance and characteristics, mechanical performance and structural makeup of the absorbing material, coating and/or film that is part of the layered material, electronic component or semiconductor component, such that the absorbing material, coating and/or film is more compatible with the resist material that is coupled to it. More specifically, the pH tuning agent strongly influences the polymeric characteristics, the structural makeup and the spatial orientation that results in optimized film architecture by increasing the surface properties of the anti-reflective coating for optimal resist performance. In other words, a pH tuning agent that merely adjusts the pH of the spin-on material without influencing the mechanical properties and structural makeup of the absorbing material, coating and/or film or the coupled resist material is not contemplated herein.
  • Contemplated pH tuning agents must perform two separate and sometimes related functions: a) to influence the pH of the composition to which it is being added; and b) to influence the mechanical performance, and/or structural makeup of the absorbing material, coating and/or film, which can also been stated as strongly influencing the polymeric characteristics, the structural makeup and the spatial orientation that results in enhancing the surface properties of the anti-reflective coating for optimal resist performance.
  • Contemplated pH tuning agents are partly designed to influence the pH of the composition to which it is added.
  • the class of potential pH tuning agents comprises a) any suitable acidic or basic solution, compound, and/or component andor b) any suitable strength or concentration of an acidic or basic solution, compound and/or component.
  • This compilation of suitable pH “influencers” is the larger set of compound from which the ultimate pH tuning agent is chosen, because the pH "influencer” must also be able to influence the mechanical performance and/or structural makeup of the absorbing material, coating and/or film while also making the absorbing material, coating and/or film compatible or more compatible.
  • the chosen pH tuning agent is also designed to match the solubility parameter, the molecular weight, the melting point or some other physical characteristic of the spin-on material and organic absorbing compound mixture.
  • the pH tuning agent and the mixture of inorganic matrix material and absorbing compound cannot be physically incompatible, depending on the desirable physical characteristic, even if the pH tuning agent performs its first function of influencing the pH of the mixture.
  • the desirable physical characteristic is the solubility parameter or the molecular weight. In more prefened embodiments, the desirable physical characteristic is the solubility parameter.
  • the pH tuning agent will also mechanically and structurally influence the performance and characteristics of the resist material/ARC couple.
  • a pH tuned absorbing material, coating and/or film is applied to a substrate or layered material, and then a resist material is applied to the absorbing material, coating and/or film.
  • a development line 85-90 degree angle with respect to the absorbing material, coating and/or film.
  • the resist material will not "fall over" onto the absorbing material, coating and/or film, but instead will have a useful development line.
  • the resist material may "fall over" onto the absorbing material, coating and/or film after etching, which obviously leads a defective resist material and or to a defective layered material. It is in this instance where the pH tuned absorbing material, coating and/or film influences the mechanical and structural integrity of the absorbing material, coating and/or film and the compatibility of the resist material/ARC couple.
  • the terms “coupled” or “couple” mean that the two materials or compositions are juxtaposed on top of one another to the point where the two materials are physically, mechanically and/or chemically bonded to one another.
  • pH tuning agents comprise various molar concentrations of the class of amines, such as ⁇ -aminoalkyltrialkoxysilanes, specifically ⁇ - aminopropyltriethoxysilanes (APTF or APTEOS); water; oxides and alkoxides, such as sodium alkoxides, potassium alkoxides, potassium hydroxide; hydrogen halides, such as hydrogen bromide, hydrochloric acid; acetic acid; sulfuric acid, lactic acid, nitric acid; TMAH; propylene glycol methyl ether acetate (PGMEA); amine-based oligomers, including those oligomers with inorganic atoms such as silicon, and combinations thereof.
  • Contemplated molar concentrations of the pH tuning agent include pure, 10 Molar, 1.0 Molar, 0.1 Molar and 0.01 Molar concentrations, depending on the pH agent chosen for the resist material.
  • increased pH solutions result in films that exhibit characteristics of being denser than films cast from non-pH tuned solutions.
  • Wet strip tests were used to determine extent of densification.
  • the effect ARC density as on the photoresist process is follows. If the ARC is not dense enough too much photogenerated acid within the resist (generated during exposure of the photoresist to UV radiation) diffuses across the photoresist - ARC boundary resulting in a decrease in the local concentration of photoacid resulting in a degradation of the local acid catalyzed reactions resulting in an under reacted resist which can lead to resist footing (positive tone resist).
  • the pH tuning agent may be found on a solid-support material (such as a propylamine-attached silica, amine-based polymers such as melamine, or other amine-attached materials that are insoluble in the process solvent conditions) that is physically separated from the formulation.
  • a solid-support material such as a propylamine-attached silica, amine-based polymers such as melamine, or other amine-attached materials that are insoluble in the process solvent conditions
  • the pH may be- tuned while the formulation is passed thru the solid support material or the pH may be tuned in a slurry with the solid support material, which is later filtered.
  • the pH tuning agent may also serve a dual pu ⁇ ose or multi-stage pu ⁇ ose.
  • the pH tuning agent may be specifically chosen for a particular absorbing composition based on polarity and/or functional groups other than those characteristics needed by the pH tuning agent in order to "tune" the absorbing composition. Once the pH tuning agent is inco ⁇ orated into the composition it will act effectively as a "magnet” to attract the stripping and/or etching solution to the pH tuning agent by utilizing a difference in polarity between the pH tuning agent or by utilizing the functional groups on the pH tuning agent. This attraction effect by the pH tuning agent can he activated in several ways.
  • the absorbing composition comprising the pH tuning agent may be left unheated or further heated so that the pH tuning agent's chemical composition can change to make it further compatible with the composition.
  • the coating or film can be further processed, as described in the earlier embodiments.
  • At least one capping agent may also be added to the absorbing composition as a material modification agent.
  • the term "capping agent” means a terminating monomer that effectively controls the molecular weight of a particular polymer by "capping" the end of the polymer.
  • the capping agent comprises a silane monomer with only one reactive group, such as an ethoxy reactive group, with the rest of the monomer being methyl groups.
  • the silane monomer is added late in the parent reaction that forms the absorbing composition. Any suitable terminating monomer may be used in this application, including silane compositions such as TMEOS.
  • the capping agent may also serve a dual pu ⁇ ose or multi-stage pu ⁇ ose.
  • the capping agent may be specifically chosen for a particular absorbing composition based on polarity and/or functional groups other than those characteristics needed by the capping agent in order to cap all or part of the constituents of the absorbing composition.
  • the capping agent may also function to adjust solubility parameter of the matrix material which improves it's solubility compatibility throughout the process.
  • the capping agent Once the capping agent is inco ⁇ orated into the composition it will act effectively as a "magnet" to attract the stripping and/or etching solution to the capping agent or the compound comprising the capping agent by utilizing a difference in polarity between the capping agent or by utilizing the functional groups on the capping agent.
  • This attraction effect by the capping agent can be activated in several ways.
  • the capping agent may be an exothermic reaction that takes place when the capping agent is inco ⁇ orated into the absorbing composition at room temperature, there may be an external energy and/or heat that needs to be added to "activate” the capping agent, there may not need to be any energy added to the composition depending on the chemical composition of the capping agent, or there may be a pressure differential applied to the absorbing composition that will "activate” the capping agent.
  • energy is added or not once the capping agent is added, it should be understood that, in this embodiment, the capping agent is not heated or activated to the point where it is chemically modified or broken down until the lithography step is completed.
  • the absorbing composition comprising the capping agent may be left unheated or further heated so that the capping agent's chemical composition can change to make it fiirther compatible with the composition.
  • the coating or film can be further processed, as described in the earlier embodiments.
  • At least one leveling agent may also be added to the absorbing composition as a material modification agent. As contemplated herein, the at least one leveling agent is added to the absorbing composition to improve the via fill bias in the material.
  • Contemplated leveling agents comprise polyacrylates - both ionic and non-ionic, such as BYK 380 and
  • the leveling agent may also serve a dual pu ⁇ ose or multi-stage pu ⁇ ose.
  • the leveling agent may be specifically chosen for a particular absorbing composition based on polarity and/or functional groups other than those characteristics needed by the leveling agent in order to help level or planarize all or part of the absorbing composition. Once the leveling agent is inco ⁇ orated into the composition it will act effectively as a "magnet" to attract the stripping and/or etching solution to the leveling agent or the compound comprising the leveling agent by utilizing a difference in polarity between the leveling agent or by utilizing the functional groups on the leveling agent. This attraction effect by the leveling agent can be activated in several ways.
  • the leveling agent there may be an exothermic reaction that takes place when the leveling agent is inco ⁇ orated into the absorbing composition at room temperature, there may be an external energy and/or heat that needs to be added to "activate” the leveling agent, there may not need to be any energy added to the composition depending on the chemical composition of the leveling agent, or there may be a pressure differential applied to the absorbing composition that will "activate” the leveling agent.
  • energy is added or not once the leveling agent is added, it should be understood that, in this embodiment, the leveling agent is not heated or activated to the point where it is chemically, modified or broken down until the lithography step is completed.
  • the absorbing composition comprising the leveling agent may be left unheated or further heated so that the leveling agent's chemical composition can change to make it further compatible with the composition.
  • the coating or film can be further processed, as described in the earlier embodiments.
  • Absorbing materials are typically synthesized from a variety of silane reactants including, for example, triethoxysilane (HTEOS), tetraethoxysilane (TEOS), methyltriethoxysilane (MTEOS), dimethyldiethoxysilane, tetramethoxysilane (TMOS), dimethyldiethoxysilane, methyltrimethoxysilane (MTMOS), trimethoxysilane, dimethyldimethoxysilane, trimethylethoxysilane (TMEOS), phenyltriethoxysilane (PTEOS), phenyltrimethoxysilane (PTMOS), diphenyldiethoxysilane, diphenyldimethoxysilane, tetracetoxysilane (TAS), methyltriacetoxysilane (MTAS), phenyltriacetoxy
  • HTEOS triethoxysilane
  • TEOS tetraethoxy
  • Halosilanes including chlorosilanes, such as trichlorosilane, methyltrichlorosilane, ethyltrichlorosilane, phenyltrichlorosilane, tetrachlorosilane, dichlorosilane, methyldichlorosilane, dimethyldichlorosilane, chlorotriethoxysilane, chlorotrimethoxysilane, chloromethyltriethoxysilane, chloroethyltriethoxysilane, chlorophenyltriethoxysilane, chloromethyltrimethoxysilane, chloroethyltrimethoxysilane, and chlorophenylrrimethoxysilane are also used as silane reactants.
  • chlorosilanes such as trichlorosilane, methyltrichlorosilane, ethyltrichlorosilane, phenyltrichloros
  • the absorbing compounds such as absorbing compounds 1 - 41, or combinations thereof, are combined with the silane reactants during the synthesis of the absorbing materials.
  • the material modification agent such as the at least one porogen, the at least one high-boiling solvent, the at least one leveling agent, the at least one capping agent, the at least one catalyst, the at least one replacement solvent, at least one adhesion promoting material, at least one pH tuning agent,- and/or a combination thereof may also be combined with the silane reactants during the synthesis of the absorbing materials or once the synthesis is complete, as in the case of the at least one capping agent.
  • One contemplated method of making an absorbing composition includes combining at least one inorganic-based composition, at least one inco ⁇ oratable absorbing compound, at least one material modification agent, such as at least one porogen, at least one leveling agent, at least one high-boiling solvent, at least one catalyst, at least one capping agent, at least one replacement solvent, at least one pH tuning agent, at least one adhesion promoter, and/or a combination thereof, an acid/water mixture, such as a nitric acid/water mixture, and at least one solvent to form a reaction mixture; and heating to a temperature about or above 40°C or refluxing the reaction mixture to form the absorbing composition.
  • at least one material modification agent such as at least one porogen, at least one leveling agent, at least one high-boiling solvent, at least one catalyst, at least one capping agent, at least one replacement solvent, at least one pH tuning agent, at least one adhesion promoter, and/or a combination thereof
  • an acid/water mixture such as a
  • the absorbing composition formed is then diluted with at least one solvent to provide coating solutions that produce films of various thicknesses.
  • the material modification agent such as the at least one porogen, at least one high-boiling solvent, at least one capping agent, at least one leveling agent, at least one catalyst, at least one replacement solvent, at least one pH tuning agent, at least one adhesion promoter and/or a combination thereof may also and alternatively be added during the refluxing step or after the refluxing / heating step.
  • an absorbing composition at least one inorganic-based composition, at least one inco ⁇ oratable absorbing compound, at least one material modification agent, such as at least one porogen or organic-type polymer, at least one high-boiling solvent, at least one catalyst, at least one capping .agent, at least one leveling agent, at least one adhesion promoter, at least one replacement solvent, at least one pH tuning agent, andor a combination thereof, and at least one additional solvent can be combined to form a reaction mixture.
  • the reaction mixture is then heating to a temperature about or above 40°C or refluxed to form the absorbing composition.
  • the absorbing composition formed is diluted with at least one solvent to provide coating solutions that produce films of various thicknesses.
  • the pH tuning agent in this method may either be a variation of the conventional acid/water mixture, in that a different acid may be added, less acid may be added, or more water may be added. Regardless of the pH tuning agent chosen, however, the basic principal still remains - which is that not only the pH is influenced by the pH tuning agent, but the chemical, mechanical and physical properties of the ARC are also influenced resulting in a more compatible resist/ARC couple.
  • a reaction mixture including silane reactants, for example HTEOS, or TEOS and MTEOS, TMEOS or TMOS and MTMOS; or, alternatively, tetrachlorosilane and methyltrichlorosilane, at least one absorbing compound, such as absorbing compounds 1 - 41; at least one pH tuning agent, such as APTF; a solvent or combination of solvents; and an acid/water mixture, is formed in a reaction vessel.
  • a reaction mixture including silane reactants, for example HTEOS, or TEOS and MTEOS, TMEOS or TMOS and MTMOS; or, alternatively, tetrachlorosilane and methyltrichlorosilane, at least one absorbing compound, such as absorbing compounds 1 - 41; at least one pH tuning agent, such as APTF; a solvent or combination of solvents; and an acid/water mixture, is formed in a reaction vessel.
  • Appropriate solvents include acetone, 2- propanol
  • the acid/water mixture is, for example nitric acid and water.
  • Other protic acids or acid anhydrides such as acetic acid, lactic acid, oxalic acid, formic acid, phosphoric acid, hydrochloric acid or acetic anhydride are alternatively used in the acid mixture.
  • the resulting mixture is heated to a temperature about or above 40°C or refluxed for between approximately 1 and 24 hours to produce the absorbing solution.
  • the material modification agent such as the at least one porogen, at least one high-boiling solvent, at least one catalyst, at least one capping agent, at least one replacement solvent, at least one pH tuning agent, at least one adhesion promoter, at least one leveling agent, and/or a combination thereof may be added during or after the heating / refluxing step, depending on the resist material chosen.
  • the acid concentration and/or strength and the water concentration in the acid/water mixture may be varied in order to become a pH tuning agent, depending on the resist material chosen for the specific layered material, electronic component or semiconductor component application.
  • the absorbing material can be diluted with appropriate solvents to achieve coating solutions that produce films of various thicknesses.
  • Suitable dilutant solvents include acetone, 2-propanol, ethanol, butanol, methanol, propylacetate, ethyl lactate, propylene glycol methyl ether acetate, and propylene glycol propyl ether, refened to commercially as Propasol- P.
  • Dilutant solvents with high boiling points such as ethyl lactate and propylene glycol propyl ether have been found beneficial. It is believed high boiling point solvents decrease the probability of formation of bubble film defects.
  • lower boiling point solvents may become entrapped below a crosslinked top layer of a film and subsequently produce voids when driven off during a baking process step.
  • Additional solvents useful in the invention include ethylene glycol dimethyl ether, alternatively termed glyme, anisole, dibutyl ether, dipropyl ether, propylene glycol methyl ether acetate, and pentanol.
  • surfactants can be utilized and data/observations for these optional surfactants are presented below in the Examples section; however, these data and observations are not exhaustive of the studies conducted and do not represent all of the surfactants tested.
  • polyacrylates both ionic and non-ionic
  • BYK brand surfactants specifically BYK 306, 307, 308, 380 and 381
  • functional polydimethylsiloxanes include silicones, fluorinated alkylesters (FC brand surfactants, specifically FC4430, FC430 or FC4432 provided by 3M (Minneapolis, MN)), polyether and aliphatic hydrocarbon chain surfactants (Brij surfactants), the product Megaface R08 (provided by DIC (Japan)), or generally the class of fluorocarbon surfactants, are also added to the coating solution.
  • viscosity agents may be added to the coating solution to effect the viscosity of the coating solution, such as BYK 410 and BYK 420.
  • the coating solution is typically between about 0.5 and 20 % polymer by weight. Prior to use, the coating solution is filtered by standard filtration techniques.
  • a reaction mixture including at least one silane reactant, at least one absorbing compound, such as absorbing compounds 1 - 41, at least one material modification agent, including at leasf one porogen, at least one high-boiling solvent, at least one catalyst, at least one leveling agent, at least one capping agent, at least one replacement solvent, at least one pH tuning agent, at least one adhesion promotor, and/or a combination thereof, and an additional solvent or combination of solvents is formed in a reaction vessel.
  • the reaction mixture is heated to about or above a temperature of 40°C and held at the desired temperature for between approximately 1 and 24 hours.
  • the silane reactants and solvents are as described in the first method above.
  • an acid/water mixture as described above, is added to the reaction mixture while stirring.
  • the resulting mixture is heated to about of above a temperature of 40°C and held at the desired temperature for between approximately 1 and 24 hours to produce the absorbing material.
  • the absorbing material is diluted and filtered as described above to form a coating solution.
  • the at least one porogen, at least one high-boiling solvent, at least one catalyst, at least one replacement solvent, at least one leveling agent, at least one adhesion promoter, at least one pH tuning agent, and/or a combination thereof may be added during or after the first heating step.
  • a method of forming an absorbing organohydridosiloxane material includes forming a mixture of a dual phase solvent which includes both a non-polar solvent and a polar solvent and a phase transfer catalyst; adding at least one organotrihalosilane, hydridorrihalosilane; adding at least one material modification agent, such as at least one porogen, at least one capping agent, at least one high-boiling solvent, at least one leveling agent, at least one catalyst, at least one replacement solvent, at least one adhesion promoter, at least one pH tuning agent, and/or a combination thereof; and at least one absorbing compound, such as absorbing compounds 1 - 41, .to provide a dual phase reaction mixture; and reacting the dual phase reaction mixture for between 1 and 24 hours to produce the absorbing organohydridosiloxane polymer.
  • the phase transfer catalyst includes but is not limited to tetrabutylammonium chloride and benzyltrimethylammonium chloride.
  • exemplary non-polar solvents include, but are not limited to, pentane, hexane, heptane, cyclohexane, benzene, toluene, xylene, halogenated solvents such as carbon tetrachloride and mixtures thereof.
  • Useful polar solvents include water, alcohols, and alcohol and water mixtures. The absorbing polymer solution is diluted and filtered as described above to form a coating solution.
  • the catalyst may reside on a solid phase, with the polymerization proceeding on the surface.
  • the support materials may include typical silica- based ion-exchange resins which have an attached acid group such as sulfonic acid, or any other acid-containing polymer (such as polylactide, polyacrylic acid, polyvinylsulfonic acid) which is insoluble in the solvent conditions used.
  • the water content of the composition during and after the synthesis and/or preparation of the absorbing coating composition can be controlled in order to control the molecular weight growth of the polymer. Controlling the water content has a similar effect as the capping agent to the polymer molecular weight growth.
  • yet another method of making an absorbing anti-reflective composition requires at least one inorganic-based monomer to be added after the polymerization reaction has started in a "staged silane addition" manner. Any remaining silane monomers, the inco ⁇ oratable absorbing compound that absorbs light at wavelengths less than about 375 nm, and the at least one material modification agent, such as the at least one porogen, at least one high-boiling solvent, at least one catalyst, at least one pH tuning agent, at least one leveling agent, at least one capping agent, at least one adhesion promoter, at least one replacement solvent and/or a combination thereof is then added to the reaction mixture.
  • the at least one material modification agent such as the at least one porogen, at least one high-boiling solvent, at least one catalyst, at least one pH tuning agent, at least one leveling agent, at least one capping agent, at least one adhesion promoter, at least one replacement solvent and/or a combination thereof is then added to the reaction mixture.
  • the absorbing coating solutions are applied to various substrates to form layered materials, layers used in semiconductor processing, or layers used in electronic components, depending on the specific fabrication process, typically by conventional spin-on deposition techniques. These techniques include a dispense spin, a thickness spin, and thermal bake steps, to produce an absorbing anti-reflective coating. Typical processes include a thickness spin of between 1000 and 4000 ⁇ m for about 20 seconds and one to three bake steps at temperatures between 80°C and 300°C for about one minute each.
  • the absorbing anti- reflective coatings, according to the present invention exhibit refractive indices between about 1.3 and about 2.0 and extinction coefficients greater than approximately 0.07.
  • Substrates contemplated herein may comprise any desirable substantially solid material.
  • Particularly desirable substrate layers would comprise films, glass, ceramic, plastic, metal or coated metal, or composite material.
  • the substrate comprises a silicon or germanium arsenide die or wafer surface, a packaging surface such as found in a copper, silver, nickel or gold plated leadframe, a copper surface such as found in a circuit board or package interconnect trace, a via-wall or stiffener interface ("copper” includes considerations of bare copper and its oxides), a polymer-based packaging or board interface such as found in a polyimide-based flex package, lead or other metal alloy solder ball surface, glass and polymers such as polyimide.
  • the substrate comprises a material common in the packaging and circuit board industries such as silicon, copper, glass, and another polymer.
  • Contemplated coating materials, coating solutions and films can be utilized are useful in the fabrication of a variety of electronic devices, micro-electronic devices, particularly semiconductor integrated circuits and various layered materials for electronic ' and semiconductor components, including hardmask layers, dielectric layers, etch stop layers and buried etch stop layers. These coating materials, coating solutions and films are quite compatible with other materials that might be used for layered materials and devices, such as adamantane-based compounds, diamantane-based compounds, silicon-core compounds, organic dielectrics, and nanoporous dielectrics. Compounds that are considerably compatible with the coating materials, coating solutions and films contemplated herein are disclosed in
  • Dielectric layer 22 is deposited on a silicon substrate 20.
  • Dielectric layer 22 can be composed of a variety of dielectric materials including, for example, a silicon dioxide layer derived from TEOS, a silane based silicon dioxide layer, a thermally grown oxide, or a chemical-vapor-deposition-produced methylhydridosiloxane, OSG, FSG, NANOGLASSTM-type of materials or silicon dioxide inco ⁇ orating other elements or compounds.
  • Dielectric layer 22 is typically an optically transparent medium, but it does not have to be an optically transparent medium.
  • An absorbing anti-reflective coating layer 24 is applied above dielectric layer 22 (Fig. 2b) that is covered by a photoresist layer 26, of a conventional positive photoresist, to produce the stack shown in Fig. 2c.
  • the stack of Fig. 2c ' is exposed to ultraviolet; radiation 32 through mask 30, as shown in Fig. 2d.
  • the absorbing ARC layer 24 absorbs UV light 32 transmitted through the photoresist.
  • the dielectric layer 22 is generally and usually transparent in the UV wavelength range, if absorbing ARC layer 24 were not present, the UV light 32 would reflect off the underlying silicon layer 20, or other reflective surfaces such as poly silicon, copper, and high refractive index surfaces such as silicon nitride, etc., degrading a critical dimension, for example critical dimension 27 of the exposed photoresist.
  • a positive photoresist which provides direct image transfer, is assumed. It should be appreciated, however, that some organic dielectrics are not optically transparent.
  • the exposed stack is developed to produce the stack of Fig. 2e.
  • the absorbing ARC layer 24 is'resistant to conventional photoresist developer solutions such as a 2.3% solution of tetramethylammoniumhydroxide (TMAH).
  • TMAH tetramethylammoniumhydroxide
  • ARC layers which have some of the chemical characteristics of the photoresist materials, are more sensitive to photoresist developers.
  • absorbing ARC layers are resistant to photoresist stripping processes, whereas organic ARC's are not resistant.
  • use of absorbing layers may facilitate photoresist rework, without the need to reapply the ARC layer.
  • a pattern is etched in the absorbing ARC layer 24 through the opening in photoresist layer 26 to produce the etched stack of Fig. 2f.
  • a fluorocarbon etch which has a high selectivity to photoresist, is used to etch the absorbing spin-on ARC layer 24.
  • the response of the absorbing layer to a fluorocarbon etch provides an additional advantage of the absorbing layer over organic ARC layers, which require an oxygen plasma etch [RE based plasma].
  • An oxygen plasma etch can degrade the critical dimension of the developed photoresist because the photoresist, being organic based, is also etched by an oxygen plasma.
  • a fluorocarbon plasma consumes less photoresist than an oxygen plasma.
  • the thickness of photoresist layer 26 at the exposure step shown in Fig. 2d For example, it is estimated that at 193 nm, the thickness of photoresist layer should be approximately 300 nm, and in several comtemplated embodiments, thicknesses of about 250 nm are being used. Thus, as these short wavelengths start to be employed, it will be important to have an ARC layer that can be etched selectively with respect to the photoresist. Note the plasma etch rate selectivity of organic ARCs to photoresist rarely exceeds 2:1. Si-O based ARCs can show etch rate selectivities in excess of 6:1.
  • the fluorocarbon etch is continued through the dielectric layer 22 to produce the stack of Fig. 2g.
  • Photoresist layer 26 is partially consumed during the continued etch process.
  • the photoresist layer 26 is stripped using a suitable energy source, such as an oxygen plasma in some cases or a hydrogen reducing chemistry or via a wet chemistry and the ARC layer 24 is stripped using either a buffered oxide etch, for example a standard hydrofluoric acid/water mixture, non, partially or complete aqueous fluoride chemistry, or an aqueous or non-aqueous organoamine.
  • the ARC layer can be stripped with solutions that show a good selectivity with respect to the underlying dielectric layer.
  • 2a-2h illustrates the process advantages of absorbing materials as anti-reflective coating layers and as sacrificial anti-reflective coating layers.
  • the compounds, coatings, films, materials and the like described herein may be used to become a part of, form part of or form an electronic component and/or semiconductor component.
  • the term "electronic component” also means any device or part that can be used in a circuit to obtain some desired electrical action.
  • Electronic components contemplated herein may be classified in many different ways, including classification into active components and passive components. Active components are electronic components capable of some dynamic function, such as amplification, oscillation, or signal control, which usually requires a power source for its operation. Examples are bipolar transistors, field- effect transistors, and integrated circuits.
  • Passive components are electronic components that are static in operation, i.e., are ordinarily incapable of amplification or oscillation, and usually require no power for their characteristic operation. Examples are conventional resistors, capacitors, inductors, diodes, rectifiers and fuses. " • Electronic components contemplated herein may also be classified as conductors, semiconductors, or insulators. Here, conductors are components that allow charge carriers (such as electrons) to move with ease among atoms as in an electric cunent. Examples of conductor components are circuit traces and vias comprising metals.
  • Insulators are components where the function is substantially related to the ability of a material to be extremely resistant to conduction of cunent, such as a material employed to electrically separate other components
  • semiconductors are components having a function that is substantially related to the ability of a material to conduct cunent with a natural resistivity between conductors and insulators. Examples of semiconductor components are transistors, diodes, some lasers, rectifiers, thyristors and photosensors.
  • Power source components are typically used to power other components, and include batteries, capacitors, coils, and fuel cells.
  • Power consuming components include resistors, transistors, integrated circuits (ICs), sensors, and the like.
  • Discreet components are devices that offer one particular electrical property concentrated at one place in a circuit. Examples are resistors, capacitors, diodes, and transistors. Integrated components are combinations of components that that can provide multiple electrical properties at one place in a circuit. Examples are integrated circuits in which multiple components and connecting traces are combined to perform multiple or complex functions such as logic.
  • Shrinkage is measured by determining the film thickness before and after the process. Shrinkage is expressed in percent of the original film thickness. Shrinkage is positive if the film thickness decreased. The actual thickness measurements are performed optically using a n&k Analyzer spectrophotometer.
  • the refractive index (n) measurements are performed together with the thickness measurements using a n&k Analyzer spectrophotometer.
  • abso ⁇ tion coeficent (k) is measurmetns are performed together with the thickness measurments using a n&k Analyzer spectrophotometer.
  • Blanket Film Thickness The blanket film thickness measurements are performed using an N&K Analyzer.
  • % Via Fill The % Via Fill measurements are performed using a via-fill wafer along with a Scanning Electron Microscope.
  • Film Quality The film quality measurements are performed using visual inspection along with a microscope.
  • the methods of synthesizing the absorbing materials comprising at least one inorganic-based material or composition and at least one material modification agent that includes at least one porogen, at least one high-boiling solvent, at least one capping agent, at least one leveling agent, at least one catalyst, at least one replacement solvent, at least one adhesion promoter, at least one pH tuning agent, and/or a combination thereof in order to couple with and improve the compatibility of a resist material, increase etch selectivity and/or stripping selectivity and increase planarizationability, while decreasing voiding are illustrated in the following examples.
  • solutions and coatings prepared in the following examples are tuned and/or prepared in order to be compatible with several photoresist materials, including those that absorb around 157 nm, 193 nm, 248 nm, and 375 nm.
  • An example of the 193 nm resist material is an acrylate resist material.
  • Examples 6-21 can be substituted for the above-referenced compound. It is also important to note that even though Examples 6-21 deal with absorbing compounds and pH tuning agent addition, the pH tuning agent may be included or excluded along with the acetone-free situation described in this Example, in order to produce an absorbing composition such as those described herein. .
  • Table 1 shows a preliminary aging study using the acetone-free and acetone/TPA-free mixtures.
  • POR stands for the control, which used both acetone and IPA as solvents.
  • Figures 3-14 show the graphical representations of the preliminary aging data found in Table 1, including molecular weights, thickness, polydispersity and pH stability over a 7 day period of time.
  • Table 2 shows the reflux time, temperature, gas chromotography information, thickness, optical properties, ratios of water, ethanol, butanol and PGMEA, density, pH and total percent solids for 6 "runs" of the above-referenced material.
  • Table 3 shows the heat ramp data for those 6 runs (each run having a specific "Run ID” meaning a particular reflux time v. reflux temperature), and Figure 15 shows the graphical representations of the time v. temperature for those 6 runs.
  • the heat ramp experiments are designed to show how well the materials respond to applied heat. The temperature of the material is measured at specific time intervals as a constant heat is applied.
  • Examples 6-21 can be substituted for the above-referenced compound. It is also important to note that even though Examples 6-21 deal with absorbing compounds and pH tuning agent addition, the pH tuning agent may be included or excluded along with the high boiling point solvent situation described in this Example, in order to produce an absorbing composition such as those described herein.
  • Table 4 shows the summary of the via fill versus the thickness and pitch for control materials at different pH measurements versus the control materials plus the high boiling point solvents at different pH measurements.
  • Figures 16-27 show the graphical representation of the data and resulting trends regarding blanket film thickness and % fill in Table 4 (parts 1 and 2).
  • Figure 28 shows the actual SEM data for a wafer with absorbing composition and a wafer with absorbing composition that further comprises a high boiling point solvent.
  • TEOS 1639.78 grams MTEOS, 958.97 grams 9-anthracene carboxy-methyl triethoxysilane, 119.24 grams 0.1 M nitric acid and 1425.58 grams deionized water were combined.
  • the flask was refluxed and/or heated for 1 to 12 hours.
  • the heating/refluxing time was divided into four relatively equal time intervals, and at each time interval TMEOS was added in 0.2g, 0.5g, lg and 5g amounts respectively.
  • 20650.0 g of ethyl lactate was added.
  • 932.80 grams of butanol was added after the TMEOS addition was completed.
  • the material modification agent added for this set of examples was the at least one capping agent, which was in this case TMEOS. It is important to note that any of the compounds and/or mixtures found in Examples 6-21 can be substituted for the above-referenced compound. It is also important to note that even though Examples 6-21 deal with absorbing compounds and pH tuning agent addition, the pH tuning agent may be included or excluded along with the high boiling point solvent situation described in this Example, in order to produce an absorbing composition such as those described herein.
  • the parents were aged for one week at 40°C.
  • time of addition of TMEOS was not significant to polymer molecular weight growth.
  • the polymer molecular weight growth was smallest for the three aged parents where 5g of TMEOS were added.
  • the GC showed a peak for TMEOS, except for the parent where TMEOS was added three hours into reflux.
  • Five samples with the smallest polymer molecular weight growth slope were chosen as possible candidates.
  • the parents chosen were all three samples with 5 g of TMEOS added, one sample with lg of TMEOS added 3 hrs into reflux, and one sample with lg of TMEOS added instead of butanol.
  • the five parents were diluted to form the absorbing coating composition and aged for 1 week at 40°C.
  • the polymer molecular weight growth was smallest for the three children where 5 g of TMEOS were added.
  • the polymer molecular weight growth may also be controlled and/or stopped by controlling the water content of the parent formulation, since a majority of the polymer molecular weight growth occurs upon dilution, regardless of the parent molecular weight.
  • the water was reduced by about 20%.
  • Table 5 and Table 6 show the
  • the viscosity of the absorbing composition was modified by the following procedure.
  • the above-prepared absorbing composition that comprised a replacement solvent and no acetone was spun-on to a substrate at 2000RPM a thickness of 3000A with BYK 306 surfactant present.
  • About 2 grams of leveling and viscosity agents BYK 410, 420, 380 and/or 381 may be added.
  • the surfactant may or may not be present in additional examples when the leveling and/or viscosity agents are added.
  • the additive may be added to a child (already diluted like in the example above), they may be diluted further after the additive is added or not. Or the additive may be added to a parent and then either diluted or not.
  • FC-4430 was then tested on 300mm material loading range of 0.004 to 0.019. The striations were seen to decrease in severity as the loading increased with some wafers of loading 0.019 having no visible striations and other having visible striations.
  • FC-4432 was tested in 200mm and 300mm in loadings from 0.004 to 0.11 in small increments to determine the lowest concentration that the FC-4432 worked to eliminate 25 striations.
  • the loading was found to be 0.005 in 300mm and 0.04 in 200mm. No filtration was done to eliminate filtration interactions.
  • FC-4432 the samples loadings that worked in the previous samples were repeated with larger sample sizes and half was filtered and half was unfiltered. 200mm material had loading 0.03 to 0.06. 300mm had loadings of 0.04 to 0.06. The filtered samples required a slightly higher surfactant loading than the unfiltered to eliminate striations.
  • Tagopren 5884 was tested in 300mm with loadings of 0.006 to 0.11. None of the wafers had striations however there was a large thickness variation around the edge of the wafer.
  • Tagopren 5884 was mixed with other surfactants that did not have a large edge thickness variation, Tagopren 5851 and 5857 and FC-4430, to determine if mixing could create no striations and no edge thickness variation. The edge thickness variation was not eliminated.
  • Tagopren 5884 was used in the same loading that worked in earlier experiments (Loading 0.004, 0.006, and 0.008). The samples were made and filtered, none had striations.
  • Tagopren 5863 was tested in 300mm with loadings of 0.006 to 0.11. Samples with a loading of 0.015 or higher did not show striations, samples with a loading lower than 0.015 did have striations. There was a large thickness variation around the edge of the wafer.
  • Tagopren 5863 was mixed with other surfactants that did not have a large edge thickness variation, Tagopren 5851 and 5857, to determine if mixing could create no striations and no edge thickness variation. The edge thickness variation was not eliminated.
  • Tagopren 5863 was used in the same loading that worked in earlier experiments (Loading 0.015, 0.017, and 0.019). The sample with loading 0.019 did not have striations, the 0.015 and 0.017 had a few striations however did not show the striation pattern.
  • Tagopren 5851, and 5857 were tested in 300mm with loadings of 0.006 to 0.11. All wafers spun had visible striations. There was not a large thickness variation around the edge of the wafer.
  • Tagopren 5851 and 5857 were mixed with other surfactants that did not have striations to see if these surfactants would eliminate the edge thickness variation seen in other Tagopren samples to determine if mixing could create no striations and no edge thickness variation. Edge thickness variation was visible on the mixed surfactant samples.
  • One of the best ways to improve the etching selectivity and/or stripping selectivity of the absorbing composition, as mentioned earlier, is increasing the surface area through the addition of a porogen to the material and then creating pores after the application of energy.
  • Examples 6-21 can be substituted for the above-referenced compound. It is also important to note that even though Examples 6-21 deal with absorbing compounds and pH tuning agent addition, the pH tuning agent may be. included or excluded along with the high boiling point solvent situation described in this Example, in order to produce an absorbing composition such as those described herein.
  • a pH tuning agent 0.1 M nitric acid, was added to 2 separate solutions of 650 g of the spin-on material that has a starting pH of about 1.5.
  • the solutions were then dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each.
  • Optical properties were measured with an N & K Technology Model 1200 analyzer.
  • the film thickness was 1402.17 A.
  • the refractive index (n) was 1.47
  • the extinction coefficient (k) was 0.429.
  • the same spin and bake process parameters and measurement technique was used in all of the following examples.
  • the solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each.
  • Optical properties were measured with an N & K Technology Model 1200 analyzer.
  • the film thickness was 1635 A.
  • the refractive index (n) was 1.373 and the extinction coefficient (k) was 0.268. It should be appreciated, however, that the refractive index and extinction coefficient data for this example and all of the following and contemplated examples could change depending on the purity of the initial reactants and starting compounds.
  • the same spin and bake process parameters and measurement technique was used in all of the following examples.
  • the solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each.
  • Optical properties were measured with an N & K Technology Model 1200 analyzer.
  • the film thickness . was 1635 A.
  • the refractive index (n) was 1.373 and the extinction coefficient (k) was 0.268.
  • the solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each.
  • Optical properties were measured with an N & K Technology Model 1200 analyzer.
  • the film thickness was 1635 A.
  • the solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each.
  • Optical properties were measured with an N & K Technology Model 1200 analyzer.
  • the film thickness was 1635 A.
  • the solution was filtered.
  • the solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each.
  • Optical properties were measured with an N & K Technology Model 1200 analyzer.
  • the film thickness was 1635 A.
  • the refractive index (n) was 1.373 and the extinction coefficient (k) was 0.268.
  • the solution was filtered.
  • the solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each.
  • Optical properties were measured with an N & K Technology Model 1200 analyzer.
  • the film thickness was 1635 A.
  • the solution was dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each.
  • MTEOS 20 grams 9-anthracene methanol, 60 grams 2-hydroxy-4-(3-triethoxysilypropoxy)- diphenylketone, 5 grams rosolic acid, 0.5599 grams 1.0 M acetic acid and 71.90 grams deionized water were combined.
  • the flask was refluxed and/or heated for 1 to 12 hours.
  • 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
  • MTEOS 20 grams 9-anthracene methanol, 60 grams 2-hydroxy-4-(3-triethoxysilypropoxy)- diphenylketone, 5 grams rosolic acid, 0.5599 grams 10 M acetic acid and 120 grams deionized water were combined.
  • the flask was refluxed andor heated for 1 to 12 hours.
  • 57 grams of butanol, 88 grams 2-propanol, 44 grams of acetone, 59 grams of ethanol, 9.5 grams deionized water and 3.75 grams of 10% FC 430 (3M, Minneapolis, MN) were added.
  • TMAH was added before, during and after the refluxing step, respectively.
  • TMAH TMAH was added in three additional solutions. In each solution, the TMAH was added before, during and after the refluxing step, respectively.
  • TMAH was added before, during and after the refluxing step, respectively.
  • TEOS 19.10 grams MTEOS, 51.7 g PTEOS, 5.624 grams 0.1 M nitric acid and 66.827 grams deionized water were combined.
  • the flask was refluxed and/or heated for 1 to 12 hours.
  • 43.93 grams of butanol was added. The solution was filtered to be used in the pH tuning experiments.
  • the two solutions prepared were combined, and a pH tuning agent, APTEOS, was added to 6 separate solutions of 650 g of the combined material that has a starting pH of about 1.7.
  • the solutions were then dispensed, followed by a 3000 rpm thickness spin for 20 seconds, and baked at 80°C and at 180°C for one minute each. Optical properties were measured with an N & K Technology Model 1200 analyzer. Optical properties follow for each of the A-F solutions shown above:
  • the Si-O fill material needs to be balanced so that both resistance to 2.5% TMAH based photoresist developer, resistance to the solvents comprising the photoresist or if the Si-O fill material is transparent, the absorbing composition, and at the same time showing a highly selective strip to the ultra-low k dielectric materials, coatings and/or films.
  • the photoresist can be patterned directly on the Si-O material.
  • the fill material should be resistant to 2.5% photoresist developer and to the solvents that comprise ArF, KrF and other type of photoresists.
  • An absorbing composition layer must then be applied on top of the chemically weak Si-O based fill material.
  • resistance can be maintained to non-aqueous solvents (in this case PGMEA) while at the same time allowing for complete removal by a standard photoresist developer (2.5% TMAH in water) system.
  • PGMEA non-aqueous solvents
  • TMAH standard photoresist developer
  • Photoresist patterning would then occur on the organic absorbing composition layer and not on the Si-O layer. Since the Si-O can be rapidly removed in mild 2.5% TMAH solutions, it can also be rapidly removed in other fluoride and more agressive alkaline chemistries. Such an increase in strip rate provides the selectivity to ultra low k films that is needed.
  • a thinner organic absorbing composition/anti-reflective layer can be used as the via fill is handled by the weak Si-O based layer.
  • a thinner organic absorbing composition/anti- reflective layer facilitates the pattern transfer of photoresist through and into the Si-O and ultra-low k layer.
  • the Si-O layer since the Si-O layer is now so weak it can be coated to sufficient thickness to improve planarization.
  • the Si-O layer can be made absorbing at the patterning wavelength thus no longer placing a thickness constraint on the organic absorbing composition/anti-reflective.
  • the organic absorbing composition/anti-reflective layer can be made sufficiently thin to act simply as a cap to the weak Si-O film. Making the organic absorbing composition/anti-reflective so thin further improves the ability to accurately
  • Photoresist is then deposited and then trench patterned onto the organic absorbing composition/anti-reflective layer.
  • the trench pattern is then etched through the organic absorbing composition anti-reflective layer, the Si-O layer and into the ultra-low k layer. Once the etch is complete the remaining photoresist is removed and organic absorbing composition/anti-reflective is removed, followed by the removal of the remaining Si-O layer. Now the trench-via pattern is defined and ready for metalization.
  • the porous film is baked to a temperature too low to drive out the porogen.
  • the porogen conduits are left behind within the Si-O anti-reflective coating film that when exposed to 2.3% TMAH is completely removed. However when exposed to PGMEA nothing occurs.
  • specific embodiments and applications of compositions and methods to produce inorganic-based materials, spin-on materials, spin-on inorganic materials and spin-on glass materials comprising absorbing compounds and that comprise at least one material modification agent, such as at least one porogen, at least one high-boiling solvent, at least one catalyst, at least one replacement solvent, at least one capping agent, at least one leveling agent, at least one adhesion promoter, at least one pH tuning agent, and/or a combination thereof have been disclosed.
  • A. material film thickness (SEM - na vie) (A) no acetone 2 6 nm 3100 vie tpaelnfl (nm) dim thickness between vies (A) thickness difference (isolated - dense) (A) 120 1B1S 1285 160 200Q 1100 300 2550 560
  • E. malarial film thickness SEM - no via
  • A no acetone 248 nm w/ 0,4% BY 3B0 3200 via spacing
  • A thickness difference (isolated . dense)

Abstract

L'invention concerne des matières pour couches antireflets utilisées en photolithographie ultraviolette contenant au moins un composé absorbant et au moins un agent de modification de matières, tels qu'au moins un porogène, au moins un solvant à point d'ébullition élevé, au moins un agent de recouvrement, au moins un agent égalisant, au moins un catalyseur, au moins un solvant de remplacement, au moins un agent d'ajustement du pH, et/ou une combinaison correspondante qui sont incorporés dans des matières inorganiques ou des composés et/ou compositions inorganiques. Les composés d'absorption appropriés sont ceux qui absorbent des longueurs d'ondes environnantes comme 365 nm, 248 nm, 193 nm et 157 nm utilisables en photolithographie.
PCT/US2002/036327 1999-06-10 2002-11-12 Couches antireflets pour photolithographie et procedes de preparation associes WO2003044078A1 (fr)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2003545712A JP2005509913A (ja) 2001-11-15 2002-11-12 フォトリソグラフィのための非反射性コーティングおよびそれの製造方法
EP02793921A EP1478682A4 (fr) 2001-11-15 2002-11-12 Couches antireflets pour photolithographie et procedes de preparation associes
AU2002359387A AU2002359387A1 (en) 2001-11-15 2002-11-12 Anti-reflective coatings for photolithography and methods of preparation thereof
US10/495,686 US9069133B2 (en) 1999-06-10 2002-11-12 Anti-reflective coating for photolithography and methods of preparation thereof
AU2003295517A AU2003295517A1 (en) 2002-11-12 2003-11-12 Anti-reflective coatings for photolithography and methods of preparation thereof
PCT/US2003/036354 WO2004044025A2 (fr) 2002-11-12 2003-11-12 Revetements antireflechissant utilises en photolithographie et leurs procedes de preparation
TW092131890A TW200426199A (en) 2002-11-12 2003-11-12 Anti-reflective coatings for photolithography and methods of preparation thereof

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/001,143 US6824879B2 (en) 1999-06-10 2001-11-15 Spin-on-glass anti-reflective coatings for photolithography
USPCT/US01/45306 2001-11-15
PCT/US2001/045306 WO2003044600A1 (fr) 2001-11-15 2001-11-15 Revetements antireflets conçus pour etre deposes par rotation pour la photolithographie
US10/001,143 2001-11-15

Publications (2)

Publication Number Publication Date
WO2003044078A1 WO2003044078A1 (fr) 2003-05-30
WO2003044078A9 true WO2003044078A9 (fr) 2004-01-08

Family

ID=26668624

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2002/036327 WO2003044078A1 (fr) 1999-06-10 2002-11-12 Couches antireflets pour photolithographie et procedes de preparation associes

Country Status (3)

Country Link
EP (1) EP1478682A4 (fr)
AU (1) AU2002359387A1 (fr)
WO (1) WO2003044078A1 (fr)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003502449A (ja) 1999-06-10 2003-01-21 ハネウエル・インターナシヨナル・インコーポレーテツド フォトリソグラフィ用スピンオンガラス反射防止コーティング
JP4471123B2 (ja) * 2003-04-17 2010-06-02 日産化学工業株式会社 多孔質下層膜及び多孔質下層膜を形成するための下層膜形成組成物
US7060637B2 (en) 2003-05-12 2006-06-13 Micron Technology, Inc. Methods of forming intermediate semiconductor device structures using spin-on, photopatternable, interlayer dielectric materials
KR101156200B1 (ko) 2003-05-23 2012-06-18 다우 코닝 코포레이션 습식 에치율이 높은 실록산 수지계 반사 방지 피막 조성물
TW200523298A (en) 2003-08-04 2005-07-16 Honeywell Int Inc Coating composition optimization for via fill and photolithography applications and methods of preparation thereof
KR20060131735A (ko) * 2003-10-07 2006-12-20 허니웰 인터내셔날 인코포레이티드 집적 회로 장치를 위한 코팅 및 하드 마스크 조성물, 생산방법 및 이들의 용도
KR20050040275A (ko) * 2003-10-28 2005-05-03 삼성전자주식회사 절연막 형성용 조성물 및 이를 이용한 절연막 또는 절연막패턴의 형성방법
US8053159B2 (en) * 2003-11-18 2011-11-08 Honeywell International Inc. Antireflective coatings for via fill and photolithography applications and methods of preparation thereof
US8901268B2 (en) 2004-08-03 2014-12-02 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
JP5412037B2 (ja) 2004-12-17 2014-02-12 ダウ・コーニング・コーポレイション シロキサン樹脂、シロキサン樹脂の調製方法および抗反射コーティング組成物
DE602005008100D1 (de) 2004-12-17 2008-08-21 Dow Corning Verfahren zur ausbildung einer antireflexionsbeschichtung
US20060183055A1 (en) * 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
JP4881396B2 (ja) 2006-02-13 2012-02-22 ダウ・コーニング・コーポレイション 反射防止膜材料
US8642246B2 (en) * 2007-02-26 2014-02-04 Honeywell International Inc. Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
JP5587791B2 (ja) 2008-01-08 2014-09-10 東レ・ダウコーニング株式会社 シルセスキオキサン樹脂
EP2238198A4 (fr) 2008-01-15 2011-11-16 Dow Corning Résines à base de silsesquioxane
US8859673B2 (en) 2008-02-25 2014-10-14 Honeywell International, Inc. Processable inorganic and organic polymer formulations, methods of production and uses thereof
EP2250213B1 (fr) 2008-03-04 2013-08-21 Dow Corning Corporation Résines silsesquioxane
US8241707B2 (en) 2008-03-05 2012-08-14 Dow Corning Corporation Silsesquioxane resins
US7955782B2 (en) 2008-09-22 2011-06-07 Honeywell International Inc. Bottom antireflective coatings exhibiting enhanced wet strip rates, bottom antireflective coating compositions for forming bottom antireflective coatings, and methods for fabricating the same
US9366964B2 (en) * 2011-09-21 2016-06-14 Dow Global Technologies Llc Compositions and antireflective coatings for photolithography
JP6196194B2 (ja) 2014-08-19 2017-09-13 信越化学工業株式会社 紫外線吸収剤、レジスト下層膜形成用組成物、及びパターン形成方法
US10544329B2 (en) 2015-04-13 2020-01-28 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
FI128886B (en) * 2019-02-25 2021-02-26 Pibond Oy Functional hydrogen silicon oxane polymers and their uses

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW354392B (en) * 1996-07-03 1999-03-11 Du Pont Photomask blanks
JP2003502449A (ja) * 1999-06-10 2003-01-21 ハネウエル・インターナシヨナル・インコーポレーテツド フォトリソグラフィ用スピンオンガラス反射防止コーティング
IL151390A (en) * 2000-02-28 2007-06-03 Adsil Lc Silane-based coating compositions, coated items extracted from them and methods of using them
US6368400B1 (en) * 2000-07-17 2002-04-09 Honeywell International Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
EP1197511A1 (fr) * 2000-10-10 2002-04-17 Shipley Company LLC Composition antireflet

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements

Also Published As

Publication number Publication date
EP1478682A1 (fr) 2004-11-24
EP1478682A4 (fr) 2005-06-15
WO2003044078A1 (fr) 2003-05-30
AU2002359387A1 (en) 2003-06-10

Similar Documents

Publication Publication Date Title
US9069133B2 (en) Anti-reflective coating for photolithography and methods of preparation thereof
EP1695142B1 (fr) Revetements antireflet destines au remplissage de trous d'interconnexion et a des applications de photolithographie et leurs procedes de preparation
WO2003044078A9 (fr) Couches antireflets pour photolithographie et procedes de preparation associes
US7867331B2 (en) Coating composition optimization for via fill and photolithography applications and methods of preparation thereof
WO2004044025A2 (fr) Revetements antireflechissant utilises en photolithographie et leurs procedes de preparation
US8642246B2 (en) Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
KR101339763B1 (ko) 반사방지 하드 마스크 조성물
US7955782B2 (en) Bottom antireflective coatings exhibiting enhanced wet strip rates, bottom antireflective coating compositions for forming bottom antireflective coatings, and methods for fabricating the same
KR101541439B1 (ko) 코팅 조성물 및 패턴 형성방법
EP3257069A1 (fr) Composition de masque dur métallique et procédés de formation de motifs fins sur des substrats semi-conducteurs
JP5702837B2 (ja) 加工可能な無機及び有機ポリマー配合物、それらの製造方法及び使用
EP2278611A1 (fr) Formule de résine organosilicate à utiliser dans des dispositifs microélectroniques
WO2010032796A1 (fr) Composition permettant de former une paroi latérale
KR20210042959A (ko) 높은 해상도 패터닝을 위한 실라놀-함유 유기-무기 하이브리드 코팅
JP2010519362A (ja) シロキサンポリマーの製造方法
EP3923074A1 (fr) Matériau de film de sous-couche de réserve, procédé de formation de motifs et procédé de formation de film de sous-couche de réserve
KR20050044501A (ko) 포토리소그래피용 무반사 코팅 및 이의 제조 방법
WO2007094849A2 (fr) Materiau de revetement antireflecteur
TW200426199A (en) Anti-reflective coatings for photolithography and methods of preparation thereof

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NO NZ OM PH PL PT RO RU SC SD SE SG SI SK SL TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GH GM KE LS MW MZ SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR IE IT LU MC NL PT SE SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
COP Corrected version of pamphlet

Free format text: PAGES 1-127, DESCRIPTION, REPLACED BY NEW PAGES 1-126; PAGE 134, CLAIMS, REPLACED BY A NEW PAGE 133; PAGES 128-137, CLAIMS, RENUMBERED AS 127-136; DUE TO LATE TRANSMITTAL BY THE RECEIVING OFFICE

WWE Wipo information: entry into national phase

Ref document number: 1020047007488

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 2003545712

Country of ref document: JP

WWE Wipo information: entry into national phase

Ref document number: 2002793921

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 20028271505

Country of ref document: CN

WWP Wipo information: published in national office

Ref document number: 2002793921

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 10495686

Country of ref document: US