WO2002037549A2 - Method for structuring a silicon oxide layer - Google Patents

Method for structuring a silicon oxide layer Download PDF

Info

Publication number
WO2002037549A2
WO2002037549A2 PCT/EP2001/012538 EP0112538W WO0237549A2 WO 2002037549 A2 WO2002037549 A2 WO 2002037549A2 EP 0112538 W EP0112538 W EP 0112538W WO 0237549 A2 WO0237549 A2 WO 0237549A2
Authority
WO
WIPO (PCT)
Prior art keywords
etching
mask
plasma
etching gas
silicon oxide
Prior art date
Application number
PCT/EP2001/012538
Other languages
German (de)
French (fr)
Other versions
WO2002037549A3 (en
Inventor
Matthias Goldbach
Bastian HAUSSDÖRFER
Ortrun Grahl
Original Assignee
Infineon Technologies Ag
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Infineon Technologies Ag, Applied Materials, Inc. filed Critical Infineon Technologies Ag
Publication of WO2002037549A2 publication Critical patent/WO2002037549A2/en
Publication of WO2002037549A3 publication Critical patent/WO2002037549A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process

Definitions

  • the invention relates to a method for structuring a silicon oxide layer.
  • Silicon oxide layers serve silicon oxide layers, among other things, as insulating, passivating layers or even as hard masks. Silicon oxide layers find e.g. Application in trench isolation technology, where they are used to isolate neighboring transistors. In addition, they serve as insulation layers in multi-layer wiring or when building e.g. MOS transistors.
  • the construction of integrated semiconductor circuits thus requires the provision of suitable etching methods by means of which a silicon oxide layer which has been deposited or is generated by thermal oxidation can be structured. In particular, plasma etching processes are used as the etching process.
  • the etching process Due to the ever increasing integration density of the circuits, the etching process has to be able to realize ever smaller critical dimensions and ever higher aspect ratios.
  • structures such as contact holes, vias or hard masks with ever larger ones
  • the etching must firstly be as anisotropic as possible.
  • the etching should be as selective as possible to that of the Etching materials used as a mask, such as silicon or polymer lacquer masks. If the etching has only a low selectivity, the mask is attacked in the etching process, in particular on its profile flanks, to an extent that leads to an undesired processing of the structure specified by the mask. As a result, both the aspect ratio to be achieved and the critical dimension to be achieved are adversely affected.
  • the etching depth to be achieved is reduced by a low selectivity of the etching process, since the mask itself is removed very quickly. The improvement of the selectivity of etching processes for silicon oxide layers is therefore the subject of constant research in semiconductor technology.
  • the deposition and the etching rates are in an interplay and are different from different materials. Through a suitable choice of the process parameters, they can be set so that an effective etching can be achieved selectively for one material, whereas at the same time the deposition predominates on another material. For example, when using CF 4 in the etching gas, it is possible to set the etching parameters so that a fluorine-containing polymer is deposited on silicon and silicon nitride, whereas silicon oxide is etched by the plasma. The etching parameters can therefore be set such that the material of the layer to be structured is etched and at the same time a polymer is deposited on the material of the mask.
  • etching parameters used in these processes always represent a compromise between the protection of the mask by the polymer deposition on the one hand and the etching of the silicon oxide layer in the exposed areas on the other hand optimal etching rate for the material to be structured, still the optimal deposition rate of the polymer on the mask reached.
  • Etching process by excessive polymer deposition in structures with high aspect ratio is avoided by performing the etching process in a two-step process.
  • an etching recipe is selected with which the oxide layer is quickly but non-selectively etched.
  • a second step by changing the etching chemistry, i.e. the fluorine-containing compounds and the power coupled into the plasma reactor created more selective etching conditions.
  • this method also has the disadvantage that the increase in the selectivity of the etching process is a change in the Chemical etching.
  • the plasma is not maintained between the changes in the etching chemistry. This change therefore entails waiting times during which a steady state in the plasma has to be established again.
  • the present invention is therefore based on the object of providing a method for structuring a silicon oxide layer which reduces or completely avoids the disadvantages described above.
  • the object of the present invention is to provide a method with which structures with a high aspect ratio can be etched into a silicon oxide layer with a high selectivity in relation to the mask used.
  • a method for structuring a silicon oxide layer comprises the following steps: A substrate, which comprises a silicon oxide layer and a mask partially covering the silicon oxide layer, is provided in a plasma reactor. A plasma is generated from an etching gas which contains at least one fluorocarbon or fluorocarbon compound selected from the group consisting of compounds of
  • a first potential difference between the substrate and the plasma generated from the above-mentioned etching gas which is selected such that at least the silicon oxide layer undergoes an etching removal (process step c).
  • a second, different from the first potential difference between the substrate and the plasma generated from the above-mentioned etching gas is set, which is chosen such that a layer of a fluorine-containing polymeric material is deposited on the mask, the layer thickness of which during the second period grows. (Process step d).
  • plasma reactors that can be used for the usual chemical-physical dry etching processes can be considered as plasma reactors.
  • Such dry etching processes can e.g. reactive ion etching, anodically coupled plasma etching in the parallel plate reactor, magnetic field-supported reactive ion etching, triodes reactive ion etching, inductively coupled plasma etching or etching with an inductively coupled plasma source.
  • masks are to be understood as layers of materials which are suitable for protecting a silicon oxide layer from etching removal during plasma etching. In particular, this includes materials such as Silicon, silicon nitride or polymeric materials that are used as photolithography masks or lacquer masks. The only exception is silicon oxide itself.
  • fluorocarbon compound is to be understood as meaning both compounds which are composed only of fluorine and carbon or compounds which also contain hydrogen in addition to fluorine and carbon.
  • Such connections can be, for example.
  • C 4 F 8 is used as the fluorocarbon compound, the constitutional isomer of this compound not being important.
  • the gas flow rate of the C 4 F 8 is between 10 and 50 sccm, in particular between 20 and 50 sccm.
  • the higher gas flow rates are preferred since higher etching or deposition rates can be achieved when they are used.
  • the potential difference between the plasma and the substrate is understood to mean the potential difference that forms between the plasma envelope and the adjacent substrate.
  • This potential difference determines the energy with which the ions are accelerated from the plasma onto the substrate.
  • This potential difference can be influenced by various process parameters that can be set on the plasma reactor. As a rule, the potential difference is varied by changing the high-frequency power coupled into the plasma reactor. This power is also referred to as "bias power". Depending on the type of plasma reactor, the coupling can take place inductively and / or capacitively.
  • the first (in method step c) and the second potential difference (in method step d) between the substrate and the plasma generated from the etching gas used is set by coupling a power into the plasma reactor.
  • This power is particularly preferred. This can be done, for example, by adding power to the plasma in addition to inductively coupled power is thereby coupled in that the substrate or the electrode on which the substrate is attached is capacitively connected to a high-frequency source.
  • the sequence of process steps c) and d) is not specified in the process according to the invention.
  • the method can be designed in such a way that the SiO 2 layer is etched in a first etching step and the polymer is deposited on the mask in a subsequent deposition step. Conversely, the polymer can first be deposited on the mask and then the silicon oxide layer can be etched. In the first case, the mask is reinforced by the deposition after the etching, in the second case the mask is reinforced before the etching.
  • the first potential difference is selected in the method according to the invention in such a way that the silicon oxide layer experiences an etching removal. Only the silicon layer alone or also the mask protecting it can be etched. If both the silicon oxide layer and the mask are etched, the first period must be dimensioned so that the mask is not completely removed in any area.
  • the power which is coupled into the plasma reactor and with which the first potential difference is set during the first period is at least 400 W, preferably at least 600 W and particularly preferably at least 800 W.
  • the parameters that can be set on the plasma generator are set in this way be that the etching of the Si0 2 layer already has a high selectivity towards the mask during the first period.
  • the first potential difference is set in such a way that a layer of fluorine-containing polymer forms on the mask during the first period, the layer thickness of which remains essentially constant during the first period. That the potential difference is chosen here such that a balance is established between the etching of the mask and the deposition of the polymeric material on the mask during the first period.
  • the layer which is deposited is generally only a few nm thick.
  • the second potential difference between the substrate and the plasma generated from the etching gas is selected in the method according to the invention in such a way that a layer of a fluorine-containing polymeric material is deposited on the mask, the layer thickness of which during the second
  • the preferred power for setting the second potential difference during the second period is less than 400 W, preferably at most 200 W.
  • the deposition rate of the fluorine-containing, polymeric material on the mask is at least 50 nmmin ⁇ 1 , preferably is at least 240 nmmin "1 , in particular at least 350 nmmin " 1 .
  • the polymer layer becomes the mask against further etching protected.
  • This protective effect significantly improves the selectivity of the etching process between silicon oxide and the mask material during the second period.
  • the mask is renewed by the polymer deposition so that it can withstand a subsequent renewed etching longer. This increases the etch depth that can be achieved.
  • the change of the first potential difference to the second potential difference can e.g. by simple
  • the method according to the invention thus offers the advantage that rapid, selective etching of the silicon oxide layer can be achieved without having to make time-consuming changes to the plasma.
  • the etching chemistry of the plasma generated in the method according to the invention is crucially based on the use of a fluorocarbon compound which is suitable for depositing a fluorine-containing, polymeric material on the mask from the plasma. This component is retained in the etching gas over the entire period of the process.
  • the term “the etching gas generated in b) mentioned” is also to be understood in this sense in the context of the present invention.
  • the other etching gas components can be varied throughout the process. To increase the selectivity of the process, additional gas components can either be used during the entire process or also only be mixed in during individual steps. In particular, additional components which increase the etching rate can be added to the etching gas during method step c). In this case, the use of molecular oxygen as an additional component in the etching gas is particularly preferred.
  • the polymer deposition offers a further advantage during the second period.
  • the mask is reinforced by the deposition that takes place selectively on the mask material, so that the mask can then be exposed again to a relatively unselective etching step over a longer period of time. This is done in a particularly preferred variant of the invention
  • the duration of the first and second periods can differ from that of the first or previous cycle. Furthermore, the respective first and second potential differences can be changed in the repetition steps. This also applies to all other selectable process parameters, insofar as they can be changed without lengthy time delays.
  • the etching and deposition conditions in each step can be optimally adapted to the aspect ratios of the structure to be etched during the respective cycle , This results in a higher selectivity and a better profile control during the etching, whereby time-consuming changes in the process conditions can be dispensed with.
  • the etching gas additionally contains an inert gas, preferably argon. Its gas flow rate is preferably between 100 and 1000 sccm, particularly preferably between 200 and 700 sccm, in particular between 200 and 500 sccm.
  • the etching gas additionally contains molecular oxygen 0 2 . It is particularly preferred here that the gas flow rate of the molecular oxygen is between 5 and 25 sccm, in particular 10 sccm.
  • the etching removal in method step c) can be increased further when using molecular oxygen in the etching gas.
  • the etching gas additionally only contains molecular oxygen in method step c).
  • Fig. 1 A graph showing the dependence of the deposition rate of the fluorine-containing, polymeric material Silicon from the capacitively coupled power (bias power) for various etching gases is shown.
  • the polymer deposition from the plasma onto a silicon mask was determined as a function of the capacitively coupled power.
  • silicon wafers were placed in a plasma generator of the type IPS Dielectric Etcher from Applied Materials and exposed to a plasma.
  • the polymer deposition on the silicon wafers was then determined using scanning electron microscopy for various capacitively coupled powers.
  • the basic recipe was used in the first series of measurements and in the second In addition, molecular oxygen with a gas flow rate of 10 sccm was added to the basic recipe.
  • the measured values of the first series of measurements are represented in the graph shown in FIG. 1 by the full symbols.
  • the full circles correspond to the measured values at the edge of the silicon wafers, the full squares represent the measured values in the center of the silicon wafers.
  • the measured values of the second series of measurements are represented by the half-open symbols, the circles again representing the measured values on the edge and the squares representing the measured values in the center of the silicon wafers.
  • the deposition rate decreases with increasing capacitively coupled power and converts into an etching rate from a certain power.
  • the addition rate of molecular oxygen reduces the deposition rate.
  • the deposition rate shows only slight local deviations.
  • Embodiment 2 From these deposition rates, an optimized time can be determined, which is necessary for the deposition of a defined layer thickness.
  • an Si0 2 layer was structured with a silicon mask.
  • the Si0 2 layer already had contact holes with an approximate depth of 500 nm and an aspect ratio of about 5.
  • etching conditions were chosen such that a deposition took place on the silicon mask.
  • etching conditions were chosen so that the Si0 2 layer was etched.

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

The invention relates to a method for structuring a silicon oxide layer. According to said method, a substrate comprising a silicon oxide layer with a mask is provided in a plasma reactor. The silicon oxide layer is exposed to a plasma which is produced from an etching gas containing at least one fluorocarbon compound that is selected from the group consisting of compounds of the empirical formula CxHyFz, wherein x = 1 to 5, y = 0 to 4 and z = 2 to 10. The process is optimised by direct switching between the etching and deposition modes, which is achieved by varying the potential difference between the substrate and the plasma.

Description

Be s ehre ibungBe honored
Verfahren zur Strukturierung einer Siliziumoxid-Schicht.Process for structuring a silicon oxide layer.
Die Erfindung betrifft ein Verfahren zur Strukturierung einer Siliziumoxid-Schicht.The invention relates to a method for structuring a silicon oxide layer.
In den Strukturierungsverfahren zum Aufbau integrierter Schaltungen spielen Verfahren zum Ätzen von Siliziumoxid- Schichten eine bedeutende Rolle. In den integriertenIn the structuring processes for the construction of integrated circuits, processes for etching silicon oxide layers play an important role. In the integrated
Halbleiterschaltungen dienen Siliziumoxid-Schichten unter anderem als isolierende, passivierende Schichten oder selbst als Hartmasken. Siliziumoxid-Schichten finden z.B. Anwendung in der Grabenisolationstechnik, bei der sie zur Isolation benachbarter Transistoren verwendet werden. Darüber hinaus dienen sie als Isolationsschichten bei der Mehrlagenverdrahtung oder dem Aufbau von z.B. MOS- Transistoren. Der Aufbau von integrierten Halbleiterschaltungen erfordert somit die Bereitstellung geeigneter Ätzverfahren mittels derer eine einmal abgeschiedene oder durch thermische Oxidation erzeugte Siliziumoxid-Schicht strukturiert werden kann. Als Ätzverfahren kommen hier insbesondere Plasmaätzverfahren zum Einsatz .Semiconductor circuits serve silicon oxide layers, among other things, as insulating, passivating layers or even as hard masks. Silicon oxide layers find e.g. Application in trench isolation technology, where they are used to isolate neighboring transistors. In addition, they serve as insulation layers in multi-layer wiring or when building e.g. MOS transistors. The construction of integrated semiconductor circuits thus requires the provision of suitable etching methods by means of which a silicon oxide layer which has been deposited or is generated by thermal oxidation can be structured. In particular, plasma etching processes are used as the etching process.
Aufgrund der immer höher werdenden Integrationsdichte der Schaltungen müssen mit den Ätzverfahren immer kleinere kritische Dimensionen und immer höher werdende Aspektverhältnisse realisierbar sein. Um Strukturen, wie z.B, Kontaktlöcher, Vias oder Hartmasken mit immer größerenDue to the ever increasing integration density of the circuits, the etching process has to be able to realize ever smaller critical dimensions and ever higher aspect ratios. Around structures such as contact holes, vias or hard masks with ever larger ones
Aspektverhältnissen ätzen zu können, müssen die Ätzung zum einen möglichst anisotrop verlaufen. Darüber hinaus sollte die Ätzung eine möglichst hohe Selektivität zu den bei der Ätzung als Maske eingesetzten Materialien, wie z.B. Silizium oder polymere Lackmasken, aufweisen. Weist die Ätzung eine nur geringe Selektivität auf, so wird die Maske bei dem Ätzprozeß insbesondere an ihren Profilflanken in einem Maße angegriffen, das zu einer unerwünschten Auf eitung der durch die Maske vorgegebenen Struktur führt . Dadurch wird sowohl das zu erreichende Aspektverhältnis als auch die zu erreichende kritische Dimension nachteilig beeinflußt. Darüber hinaus wird durch eine nur geringe Selektivität des Ätzprozesses die zu erreichende Ätztiefe verringert, da die Maske selbst sehr schnell abgetragen wird. Die Verbesserung der Selektivität von Ätzprozessen für Siliziumoxid-Schichten ist somit Gegenstand ständiger Forschung in der Halbleitertechnologie .To be able to etch aspect ratios, the etching must firstly be as anisotropic as possible. In addition, the etching should be as selective as possible to that of the Etching materials used as a mask, such as silicon or polymer lacquer masks. If the etching has only a low selectivity, the mask is attacked in the etching process, in particular on its profile flanks, to an extent that leads to an undesired processing of the structure specified by the mask. As a result, both the aspect ratio to be achieved and the critical dimension to be achieved are adversely affected. In addition, the etching depth to be achieved is reduced by a low selectivity of the etching process, since the mask itself is removed very quickly. The improvement of the selectivity of etching processes for silicon oxide layers is therefore the subject of constant research in semiconductor technology.
Als Plasmaätzverfahren für Siliziumoxid-Schichten haben sich dabei Prozesse durchgesetzt, die Fluorkohlenstoffe, bzw. Fluorkohlenwasserstoffe, in den Ätzgasen einsetzten. Dabei stellt sich in dem Plasmagas ein Zustand ein, bei dem neben der Ätzung gleichzeitig ein Abscheiden von polymerenProcesses which use fluorocarbons or fluorocarbons in the etching gases have become established as the plasma etching process for silicon oxide layers. A condition arises in the plasma gas in which, in addition to the etching, a deposition of polymers occurs at the same time
Materialien abläuft. Die Abscheidungs- und die Ätzraten stehen dabei in einem Wechselspiel und sind gegenüber unterschiedlichen Materialien verschieden. Durch eine geeignete Wahl der Prozessparameter können sie so eingestellt werden, dass eine effektive Ätzung selektiv für ein Material erreicht werden kann, wohingegen gleichzeitig auf einem anderen Material die Abscheidung überwiegt. So ist es z.B. bei der Verwendung von CF4 im Ätzgas möglich, die Ätzparameter so einzustellen, dass sich auf Silizium und Siliziumnitrid ein fluorhaltiges Polymer abscheidet, wohingegen Siliziumoxid durch das Plasma geätzt wird. Die Ätzparameter können also so eingestellt werden, dass das Material der zu strukturierenden Schicht geätzt wird und gleichzeitig eine Polymerabscheidung auf dem Material der Maske erfolgt .Materials expires. The deposition and the etching rates are in an interplay and are different from different materials. Through a suitable choice of the process parameters, they can be set so that an effective etching can be achieved selectively for one material, whereas at the same time the deposition predominates on another material. For example, when using CF 4 in the etching gas, it is possible to set the etching parameters so that a fluorine-containing polymer is deposited on silicon and silicon nitride, whereas silicon oxide is etched by the plasma. The etching parameters can therefore be set such that the material of the layer to be structured is etched and at the same time a polymer is deposited on the material of the mask.
Die bisherigen Versuche die Selektivität von Ätzprozessen zu erhöhen, haben sich im wesentlichen darauf konzentriert, die Steigerung der Selektivität durch die Verwendung neuer Ätzgase, die Variation der Anlagenparameter im Ätzmodus oder die Änderung des Kammerdesigns zu erreichen. Dabei stellen die in diesen Verfahren verwendeten Ätzparameter immer einen Kompromiß zwischen dem Schutz der Maske durch die Polymerabscheidung auf der einen Seite und der Ätzung der Siliziumoxid-Schicht in den freiliegenden Bereichen auf der anderen Seite dar. Bedingt durch diesen Kompromiß werden in diesen Prozessen weder die optimale Ätzrate für das zu strukturierende Material, noch die optimale Abscheidungsrate des Polymers auf der Maske erreicht .Attempts to increase the selectivity of etching processes to date have essentially concentrated on increasing the selectivity by using new etching gases, varying the system parameters in the etching mode or changing the chamber design. The etching parameters used in these processes always represent a compromise between the protection of the mask by the polymer deposition on the one hand and the etching of the silicon oxide layer in the exposed areas on the other hand optimal etching rate for the material to be structured, still the optimal deposition rate of the polymer on the mask reached.
In der US-Patentschrift 6,074,959 wird ein Ätzverfahren beschrieben, in dem eine vorzeitige Beendigung desUS Pat. No. 6,074,959 describes an etching process in which an early termination of the
Ätzvorgangs durch übermäßige Polymerabscheidung in Strukturen mit hohen Aspektverhältnis dadurch vermieden wird, dass der Ätzprozess in einem Zweischrittverfahren durchgeführt wird. Dabei wird in einem ersten Schritt ein Ätzrezept gewählt, mit dem die Oxidschicht schnell aber unselektiv geätzt wird. In einem zweiten Schritt werden durch Veränderung der Ätzchemie, d.h. der fluorhaltigen Verbindungen, sowie der in den Plasmareaktor eingekoppelten Leistungen selektivere Ätzbedingungen geschaffen.Etching process by excessive polymer deposition in structures with high aspect ratio is avoided by performing the etching process in a two-step process. In a first step, an etching recipe is selected with which the oxide layer is quickly but non-selectively etched. In a second step, by changing the etching chemistry, i.e. the fluorine-containing compounds and the power coupled into the plasma reactor created more selective etching conditions.
Neben den oben beschriebenen allgemeinen Nachteilen ist an diesem Verfahren weiterhin nachteilig, dass die Steigerung der Selektivität des Ätzverfahrens eine Veränderung der ÄtzChemikalien bedingt. Dabei wird das Plasma zwischen den Wechsel der Ätzchemie nicht aufrecht erhalten. Dieser Wechsel zieht somit Wartezeiten nach sich, in denen sich erneut ein stationärer Zustand im Plasma einstellen muß.In addition to the general disadvantages described above, this method also has the disadvantage that the increase in the selectivity of the etching process is a change in the Chemical etching. The plasma is not maintained between the changes in the etching chemistry. This change therefore entails waiting times during which a steady state in the plasma has to be established again.
Der vorliegenden Erfindung liegt daher die Aufgabe zugrunde, ein Verfahren zur Strukturierung einer Siliziumoxid-Schicht bereitzustellen, welches die oben beschriebenen Nachteile verringert bzw. ganz vermeidet. Insbesondere ist es die Aufgabe der vorliegenden Erfindung, ein Verfahren bereitzustellen, mit dem Strukturen mit hohem Aspektverhältnis mit einer hohen Selektivität gegenüber der verwendeten Maske in eine Siliziumoxid-Schicht geätzt werden können.The present invention is therefore based on the object of providing a method for structuring a silicon oxide layer which reduces or completely avoids the disadvantages described above. In particular, the object of the present invention is to provide a method with which structures with a high aspect ratio can be etched into a silicon oxide layer with a high selectivity in relation to the mask used.
Diese Aufgabe wird von dem Verfahren zum Strukturieren einer Siliziumoxid-Schicht gemäß dem Patentanspruch 1 gelöst. Weitere vorteilhafte Ausführungsformen, Ausgestaltungen und Aspekte der vorliegenden Erfindung ergeben sich aus den abhängigen Patentansprüchen, der Beschreibung und den Figuren.This object is achieved by the method for structuring a silicon oxide layer according to claim 1. Further advantageous embodiments, configurations and aspects of the present invention result from the dependent patent claims, the description and the figures.
Erfindungsgemäß wird ein Verfahren zum Strukturieren einer Siliziumoxid-Schicht bereitgestellt, das die folgenden Schritte umfaßt: Ein Substrat, das eine Siliziumoxid-Schicht und eine die Siliziumoxid-Schicht zum Teil bedeckende Maske umfaßt, wird in einem Plasmareaktor bereitgestellt. Ein Plasma wird aus einem Ätzgas erzeugt, das zumindest eine Fluorkohlenstoff- bzw. Fluorkohlenwasserstoffverbindung ausgewählt aus der Gruppe bestehend aus Verbindungen derAccording to the invention, a method for structuring a silicon oxide layer is provided, which comprises the following steps: A substrate, which comprises a silicon oxide layer and a mask partially covering the silicon oxide layer, is provided in a plasma reactor. A plasma is generated from an etching gas which contains at least one fluorocarbon or fluorocarbon compound selected from the group consisting of compounds of
Summenformel CxHyFÄ7 wobei x = 1 bis 5, y = 0 bis 4 und z = 2 bis 10 ist, umfaßt. Für mindestens einen ersten Zeitraum wird eine erste Potentialdifferenz zwischen dem Substrat und dem aus dem obengenannten Ätzgas erzeugten Plasma eingestellt, die so gewählt ist, dass zumindest die Siliziumoxid-Schicht einen Ätzabtrag erfährt (Verfahrenschritt c) . Für mindestens einen zweiten Zeitraum wird eine zweite, von der ersten verschiedene Potentialdifferenz zwischen dem Substrat und dem aus dem obengenannten Ätzgas erzeugten Plasma eingestellt, die so gewählt ist, dass sich auf der Maske eine Schicht aus einem fluorhaltigen polymeren Material abscheidet, deren Schichtdicke während des zweiten Zeitraums wächst . (Verfahrensschritt d) . Molecular formula C x H y F Ä7 where x = 1 to 5, y = 0 to 4 and z = 2 to 10. For at least a first period of time, a first potential difference between the substrate and the plasma generated from the above-mentioned etching gas, which is selected such that at least the silicon oxide layer undergoes an etching removal (process step c). For at least a second period of time, a second, different from the first potential difference between the substrate and the plasma generated from the above-mentioned etching gas is set, which is chosen such that a layer of a fluorine-containing polymeric material is deposited on the mask, the layer thickness of which during the second period grows. (Process step d).
Als Plasmareaktoren kommen dabei alle für die gängigen chemisch-physikalischen Trockenätzverfahren anwendbaren Plasmareaktoren in Frage. Solche Trockenätzverfahren können z.B. reaktives Ionenätzen, anodisch gekoppeltes Plasmaätzen im Parallelplattenreaktor, Magnetfeld unterstützes reaktives Ionenätzen, Trioden reaktives Ionenätzen, induktiv gekoppeltes Plasmaätzen oder Ätzen mit induktiv gekoppelter Plasmaquelle sein. Als Masken sind im Rahmen der vorliegenden Erfindung Schichten aus Materialien zu verstehen, die geeignet sind, eine Siliziumoxidschicht vor einem Ätzabtrag bei einer Plasmaätzung zu schützen. Insbesondere fallen darunter Materialien wie z.B. Silizium, Siliziumnitrid oder polymere Materialien, die als Photolithographiemasken, bzw. Lackmasken, verwendet werden. Ausdrücklich ausgenommen ist hier lediglich Siliziumoxid selber.All plasma reactors that can be used for the usual chemical-physical dry etching processes can be considered as plasma reactors. Such dry etching processes can e.g. reactive ion etching, anodically coupled plasma etching in the parallel plate reactor, magnetic field-supported reactive ion etching, triodes reactive ion etching, inductively coupled plasma etching or etching with an inductively coupled plasma source. In the context of the present invention, masks are to be understood as layers of materials which are suitable for protecting a silicon oxide layer from etching removal during plasma etching. In particular, this includes materials such as Silicon, silicon nitride or polymeric materials that are used as photolithography masks or lacquer masks. The only exception is silicon oxide itself.
Unter dem Begriff "FluorkohlenstoffVerbindung" sind im Rahmen der vorliegenden Erfindung sowohl Verbindungen zu verstehen, die nur aus Fluor und Kohlenstoff aufgebaut sind oder Verbindungen die neben Fluor und Kohlenstoff noch Wasserstoff enthalten. Solche Verbindungen können z.B. sein. CF4, CH3F, C2F4, C2F6, C3F6, C3F8, C4F6, C4F8, C4F10, CH2F2, C2HF3 , C2HF5, C3HF5, C3H2F6, C4F8 oder C5F8. In einer bevorzugten Ausführungsform der vorliegenden Erfindung wird als FluorkohlenstoffVerbindung C4F8 verwendet, wobei es auf das Konstitutionsisomer dieser Verbindung nicht ankommt.In the context of the present invention, the term “fluorocarbon compound” is to be understood as meaning both compounds which are composed only of fluorine and carbon or compounds which also contain hydrogen in addition to fluorine and carbon. Such connections can be, for example. CF 4 , CH 3 F, C 2 F 4 , C 2 F 6 , C 3 F 6 , C 3 F 8 , C 4 F 6 , C 4 F 8 , C 4 F 10 , CH 2 F 2 , C 2 HF 3 , C 2 HF 5 , C 3 HF 5 , C 3 H 2 F 6 , C 4 F 8 or C 5 F 8 . In a preferred embodiment of the present invention, C 4 F 8 is used as the fluorocarbon compound, the constitutional isomer of this compound not being important.
In einer vorteilhaften Variante des erfindungsgemäßen Verfahrens beträgt die Gasflußrate des C4F8 zwischen 10 und 50 sccm, insbesondere zwischen 20 bis 50 sccm. Bevorzugt sind die höheren Gasflußraten, da bei ihrer Verwendung höhere Ätz- oder Abscheidungsraten erreicht werden können.In an advantageous variant of the method according to the invention, the gas flow rate of the C 4 F 8 is between 10 and 50 sccm, in particular between 20 and 50 sccm. The higher gas flow rates are preferred since higher etching or deposition rates can be achieved when they are used.
Unter der Potentialdifferenz zwischen dem Plasma und dem Substrat wird im Rahmen der vorliegenden Erfindung die Potentialdifferenz verstanden, die sich zwischen der Plasmahülle und dem benachbarten Substrat ausbildet. Durch diese Potentialdifferenz wird die Energie festgelegt, mit der die Ionen aus dem Plasma auf das Substrat beschleunigt werden. Diese Potentialdifferent kann durch verschiedene am Plasmareaktor einstellbare Prozessparameter beeinflußt werden. In der Regel wird die Potentialdifferenz durch Veränderung der in den Plasmareaktor eingekoppelten Hochfrequenzleistung variiert. Diese Leistung wird auch als "bias power" bezeichnet. Dabei kann die Einkopplung je nach Plasmareaktortyp induktiv und/oder kapazitiv erfolgen. In einer bevorzugten Ausführungsform des erfindungsgemäßen Verfahrens wird die erste (in Verfahrensschritt c) und die zweite Potentialdifferenz (in Verfahrensschritt d) zwischen dem Substrat und dem aus dem verwendeten Ätzgas erzeugten Plasma durch Einkoppeln einer Leistung in den Plasmareaktor eingestellt. Besonders bevorzugt ist dabei die Variante, bei der diese Leistung kapazitiv in den Reaktor eingekoppelt wird. Dies kann z.B. dadurch erfolgen, das dem Plasma neben einer induktiv eingekoppelten Leistung zusätzlich Leistung dadurch eingekoppelt wird, indem das Substrat, bzw. die Elektrode, auf der das Substrat angebracht ist, kapazitiv an eine Hochfrequenzquelle angeschlossen wird.In the context of the present invention, the potential difference between the plasma and the substrate is understood to mean the potential difference that forms between the plasma envelope and the adjacent substrate. This potential difference determines the energy with which the ions are accelerated from the plasma onto the substrate. This potential difference can be influenced by various process parameters that can be set on the plasma reactor. As a rule, the potential difference is varied by changing the high-frequency power coupled into the plasma reactor. This power is also referred to as "bias power". Depending on the type of plasma reactor, the coupling can take place inductively and / or capacitively. In a preferred embodiment of the method according to the invention, the first (in method step c) and the second potential difference (in method step d) between the substrate and the plasma generated from the etching gas used is set by coupling a power into the plasma reactor. The variant in which this power is capacitively coupled into the reactor is particularly preferred. This can be done, for example, by adding power to the plasma in addition to inductively coupled power is thereby coupled in that the substrate or the electrode on which the substrate is attached is capacitively connected to a high-frequency source.
Die Reihenfolge der Verfahrensschritte c) und d) ist in dem erfindungsgemäßen Verfahren nicht festgelegt . Das Verfahren kann so gestaltet werden, dass in einem ersten Ätzschritt die Si02-Schicht geätzt wird und in einem anschließenden Abscheidungsschritt das Polymer auf die Maske abgeschieden wird. Umgekehrt kann zuerst das Polymer auf der Maske abgeschieden werden und dann die Siliziumoxidschicht geätzt werden. Im ersten Fall wird die Maske durch die Abscheidung nach der Ätzung verstärkt, im zweiten Fall wird die Maske bereits vor der Ätzung verstärkt.The sequence of process steps c) and d) is not specified in the process according to the invention. The method can be designed in such a way that the SiO 2 layer is etched in a first etching step and the polymer is deposited on the mask in a subsequent deposition step. Conversely, the polymer can first be deposited on the mask and then the silicon oxide layer can be etched. In the first case, the mask is reinforced by the deposition after the etching, in the second case the mask is reinforced before the etching.
Für den ersten Zeitraum wird im erfindungsgemäßen Verfahren die erste Potentialdifferenz so gewählt, dass die Siliziumoxid-Schicht einen Ätzabtrag erfährt. Dabei kann nur die Siliziumschicht alleine oder auch die sie schützende Maske geätzt werden. Wenn sowohl die Siliziumoxid-Schicht als auch die Maske geätzt werden, muß der erste Zeitraum so bemessen werden, dass die Maske in keinem Bereich vollständig abgetragen wird.For the first period, the first potential difference is selected in the method according to the invention in such a way that the silicon oxide layer experiences an etching removal. Only the silicon layer alone or also the mask protecting it can be etched. If both the silicon oxide layer and the mask are etched, the first period must be dimensioned so that the mask is not completely removed in any area.
In einer bevorzugten Ausführungsform des erfindungsgemäßen Verfahrens beträgt die Leistung, die in den Plasmareaktor eingekoppelt wird und mit der die erste Potentialdifferenz während des ersten Zeitraums eingestellt wird, mindestens 400 W, vorzugsweise mindestens 600 W und insbesondere bevorzugt mindestens 800 W.In a preferred embodiment of the method according to the invention, the power which is coupled into the plasma reactor and with which the first potential difference is set during the first period is at least 400 W, preferably at least 600 W and particularly preferably at least 800 W.
Dabei ist es besonders vorteilhaft, wenn die am Plasmagenerator einstellbaren Parameter so eingestellt werden, dass die Ätzung der Si02-Schicht während des ersten Zeitraums bereits eine hohe Selektivität gegenüber der Maske aufweist .It is particularly advantageous if the parameters that can be set on the plasma generator are set in this way be that the etching of the Si0 2 layer already has a high selectivity towards the mask during the first period.
In einer bevorzugten Variante des erfindungsgemäßenIn a preferred variant of the invention
Verfahrens wird die erste Potentialdifferenz so eingestellt, dass sich während des ersten Zeitraums auf der Maske eine Schicht aus fluorhaltigem Polymer bildet, deren Schichtdicke während des ersten Zeitraums im wesentlichen konstant bleibt. D.h. die Potentialdifferenz wird hier so gewählt, dass sich während des ersten Zeitraums ein Gleichgewicht zwischen der Ätzung der Maske und der Abscheidung des polymeren Materials auf der Maske einstellt . Die sich abscheidende Schicht ist in der Regel nur wenige nm dick.The first potential difference is set in such a way that a layer of fluorine-containing polymer forms on the mask during the first period, the layer thickness of which remains essentially constant during the first period. That the potential difference is chosen here such that a balance is established between the etching of the mask and the deposition of the polymeric material on the mask during the first period. The layer which is deposited is generally only a few nm thick.
Für den zweiten Zeitraum wird im erfindungsgemäßen Verfahren die zweite Potentialdifferenz zwischen dem Substrat und dem aus dem Ätzgas erzeugten Plasma so gewählt, dass sich auf der Maske eine Schicht aus einem fluorhaltigen polymeren Material abscheidet, deren Schichtdicke während des zweitenFor the second period, the second potential difference between the substrate and the plasma generated from the etching gas is selected in the method according to the invention in such a way that a layer of a fluorine-containing polymeric material is deposited on the mask, the layer thickness of which during the second
Zeitraums anwächst .Period grows.
Die bevorzugte Leistung für die Einstellung der zweiten Potentialdifferenz während des zweiten Zeitraums beträgt weniger als 400 W, vorzugsweise höchstens 200 W. In einer besonders vorteilhaften Variante des erfindungsgemäßen Verfahrens beträgt die Abscheidungsrate des fluorhaltigen, polymeren Materials auf der Maske mindestens 50 nmmin-1, vorzugsweise mindestens 240 nmmin"1, insbesondere mindestens beträgt 350 nmmin"1.The preferred power for setting the second potential difference during the second period is less than 400 W, preferably at most 200 W. In a particularly advantageous variant of the method according to the invention, the deposition rate of the fluorine-containing, polymeric material on the mask is at least 50 nmmin −1 , preferably is at least 240 nmmin "1 , in particular at least 350 nmmin " 1 .
Durch die sich über den zweiten Zeitraum abscheidende . Polymerschicht wird die Maske gegenüber einer weiteren Ätzung geschützt. Durch diesen schützenden Effekt wird die Selektivität des Ätzvorgangs zwischen Siliziumoxid und dem Maskenmaterial während des zweiten Zeitraums erheblich verbessert. Darüber hinaus wird die Maske durch die Polymerabscheidung erneuert, so daß sie einer folgenden erneuten Ätzung länger standhalten kann. Dadurch wird die erreichbare Ätztiefe erhöht.By separating out over the second period. The polymer layer becomes the mask against further etching protected. This protective effect significantly improves the selectivity of the etching process between silicon oxide and the mask material during the second period. In addition, the mask is renewed by the polymer deposition so that it can withstand a subsequent renewed etching longer. This increases the etch depth that can be achieved.
Die Veränderung der ersten Potentialdifferenz auf die zweite Potentialdifferenz kann z.B. durch einfacheThe change of the first potential difference to the second potential difference can e.g. by simple
Veränderung der in den Plasmareaktor eingekoppelten Leistung erfolgen. Das Umstellen der eingekoppelten Leistung, und somit die Umstellung von Ätzmodus auf Abscheidemodus, erfolgt dabei instantan, d.h. ohne eine zeitliche Verzögerung des Verfahrens.Change in the power coupled into the plasma reactor. The changeover of the coupled power, and thus the changeover from etching mode to deposition mode, takes place instantaneously, i.e. without delaying the process.
Das erfindungsgemäße Verfahren bietet somit den Vorteil, dass eine schnelle, selektive Ätzung der Siliziumoxid-Schicht erreicht werden kann, ohne dabei zeitaufwendige Veränderungen an dem Plasma vornehmen zu müssen.The method according to the invention thus offers the advantage that rapid, selective etching of the silicon oxide layer can be achieved without having to make time-consuming changes to the plasma.
Die Ätzchemie des in dem erfindungsgemäßen Verfahren erzeugten Plasmas basiert entscheidend auf der Verwendung einer FluorkohlenstoffVerbindung, die geeignet ist, aus dem Plasma ein fluorhaltiges, polymeres Material auf der Maske abzuscheiden. Diese Komponente wird über den gesamten Zeitraum des Verfahrens im Ätzgas beibehalten. In diesem Sinne ist auch der Begriff "dem in b) genannten Ätzgas erzeugten Plasma" im Rahmen der vorliegenden Erfindung zu verstehen. Die sonstigen Ätzgaskomponenten können während des gesamten Verfahrens variiert werden. Zur Steigerung der Selektivität des Verfahrens können zusätzliche Gaskomponenten entweder während der gesamten Dauer des Verfahrens oder auch nur während einzelner Schritte zugemischt werden. Insbesondere können dem Ätzgas während Verfahrensschritt c) zusätzliche, die Ätzrate erhöhende Komponenten zugemischt werden. Hierbei ist insbesondere die Verwendung von molekularem Sauerstoff als zusätzliche Komponente im Ätzgas bevorzugt .The etching chemistry of the plasma generated in the method according to the invention is crucially based on the use of a fluorocarbon compound which is suitable for depositing a fluorine-containing, polymeric material on the mask from the plasma. This component is retained in the etching gas over the entire period of the process. The term “the etching gas generated in b) mentioned” is also to be understood in this sense in the context of the present invention. The other etching gas components can be varied throughout the process. To increase the selectivity of the process, additional gas components can either be used during the entire process or also only be mixed in during individual steps. In particular, additional components which increase the etching rate can be added to the etching gas during method step c). In this case, the use of molecular oxygen as an additional component in the etching gas is particularly preferred.
Während des zweiten Zeitraums bietet die Polymerabscheidung neben der Selektivitätserhöhung des Ätzabtrages einen weiteren Vorteil. Zusätzlich wird die Maske durch die selektiv auf dem Maskenmaterial stattfindende Abscheidung verstärkt, so dass die Maske anschließend erneut über einen längeren Zeitraum einem relativ unselektiven Ätzschritt ausgesetzt werden kann. Dies wird in einer besonders bevorzugten Variante des erfindungsgemäßenIn addition to increasing the selectivity of the etching removal, the polymer deposition offers a further advantage during the second period. In addition, the mask is reinforced by the deposition that takes place selectively on the mask material, so that the mask can then be exposed again to a relatively unselective etching step over a longer period of time. This is done in a particularly preferred variant of the invention
Verfahrens dadurch ausgenutzt, dass die Verfahrensschritte c) und d) mehrfach wiederholt werden.Process used in that process steps c) and d) are repeated several times.
Bei diesen Wiederholungen kann die jeweilige Dauer des ersten und zweiten Zeitraums von der des ersten bzw. vorhergehenden Zykluses abweichen. Weiterhin können die jeweiligen ersten und zweiten Potentialdifferenzen in den Wiederholungsschritten verändert werden. Dies trifft auch auf alle anderen wählbaren Prozessparameter zu, insoweit deren Änderung ohne langwierige zeitliche Verzögerungen möglich sind Durch die Veränderung der Prozessparameter in den Wiederholungschritten können die Ätz- und Abscheidebedingungen in jedem Schritt optimal an die Aspektverhältnisse der zu ätzenden Struktur während des jeweiligen Zykluses angepaßt werden. Dadurch wird eine höhere Selektivität und eine bessere Profilkontrolle beim Ätzen erreicht, wobei auf zeitaufwendige Änderungen der Prozessbedingungen verzichtet werden kann. In einer weiteren bevorzugten Variante des erfindungsgemäßen Verfahrens enthält das Ätzgas zusätzlich ein Inertgas, vorzugsweise Argon. Vorzugsweise beträgt dessen Gasflußrate zwischen 100 und 1000 sccm, besonders bevorzugt zwischen 200 und 700 sccm, insbesondere zwischen 200 und 500 sccm.With these repetitions, the duration of the first and second periods can differ from that of the first or previous cycle. Furthermore, the respective first and second potential differences can be changed in the repetition steps. This also applies to all other selectable process parameters, insofar as they can be changed without lengthy time delays. By changing the process parameters in the repetition steps, the etching and deposition conditions in each step can be optimally adapted to the aspect ratios of the structure to be etched during the respective cycle , This results in a higher selectivity and a better profile control during the etching, whereby time-consuming changes in the process conditions can be dispensed with. In a further preferred variant of the method according to the invention, the etching gas additionally contains an inert gas, preferably argon. Its gas flow rate is preferably between 100 and 1000 sccm, particularly preferably between 200 and 700 sccm, in particular between 200 and 500 sccm.
Bevorzugt ist weiterhin, dass das Ätzgas zusätzlich molekularen Sauerstoff 02 enthält. Besonders bevorzugt ist hierbei, dass die Gasflußrate des molekularen Sauerstoffs zwischen 5 und 25 sccm, insbesondere 10 sccm beträgt. Durch die Zumengung von molekularem Sauerstoff kann die Potentialdifferenz, bzw. die in den Plasmagenerator eingekoppelte Leistung, bei der sich die Abscheidung des fluorhaltigen polymeren Materials in eine Ätzung des polymeren fluorhaltigen Materials umwandelt, zu geringeren Potentialdifferenzen bzw. eingekoppelten Leistungen verschoben werden.It is further preferred that the etching gas additionally contains molecular oxygen 0 2 . It is particularly preferred here that the gas flow rate of the molecular oxygen is between 5 and 25 sccm, in particular 10 sccm. By adding molecular oxygen, the potential difference, or the power coupled into the plasma generator, at which the deposition of the fluorine-containing polymeric material converts into an etching of the polymeric fluorine-containing material, can be shifted to lower potential differences or coupled-in powers.
Darüber hinaus kann der Ätzabtrag in Verfahrensschritt c) bei Verwendung von molekularen Sauerstoff im Ätzgas weiter erhöht werden. In einer weiteren besonders vorteilhaften Variante des erfindungsgemäßen Verfahrens enthält das Ätzgas nur in Verfahrensschritt c) zusätzlich molekularen Sauerstoff .In addition, the etching removal in method step c) can be increased further when using molecular oxygen in the etching gas. In a further particularly advantageous variant of the method according to the invention, the etching gas additionally only contains molecular oxygen in method step c).
Im folgenden wird das erfindungsgemäße Verfahren anhand der Ausführungsbeispiele und im Zusammenhang mit den Zeichnungen näher erläutert werden. Dabei zeigtIn the following the method according to the invention will be explained in more detail using the exemplary embodiments and in connection with the drawings. It shows
Fig. 1: Einen Graph, in dem die Abhängigkeit der Abscheidungsrate des fluorhaltigen, polymeren Materials auf Silizium von der kapazitiv eingekoppelten Leistung (Bias Power) für verschiedene Ätzgase dargestellt ist.Fig. 1: A graph showing the dependence of the deposition rate of the fluorine-containing, polymeric material Silicon from the capacitively coupled power (bias power) for various etching gases is shown.
Ausführungsbeispiel 1Embodiment 1
In diesem Beispiel wurde die Polymerabscheidung aus dem Plasma auf eine Siliziummaske in Abhängigkeit der kapazitiv eingekoppelten Leistung ermittelt .In this example, the polymer deposition from the plasma onto a silicon mask was determined as a function of the capacitively coupled power.
Dazu wurden Siliziumscheiben (wafer) in einen Plasmagenerator vom Typ IPS Dielectric Etcher der Firma Applied Materials eingebracht und einem Plasma ausgesetzt. Für verschiedene kapazitiv eingekoppelte Leistungen wurde dann die Polymerabscheidung auf den Siliziumscheiben mittels Rasterelektronenmikroskopie ermittelt .For this purpose, silicon wafers were placed in a plasma generator of the type IPS Dielectric Etcher from Applied Materials and exposed to a plasma. The polymer deposition on the silicon wafers was then determined using scanning electron microscopy for various capacitively coupled powers.
Bei dieser Versuchsreihe wurde das folgende Grundrezept verwendet :The following basic recipe was used in this series of experiments:
Gasflußrate Argon: 200 sccmArgon gas flow rate: 200 sccm
Gasflußrate C4F8: 20 sccmGas flow rate C 4 F 8 : 20 sccm
Leistung (inner source) : 600 WPower (inner source): 600 W.
Leistung (outer source) : 200 W Kammerdruck: 13 mTorrPower (outer source): 200 W chamber pressure: 13 mTorr
He-Druck: 5 TorrHe pressure: 5 torr
Chilier (Wärmetauscher) : 20°CChilier (heat exchanger): 20 ° C
Roof (Dach) : 200°CRoof: 200 ° C
Ring: 375°C Bias-Power: 0 - 400 WRing: 375 ° C bias power: 0 - 400 W.
Es wurden zwei Meßreihen durchgeführt. In der ersten Meßreihe wurde das Grundrezept verwendet, in der zweiten Meßreihe wurde dem Grundrezept zusätzlich molekularer Sauerstoff mit einer Gasflußrate von 10 sccm zugemischt. Die Meßwerte der ersten Meßreihe sind in dem in Fig. 1 dargestellten Graph durch die vollen Symbole wiedergegeben. Dabei entsprechen die vollen Kreise den Meßwerten am Rande der Siliziumscheiben, die vollen Quadrate geben die Meßwerte im Zentrum der Siliziumscheiben wieder.Two series of measurements were carried out. The basic recipe was used in the first series of measurements and in the second In addition, molecular oxygen with a gas flow rate of 10 sccm was added to the basic recipe. The measured values of the first series of measurements are represented in the graph shown in FIG. 1 by the full symbols. The full circles correspond to the measured values at the edge of the silicon wafers, the full squares represent the measured values in the center of the silicon wafers.
Die Meßwerte der zweiten Meßreihe sind durch die halboffenen Symbole wiedergegeben, wobei erneut die Kreise die Meßwerte am Rande und die Quadrate die Meßwerte im Zentrum der Siliziumscheiben wiedergeben.The measured values of the second series of measurements are represented by the half-open symbols, the circles again representing the measured values on the edge and the squares representing the measured values in the center of the silicon wafers.
Aus den Meßdaten ist zu entnehmen, dass die Abscheidungsrate mit zunehmender, kapazitiv eingekoppelter Leistung abnimmt und sich ab einer bestimmten Leistung in eine Ätzrate umwandelt.It can be seen from the measurement data that the deposition rate decreases with increasing capacitively coupled power and converts into an etching rate from a certain power.
Durch die Zumischung von molekularem Sauerstoff verringert sich die Abscheidungsrate. Darüber hinaus zeigt die Abscheidungsrate nur geringe örtliche Abweichungen. Für die erste Meßreihe (Grundrezept) ergibt sich die Abscheiderate für eine beliebig gewählte Leistung nach der Formel: rD = 417, 3 nm/min - 1,06714 nm/min W * PB (rD = Abscheidungsrate; PB = kapazitiv eingekoppelte Leistung) . Für die zweite Meßreihe (Sauerstoffhaltiges Rezept) kann die jeweilige Abscheidungsrate nach der Formel rD = 389,3 nm/min - 1,583 nm/min W * PB (rD = Abscheidungsrate; PB = kapazitiv eingekoppelte Leistung) berechnet werden.The addition rate of molecular oxygen reduces the deposition rate. In addition, the deposition rate shows only slight local deviations. For the first series of measurements (basic recipe), the deposition rate for an arbitrarily selected power results according to the formula: r D = 417, 3 nm / min - 1.06714 nm / min W * P B (r D = deposition rate; P B = capacitive coupled power). For the second series of measurements (oxygen-containing recipe), the respective deposition rate can be calculated using the formula r D = 389.3 nm / min - 1.583 nm / min W * P B (r D = deposition rate; P B = capacitively coupled power).
Aus diesen Abscheidungsraten kann eine optimierte Zeit ermittelt werden, die zum Abscheiden einer definierten Schichtdicke erforderlich ist. Ausführungsbeispiel 2From these deposition rates, an optimized time can be determined, which is necessary for the deposition of a defined layer thickness. Embodiment 2
In diesem Beispiel wurde eine Si02-Schicht mit einer Siliziummaske strukturiert. Die Si02-Schicht wies dabei bereits Kontaktlocher mit einer ungefähren Tiefe von 500 nm und einem Aspektverhältnis von etwa 5 auf.In this example, an Si0 2 layer was structured with a silicon mask. The Si0 2 layer already had contact holes with an approximate depth of 500 nm and an aspect ratio of about 5.
Dabei wurde folgendes Zweischritt-Verfahren angewandt: In einem ersten Schritt (Dl) wurden die Ätzbedingungen so gewählt, dass eine Abscheidung auf der Siliziummaske stattfand. In einem anschließenden Ätzschritt (El) wurden die Ätzbedingungen so gewählt, dass die Si02-Schicht geätzt wurde .The following two-step process was used: In a first step (DI), the etching conditions were chosen such that a deposition took place on the silicon mask. In a subsequent etching step (E1), the etching conditions were chosen so that the Si0 2 layer was etched.
Die Prozessparameter für beide Schritte sind in Tabelle 1 aufgeführt .The process parameters for both steps are listed in Table 1.
Tabelle 1: Prozeßparameter für Dl und El:Table 1: Process parameters for Dl and El:
Figure imgf000016_0001
Figure imgf000016_0001
Figure imgf000017_0001
Figure imgf000017_0001
Unter diesen Bedingungen wurde in Abscheidungsschritt Dl innerhalb von 12 Sekunden eine etwa 80 nm dicke Polymerschicht auf der Maske abgeschieden. Auf den Profilkanten fand dabei lediglich eine vernachlässigbare Abscheidung statt . Ein Abscheidung innerhalb des Kontaktloches wurde nicht beobachtet .Under these conditions, an approximately 80 nm thick polymer layer was deposited on the mask in deposition step D1 within 12 seconds. Only negligible deposition took place on the profile edges. Deposition within the contact hole was not observed.
In dem anschließenden Ätzschritt El wurden innerhalb von 20 Sekunden etwa 150 nm Si02 innerhalb des Kontaktloches geätzt. Die Polymerschicht auf der Maske war nach dem Ätzschritt El nahezu vollständig verschwunden. Es konnten somit 150 nm Si02 Siliziumoxid ohne Verlust an Maskenmaterial geätzt werden.In the subsequent etching step E1, about 150 nm of SiO 2 were etched within the contact hole within 20 seconds. The polymer layer on the mask had almost completely disappeared after the etching step E1. It was therefore possible to etch 150 nm Si0 2 silicon oxide without loss of mask material.
Ausführungsbeispiel 3 :Example 3:
In diesem Ausführungsbeispiel wurde analog dem Ausführungsbeispiel 2 verfahren, außer das die Prozeßbedingungen für den Abscheidungsschritt (D2) verändert wurden. Die Prozessparameter sind in Tabelle 2 angegeben.In this exemplary embodiment, the procedure was analogous to exemplary embodiment 2, except that the process conditions for the deposition step (D2) were changed. The process parameters are given in Table 2.
Tabelle 2 : Prozeßparameter für D2 und E2Table 2: Process parameters for D2 and E2
Figure imgf000017_0002
Figure imgf000017_0002
Figure imgf000018_0001
Figure imgf000018_0001
Diese Prozessführung zeigt ähnliche Ergebnisse wie die in Ausführungsbeispiel 2. Allerdings ist bei diesen Prozessparametern eine leichte Kantenbedeckung der Maske festzustellen. Zusätzlich wird in diesem Prozeß die Si02- Schicht in den Kontaktlöchern bereits in dem Abscheideschritt D2 geätzt. This process control shows results similar to those in embodiment 2. However, with these process parameters, a slight edge coverage of the mask can be determined. In addition, the Si0 2 layer in the contact holes is already etched in the deposition step D2 in this process.

Claims

Patentansprüche claims
1. Verfahren zur Strukturierung einer Siliziumoxid-Schicht, umfassend die Schritte:1. A method for structuring a silicon oxide layer, comprising the steps:
a) ein Substrat, das eine Siliziumoxid-Schicht und eine die Siliziumoxid-Schicht zum Teil bedeckende Maske umfaßt, wird in einem Plasmareaktor bereitgestellt,a) a substrate comprising a silicon oxide layer and a mask partially covering the silicon oxide layer is provided in a plasma reactor,
b) ein Plasma wird aus einem Ätzgas erzeugt, das zumindest eine Fluorkohlenstoff- oderb) a plasma is generated from an etching gas which is at least one fluorocarbon or
Fluorkohlenwasserstoffverbindungverbindung ausgewählt aus der Gruppe bestehend aus Verbindungen der Summenformel CxHyFz, wobei x = 1 bis 5, y = 0 bis 4 und z = 2 bis 10 ist, umfaßt,Fluorocarbon compound selected from the group consisting of compounds of the empirical formula C x H y F z , where x = 1 to 5, y = 0 to 4 and z = 2 to 10,
c) für mindestens einen ersten Zeitraum wird eine erste Potentialdifferenz zwischen dem Substrat und dem aus dem in b) genannten Ätzgas erzeugten Plasma eingestellt, die so gewählt ist, dass die Siliziumoxid-Schicht einen Ätzabtrag erfährt, undc) for at least a first period of time, a first potential difference is set between the substrate and the plasma generated from the etching gas mentioned in b), which is selected such that the silicon oxide layer experiences an etching removal, and
d) für mindestens einen zweiten Zeitraum wird eine zweite, von der ersten verschiedene Potentialdifferenz zwischen dem Substrat und dem aus dem in b) genannten Ätzgas erzeugten Plasma eingestellt, die so gewählt ist, dass sich auf der Maske eine Schicht aus einem fluorhaltigen polymeren Material abscheidet, deren Schichtdicke während des zweiten Zeitraums anwächst. d) for at least a second period of time, a second potential difference, different from the first, between the substrate and the plasma generated from the etching gas mentioned in b) is set, which is selected such that a layer of a fluorine-containing polymeric material is deposited on the mask whose layer thickness increases during the second period.
2. Verfahren gemäß Anspruch 1 , d a d u r c h g e k e n n z e i c h n e t , dass das die Schritte c) und d) mehrfach wiederholt werden.2. The method according to claim 1, d a d u r c h g e k e n n z e i c h n e t that steps c) and d) are repeated several times.
3. Verfahren gemäß Anspruch 1 oder 2 , d a d u r c h g e k e n n z e i c h n e t , dass die erste und die zweite Potentialdifferenz zwischen dem Substrat und dem aus dem in b) genannten Ätzgas erzeugten Plasma durch Einkoppeln einer Leistung in den Plasmareaktor erfolgt.3. The method according to claim 1 or 2, so that the first and the second potential difference between the substrate and the plasma generated from the etching gas mentioned in b) takes place by coupling a power into the plasma reactor.
4. Verfahren gemäß Anspruch 3 , d a d u r c h g e k e n n z e i c h n e t , dass die Leistung kapazitiv in den Plasmareaktor eingekoppelt wird.4. The method according to claim 3, d a d u r c h g e k e n n e e i c h n e t that the power is capacitively coupled into the plasma reactor.
5. Verfahren gemäß einem der vorherigen Ansprüche, d a d u r c h g e k e n n z e i c h n e t , dass das Ätzgas als Fluorkohlenstoff- bzw. Fluorkohlenwasserstoff Verbindung eine Verbindung ausgewählt aus der Gruppe bestehend aus CF4, CH3F, CH2F2, C2F4, C2F6, C2HF3, C2HF5,5. The method according to any one of the preceding claims, characterized in that the etching gas as a fluorocarbon or fluorocarbon compound is a compound selected from the group consisting of CF 4 , CH 3 F, CH 2 F 2 , C 2 F 4 , C 2 F 6 , C 2 HF 3 , C 2 HF 5 ,
C3F6, C3HF5, C3F8, C3H2F6, C4F6, C4F8, C4F10, oder C5F8 enthält.C 3 F 6 , C 3 HF 5 , C 3 F 8 , C 3 H 2 F 6 , C 4 F 6 , C 4 F 8 , C 4 F 10 , or C 5 F 8 .
6. Verfahren gemäß einem der vorherigen Ansprüche, d a d u r c h g e k e n n z e i c h n e t , dass das Ätzgas als FluorkohlenstoffVerbindung C4F8 enthält.6. The method according to any one of the preceding claims, characterized in that the etching gas contains C 4 F 8 as the fluorocarbon compound.
7. Verfahren gemäß Anspruch 6 , d a d u r c h g e k e n n z e i c hn e t , dass die Gasflußrate von C4F8 zwischen 10 und 50 sccm, vorzugsweise 20 sccm beträgt.7. The method according to claim 6, dadurchgekennzeic hn et that the gas flow rate of C 4 F 8 is between 10 and 50 sccm, preferably 20 sccm.
8. Verfahren gemäß einem der vorherigen Ansprüche , d a d u r c h g e k e n n z e i c h n e t , dass das Ätzgas ein Inertgas, vorzugsweise Argon enthält.8. The method according to any one of the preceding claims, characterized in that the Etching gas contains an inert gas, preferably argon.
9. Verfahren gemäß Anspruch 8 , d a d u r c h g e k e n n z e i c h n e t , dass die Gasflußrate von Argon zwischen 100 und 1000 sccm, vorzugsweise zwischen 200 und 700 sscm, insbesondere zwischen 200 und 500 sccm beträgt.9. The method according to claim 8, so that the gas flow rate of argon is between 100 and 1000 sccm, preferably between 200 and 700 sscm, in particular between 200 and 500 sccm.
10.Verfahren gemäß einem der vorherigen Ansprüche, d a d u r c h g e k e n n z e i c h n e t , dass das Ätzgas zusätzlich molekularen Sauerstoff 02 enthält.10.The method according to any one of the preceding claims, characterized in that the etching gas additionally contains molecular oxygen 0 2 .
11.Verfahren gemäß Anspruch 10 , d a d u r c h g e k e n n z e i c h n e t , dass die Gasflußrate des molekularen Sauerstoffs zwischen 5 und 25 sccm, vorzugsweise 10 sccm beträgt.11. The method according to claim 10, so that the gas flow rate of the molecular oxygen is between 5 and 25 sccm, preferably 10 sccm.
12. Verfahren gemäß einem der Ansprüche 10 oder 11 d a d u r c h g e k e n n z e i c h n e t , dass das Ätzgas nur in Schritt c) zusätzlich molekularen Sauerstoff enthält .12. The method according to any one of claims 10 or 11, that the etching gas additionally contains molecular oxygen only in step c).
13.Verfahren gemäß einem der vorherigen Ansprüche, d a d u r c h g e k e n n z e i c h n e t , dass die Leistung zum Einstellen der Potentialdifferenz zwischen dem Plasma und dem Substrat in Schritt c) mindestens 400 W, vorzugsweise mindestens 600 W, insbesondere mindestens 800 W beträgt und in Schritt d) kleiner 400 W, vorzugsweise höchstens 200 W ist.13. The method according to any one of the preceding claims, characterized in that the power for setting the potential difference between the plasma and the substrate in step c) is at least 400 W, preferably at least 600 W, in particular at least 800 W and less than 400 W in step d) , preferably at most 200 W.
14.Verfahren gemäß einem der vorherigen Ansprüche, d a d u r c h g e k e n n z e i c h n e t , dass die erste Potentialdifferenz zwischen dem Substrat und dem aus dem in b) genannten Ätzgas erzeugten Plasma so eingestellt wird, dass sich während des ersten Zeitraums auf der Maske eine Schicht aus fluorhaltigem polymeren Material bildet, deren Schichtdicke während des ersten Zeitraums im wesentlichen konstant bleibt .14. The method according to any one of the preceding claims, characterized in that the first potential difference between the substrate and the the etching gas generated in b) is adjusted so that a layer of fluorine-containing polymeric material forms on the mask during the first period, the layer thickness of which remains essentially constant during the first period.
15. Verfahren gemäß einem der vorherigen Ansprüche, d a d u r c h g e k e n n z e i c h n e t , dass die Abscheidungsrate des fluorhaltigen polymeren Materials auf der Maske während des zweiten Zeitraums mindestens 50 nmmin"1, vorzugsweise mindestens 240 nmmin"*1, insbesondere mindestens beträgt 350 nmmin-1 beträgt.15. The method according to any one of the preceding claims, characterized in that the deposition rate of the fluorine-containing polymeric material on the mask during the second period is at least 50 nmmin "1 , preferably at least 240 nmmin " * 1 , in particular at least 350 nmmin -1 .
16. Verfahren gemäß einem der vorherigen Ansprüche, d a d u r c h g e k e n n z e i c h n e t , dass die16. The method according to any one of the preceding claims, d a d u r c h g e k e n n z e i c h n e t that the
Maske eine Siliziummaske ist.Mask is a silicon mask.
17.Verfahren gemäß einem der vorherigen Ansprüche, d a d u r c h g e k e n n z e i c h n e t , dass die Maske eine Lackmaske ist. 17. Method according to one of the preceding claims, that the mask is a lacquer mask.
PCT/EP2001/012538 2000-10-30 2001-10-30 Method for structuring a silicon oxide layer WO2002037549A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE10053780.4 2000-10-30
DE2000153780 DE10053780A1 (en) 2000-10-30 2000-10-30 Process for structuring a silicon oxide layer

Publications (2)

Publication Number Publication Date
WO2002037549A2 true WO2002037549A2 (en) 2002-05-10
WO2002037549A3 WO2002037549A3 (en) 2002-11-21

Family

ID=7661566

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/EP2001/012538 WO2002037549A2 (en) 2000-10-30 2001-10-30 Method for structuring a silicon oxide layer

Country Status (2)

Country Link
DE (1) DE10053780A1 (en)
WO (1) WO2002037549A2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10224137A1 (en) * 2002-05-24 2003-12-04 Infineon Technologies Ag Etching gas and dry etching method
DE10239869A1 (en) * 2002-08-29 2004-03-18 Infineon Technologies Ag Production of dielectric layers used in the production of electronic components, e.g. transistors or capacitors, comprises preparing a substrate, forming a dielectric layer on the substrate, and subjecting the dielectric layer to a plasma
DE10245671B4 (en) * 2002-09-30 2004-08-26 Infineon Technologies Ag Manufacturing method for a semiconductor structure by selective isotropic etching of a silicon dioxide layer on a silicon nitride layer

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0552491A1 (en) * 1992-01-24 1993-07-28 Applied Materials, Inc. Plasma etch process
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
WO2000024046A1 (en) * 1998-10-22 2000-04-27 Tokyo Electron Limited Plasma etching method
US6057247A (en) * 1997-10-29 2000-05-02 Matsushita Electronics Corporation Method for fabricating semiconductor device and method for controlling environment inside reaction chamber of dry etching apparatus
JP2001068462A (en) * 1999-07-20 2001-03-16 Samsung Electronics Co Ltd Plasma etching method using selective polymer deposition md method of forming contact holes using the same

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0685396B2 (en) * 1985-12-27 1994-10-26 株式会社日立製作所 Etching method and apparatus used therefor
US5176790A (en) * 1991-09-25 1993-01-05 Applied Materials, Inc. Process for forming a via in an integrated circuit structure by etching through an insulation layer while inhibiting sputtering of underlying metal
DE4241045C1 (en) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Process for anisotropic etching of silicon
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
DE19707886C2 (en) * 1997-02-27 2003-12-18 Micronas Semiconductor Holding Method for producing contact holes in a semiconductor device
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6117786A (en) * 1998-05-05 2000-09-12 Lam Research Corporation Method for etching silicon dioxide using fluorocarbon gas chemistry
US6544429B1 (en) * 1999-03-25 2003-04-08 Applied Materials Inc. Enhancement of silicon oxide etch rate and substrate selectivity with xenon addition

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0552491A1 (en) * 1992-01-24 1993-07-28 Applied Materials, Inc. Plasma etch process
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
US6057247A (en) * 1997-10-29 2000-05-02 Matsushita Electronics Corporation Method for fabricating semiconductor device and method for controlling environment inside reaction chamber of dry etching apparatus
WO2000024046A1 (en) * 1998-10-22 2000-04-27 Tokyo Electron Limited Plasma etching method
JP2001068462A (en) * 1999-07-20 2001-03-16 Samsung Electronics Co Ltd Plasma etching method using selective polymer deposition md method of forming contact holes using the same

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
CHANGWOONG CHU, TAEHYUK AHN, JISOO KIM, SANGSUP JEONG, JOOTAE MOON: "Effects of fluorocarbon polymer deposition on the selective etching of SiO2/photoresist in high density plasma" JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (MICROELECTRONICS AND NANOMETER STRUCTURES), Bd. 18, Nr. 6, November 2000 (2000-11), Seiten 2763-2768, XP002205549 *
PATENT ABSTRACTS OF JAPAN vol. 011, no. 390 (E-567), 19. Dezember 1987 (1987-12-19) -& JP 62 154734 A (HITACHI LTD;OTHERS: 01), 9. Juli 1987 (1987-07-09) *
PATENT ABSTRACTS OF JAPAN vol. 2000, no. 20, 10. Juli 2001 (2001-07-10) -& JP 2001 068462 A (SAMSUNG ELECTRONICS CO LTD), 16. März 2001 (2001-03-16) *

Also Published As

Publication number Publication date
DE10053780A1 (en) 2002-05-16
WO2002037549A3 (en) 2002-11-21

Similar Documents

Publication Publication Date Title
DE69724192T2 (en) Process for etching polycide structures
DE69835032T2 (en) IMPROVED METHOD TO EAT AN OXIDE LAYER
EP0000897B1 (en) Method for producing laterally isolated silicium areas
DE10328578B4 (en) A method of reactive ion etching using a hard mask of an amorphous carbon-hydrogen layer
DE3706127C2 (en)
DE69909248T2 (en) METHOD FOR REDUCING THE EROSION OF A MASK DURING A PLASMA ETCH
DE10127622B4 (en) Method of making an isolation trench filled with HDPCVD oxide
DE69938342T2 (en) METHOD FOR THE PRODUCTION OF CRACKS IN A SILICON LAYER OF A SUBSTRATE IN A PLASMA SYSTEM OF HIGH PLASMA DAMAGE
DE19844102C2 (en) Manufacturing process for a semiconductor structure
DE69626562T2 (en) Process for the isotropic etching of silicon, which is highly selective towards tungsten
WO2003038875A2 (en) Method for photolithographic structuring by means of a carbon hard mask layer which has a diamond-like hardness and is deposited by means of a plasma method
DE102008007671A1 (en) Process for forming fine structures of a semiconductor device
DE3140890C2 (en) Photolithographic method for manufacturing an integrated circuit device
DE112006000811B4 (en) Etching process for CD reduction of ARC material
EP0094528A2 (en) Process for producing double-layer structures consisting of metal silicide and polysilicium on substrates containing integrated circuits by reactive ion etching
DE69819023T2 (en) METHOD OF ETCHING A CONDUCTIVE LAYER
DE19706763B4 (en) Process for etching a metal layer
DE10154346A1 (en) Filling of substrate wells with SiO ¶¶2¶¶ by HDP vapor deposition with the participation of H¶¶2¶¶O¶¶2¶¶ or H¶¶2¶¶O as reaction gas
EP0126969B1 (en) Process for manufacturing structures comprising metallic silicides, especially silicide-polysilicon, for integrated semiconductor circuits using reactive ion etching
DE112007001243T5 (en) Process for dry etching an intermediate insulating layer
DE10226603A1 (en) Method for structuring a silicon layer and its use for producing an integrated semiconductor circuit
DE10037957C1 (en) Process for the anisotropic dry etching of organic anti-reflection layers
DE3030814C2 (en) Process for plasma etching a workpiece
WO2002037549A2 (en) Method for structuring a silicon oxide layer
DE10214065B4 (en) A method of making an improved metal silicide region in a silicon-containing conductive region in an integrated circuit

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application
AK Designated states

Kind code of ref document: A3

Designated state(s): US

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
122 Ep: pct application non-entry in european phase