DE10214065B4 - A method of making an improved metal silicide region in a silicon-containing conductive region in an integrated circuit - Google Patents

A method of making an improved metal silicide region in a silicon-containing conductive region in an integrated circuit Download PDF

Info

Publication number
DE10214065B4
DE10214065B4 DE10214065A DE10214065A DE10214065B4 DE 10214065 B4 DE10214065 B4 DE 10214065B4 DE 10214065 A DE10214065 A DE 10214065A DE 10214065 A DE10214065 A DE 10214065A DE 10214065 B4 DE10214065 B4 DE 10214065B4
Authority
DE
Germany
Prior art keywords
metal
layer
silicon
metal layer
nitrogen compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE10214065A
Other languages
German (de)
Other versions
DE10214065A1 (en
Inventor
Karsten Wieczorek
Volker Kahlert
Manfred Horstmann
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE10214065A priority Critical patent/DE10214065B4/en
Priority to US10/282,665 priority patent/US20030186523A1/en
Priority to CNB028286146A priority patent/CN100380625C/en
Priority to AU2002351407A priority patent/AU2002351407A1/en
Priority to JP2003581256A priority patent/JP2005522035A/en
Priority to KR10-2004-7014933A priority patent/KR20040104533A/en
Priority to EP02787066A priority patent/EP1490901A1/en
Priority to PCT/US2002/040806 priority patent/WO2003083936A1/en
Priority to TW92105990A priority patent/TWI263266B/en
Publication of DE10214065A1 publication Critical patent/DE10214065A1/en
Application granted granted Critical
Publication of DE10214065B4 publication Critical patent/DE10214065B4/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren zur Bildung eines Gebiets mit verringertem Widerstand in einem Silizium enthaltenden leitenden Gebiet, wobei das Verfahren umfasst:
Bereitstellen eines Substrats mit dem darauf gebildeten Silizium enthaltenden leitenden Gebiet;
Abscheiden eines Schichtstapels auf dem Silizium enthaltenden leitenden Gebiet, wobei der Schichtstapel eine erste Metallschicht, eine zweite Metallschicht, die als eine Opferschicht dient, und eine Metallstickstoffverbindungsschicht, die zwischen der ersten und der zweiten Metallschicht angeordnet ist, aufweist, wobei zumindest das Abscheiden der zweiten Metallschicht und der Metallstickstoffverbindungsschicht in-situ unter Verwendung des gleichen Metalls und unter Bildung eines graduellen Überganges zwischen der zweiten Metallschicht und der Metallstickstoffverbindungsschicht ausgeführt wird;
Wärmebehandeln des Substrats, um einen Metallsilizidbereich in dem Silizium enthaltenden leitenden Gebiet zu bilden; und ganzflächiges Entfernen der zweiten Metallschicht.
A method of forming a reduced resistance region in a silicon-containing conductive region, the process comprising:
Providing a substrate having the conductive region containing silicon thereon;
Depositing a layer stack on the silicon-containing conductive region, the layer stack comprising a first metal layer, a second metal layer serving as a sacrificial layer, and a metal nitrogen compound layer disposed between the first and second metal layers, wherein at least depositing the second Metal layer and the metal nitrogen compound layer is performed in situ using the same metal and forming a gradual transition between the second metal layer and the metal nitrogen compound layer;
Heat treating the substrate to form a metal silicide region in the silicon-containing conductive region; and full-surface removal of the second metal layer.

Figure 00000001
Figure 00000001

Description

Im Allgemeinen betrifft die vorliegende Erfindung das Gebiet der Herstellung integrierter Schaltungen und betrifft insbesondere Halbleiterelemente mit Metallsilizidbereichen in leitenden Silizium enthaltenden Gebieten, um den Schichtwiderstand dieser Gebiete zu reduzieren.in the In general, the present invention relates to the field of manufacture integrated circuits and in particular relates to semiconductor elements with Metal silicide regions in conductive silicon containing regions, to reduce the sheet resistance of these areas.

In modernen integrierten Schaltungen mit äußerst hoher Packungsdichte werden die Bauteilstrukturen ständig kleiner, um die Leistungsfähigkeit und Funktionalität des Bauteils zu steigern. Das Schrumpfen der Strukturgrößen zieht jedoch gewisse Probleme nach sich, die teilweise die mittels der reduzierten Strukturgrößen gewonnenen Vorteile aufheben können. Im Allgemeinen führt die Reduzierung der Strukturgrößen von beispielsweise einem Transistorelement zu einer geringeren Kanallänge in dem Transistorelement und führt damit zu einer höheren Stromtreiberfähigkeit und einer verbesserten Schaltgeschwindigkeit des Transistors. Beim Verringern der Strukturgrößen dieser Transistorelemente wird jedoch der ansteigende elektrische Widerstand von Leitungen und Kontaktgebieten, d.h. von Gebieten, die einen elektrischen Kontakt zur Peripherie des Transistorelements herstellen, ein dominierender Aspekt, da die Querschnittsfläche dieser Leitungen und Gebiete mit geringer werdenden Strukturgrößen ebenfalls kleiner wird. Die Querschnittsfläche bestimmt jedoch in Kombination mit den in den Leitungen und Kontaktgebieten enthaltenen Material u.a. den Widerstand der entsprechenden Leitung oder des Kontaktgebiets.In modern integrated circuits with extremely high packing density become the component structures constantly less to the power and functionality to increase the component. The shrinking of the structure sizes pulls However, there are certain problems, some of which are caused by the gained reduced structural sizes Can lift benefits. In general leads the reduction of structure sizes of For example, a transistor element to a smaller channel length in the transistor element and leads thus to a higher Stromtreiberfähigkeit and an improved switching speed of the transistor. At the Decrease the structure sizes of this However, transistor elements become the rising electrical resistance of lines and contact areas, i. of areas that have a make electrical contact to the periphery of the transistor element, a dominant aspect because the cross-sectional area of these conduits and areas becomes smaller with decreasing feature sizes. The cross-sectional area but in combination with those in the lines and contact areas contained material u.a. the resistance of the corresponding line or the contact area.

Die zuvor genannten Probleme können beispielhaft für eine typische kritische Strukturgröße in dieser Hinsicht, die auch als kritische Dimension (CD) bezeichnet wird, etwa die Ausdehnung des Kanals eines Feldeffekttransistors, der sich unter einer Gateelektrode zwischen einem Sourcegebiet und einem Draingebiet des Transistors bildet, dargestellt werden. Das Verringern dieser Ausdehnung des Kanals, die für gewöhnlich als Kanallänge bezeichnet wird, kann deutlich die Bauteilleistungsfähigkeit hinsichtlich der Abfall- und Anstiegszeiten während des Schattens des Transistorelements aufgrund der geringeren Kapazität zwischen der Gateelektrode und dem Kanal und aufgrund des verringerten Widerstands des kürzeren Kanals verbessern. Das Reduzieren der Kanallänge zieht jedoch die Verringerung der Größe von Leitungen, etwa der Gateelektrode des Feldeffekttransistors, die für gewöhnlich aus Polysilizium gebildet ist, und der Kontaktgebiete, die elektrischen Kontakt zu den Drain- und Sourcegebieten des Transistors liefern, nach sich, so dass folglich der verfügbare Querschnitt für den Ladungsträgertransport verringert ist. Folglich zeigen die Leitungen und die Kontaktgebiete einen höheren Widerstand, sofern der reduzierte Querschnitt nicht durch Verbessern der elektrischen Eigenschaften des Materials, das die Leitungen und die Kontaktgebiete, etwa die Gateelektrode und die Drain- und die Sourcekontaktgebiete, bildet, kompensiert wird.The previously mentioned problems exemplary for a typical critical feature size in this regard, the also referred to as the critical dimension (CD), such as the extent of the channel of a field effect transistor extending under a gate electrode between a source region and a drain region of the transistor forms are presented. Reducing this extent of the Channels for usually as channel length can be clearly indicated, the component performance in terms of fall and rise times during shadowing of the transistor element due to the lower capacity between the gate electrode and the channel and due to the reduced Resistance of the shorter Improve channels. Reducing the channel length, however, reduces the reduction in the Size of lines, about the gate electrode of the field effect transistor, which usually out Polysilicon is formed, and the contact areas, the electrical Provide contact to the drain and source regions of the transistor, by itself, so consequently the available cross section for the carrier transport is reduced. Consequently, the lines and the contact areas a higher one Resistance provided the reduced cross section is not improved by the electrical properties of the material that the wires and the contact areas, such as the gate electrode and the drain and the source contact areas, forms, is compensated.

Es ist daher von besonderer Bedeutung, die Eigenschaften von leitenden Gebieten zu verbessern, die im Wesentlichen aus Halbleitermaterial, etwa Silizium, aufgebaut sind. Beispielsweise sind in modernen integrierten Schaltungen die einzelnen Halbleiterelemente, etwa Feldeffekttransistoren, Kondensatoren und dergleichen hauptsächlich auf der Basis von Silizium aufgebaut, wobei die einzelnen Bauelemente durch Siliziumleitungen und Metallleitungen verbunden sind. Während der Widerstand der Metallleitungen verbessert werden kann, indem das üblicherweise verwendete Aluminium durch beispielsweise Kupfer ersetzt wird, sind Prozessingenieure mit einer herausfordernden Aufgabe konfrontiert, wenn eine Verbesserung der elektrischen Eigenschaften von Silizium enthaltenden Halbleiterleitungen und Halbleiterkontaktgebieten erforderlich ist.It is therefore of particular importance, the properties of conductive To improve areas consisting essentially of semiconductor material, such as Silicon, are constructed. For example, in modern integrated Circuits the individual semiconductor elements, such as field effect transistors, capacitors and the like mainly built on the basis of silicon, with the individual components connected by silicon lines and metal lines. During the Resistance of the metal lines can be improved by the usual used aluminum is replaced by, for example, copper Process engineers faced with a challenging task if an improvement in the electrical properties of silicon required semiconductor lines and semiconductor contact areas required is.

Typischerweise werden diese Silizium enthaltenden Gebiete so behandelt, um einen Metallsilizidbereich darauf zu erhalten, der einen deutlich kleineren Schichtwiderstand als Silizium aufweist, selbst wenn dieses stark dotiert ist.typically, These silicon-containing regions are treated as one Metal silicide on it to get a much smaller Has sheet resistance as silicon, even if this is strong is doped.

Mit Bezug zu 1a bis 1c wird ein typischer konventioneller Prozessablauf zur Herstellung von Metallsilizidbereichen auf einem Silizium enthaltenden leitenden Gebiet beschrieben.In reference to 1a to 1c A typical conventional process flow for producing metal silicide regions on a silicon-containing conductive region is described.

1a zeigt schematisch eine Querschnittsansicht eines Feldeffekttransistors 100, der in einem Substrat 101 hergestellt ist, das ein Siliziumsubstrat oder ein anderes geeignetes Substrat zur Aufnahme des Feldeffekttransistors 100 sein kann. Die Abmessungen des Feldeffekttransistors 100 sind durch eine Flachgrabenisolation 103 definiert, die aus einem isolierenden Material, etwa Siliziumdioxid, gebildet sein kann. Eine Gateisolationsschicht 106, die beispielsweise Siliziumdioxid aufweist, trennt eine Gateelektrode 109, die im Wesentlichen Polysilizium aufweist, von dem Potenzialtopfgebiet 102, das N- und/oder P-Dotieratome enthalten kann, abhängig von den erforderlichen Eigenschaften des Feldeffekttransistors 100. Ferner sind Source- und Draingebiete, beide durch das Bezugszeichen 105 gekennzeichnet, in dem Potenzialtopfgebiet 102 vorgesehen und sind invers zu dem Potenzialtopfgebiet 102 dotiert. Das Oberflächengebiet des Potenzialtopfgebiets 102, das unter der Gateisolationsschicht 106 liegt, wird auch als das Kanalgebiet bezeichnet. Der laterale Abstand in 1a, der die Drain- und Sourcegebiete 105 trennt, wird als die Kanallänge bezeichnet. Seitenwandabstandselemente 107 mit beispielsweise Siliziumdioxid oder Siliziumnitrid sind angrenzend zu den Seitenwänden der Gateelektrode 109 ausgebildet. Auf den Drain- und Sourcegebieten 105 und auf der Gateelektrode 109 sind Metallsilizidbereiche 108 gebildet, die typischerweise ein Kobaltsilizid (CoSi2) in einem niederohmigen Zustand aufweisen, um den Widerstand des entsprechenden Silizium enthaltenden leitenden Gebiets, etwa die Gateelektrode 109 und die Source- und Draingebiete 105, zu reduzieren. 1a schematically shows a cross-sectional view of a field effect transistor 100 that in a substrate 101 is made, which is a silicon substrate or other suitable substrate for receiving the field effect transistor 100 can be. The dimensions of the field effect transistor 100 are through a shallow trench isolation 103 defined, which may be formed of an insulating material, such as silicon dioxide. A gate insulation layer 106 silicon dioxide, for example, separates a gate electrode 109 comprising substantially polysilicon from the potential well region 102 , which may contain N and / or P doping atoms, depending on the required properties of the field effect transistor 100 , Further, source and drain regions are both denoted by the reference numeral 105 in the potential pot area 102 are provided and are inverse to the potential pot area 102 doped. The surface area of the potential well area 102 that under the gate insulation layer 106 is also referred to as the channel region. The lateral distance in 1a , the drain and source areas 105 is called the channel length. Sidewall spacers 107 with, for example, silicon dioxide or silicon nitride are on adjacent to the sidewalls of the gate electrode 109 educated. In the drain and source areas 105 and on the gate electrode 109 are metal silicide areas 108 which typically has a cobalt silicide (CoSi 2 ) in a low resistance state, around the resistance of the corresponding silicon-containing conductive region, such as the gate electrode 109 and the source and drain areas 105 , to reduce.

Die in 1a gezeigte Struktur wird typischerweise durch die folgenden Prozessschritte hergestellt. Zunächst wird nach der Herstellung der Flachgrabenisolation 103 mittels Ätzen von Gräben und Wiederbefüllung mit Siliziumdioxid die Gateisolationsschicht 106 beispielsweise durch einen Oxidationsprozess gebildet. Anschließend wird eine Polysiliziumschicht abgeschieden und so strukturiert, um die Gateelektrode 109 mittels fortschrittlicher fotolithografischer Verfahren zu bilden. Anschließend wird ein erster Implantationsschritt ausgeführt, um leicht dotierte Gebiete in den Source- und Draingebieten 105 zu definieren und anschließend werden die Seitenwandabstandselemente 107 gebildet, die als eine Implantationsmaske in einem anschließenden Implantationsschritt zum Definieren der Source- und Draingebiete 105 dienen. Danach wird eine Schicht aus hochschmelzendem Metall, beispielsweise mit Titan, Tantal, Zirkon, Kobalt, Nickel und dergleichen auf der in 1a gezeigten Struktur abgeschieden. Typischerweise wird das Metall durch Sputterabscheidung in einer Sputteranlage mit einem entsprechenden Abscheidematerial zur Bereitstellung des erforderlichen Metalls abgeschieden.In the 1a The structure shown is typically produced by the following process steps. First, after the production of the shallow trench isolation 103 by etching trenches and refilling with silicon dioxide, the gate insulation layer 106 formed for example by an oxidation process. Subsequently, a polysilicon layer is deposited and thus patterned around the gate electrode 109 by advanced photolithographic processes. Thereafter, a first implantation step is performed to provide lightly doped regions in the source and drain regions 105 and then define the sidewall spacers 107 formed as an implantation mask in a subsequent implantation step to define the source and drain regions 105 serve. Thereafter, a layer of refractory metal, for example with titanium, tantalum, zirconium, cobalt, nickel and the like on the in 1a deposited structure deposited. Typically, the metal is deposited by sputter deposition in a sputtering apparatus with a corresponding deposition material to provide the required metal.

1b zeigt schematisch eine vergrößerte Querschnittsansicht eines Teils des Draingebiets 105 einschließlich der Schicht aus hochschmelzendem Metall 110, die auf dem Draingebiet 105 abgeschieden ist. Auf der Schicht aus hochschmelzendem Metall 110 ist eine Deckschicht 111 angeordnet und kann typischerweise Titan oder Titannitrid aufweisen, wenn das hochschmelzende Metall der Schicht 110 im Wesentlichen Kobalt aufweist. Die Deckschicht 111 wird typischerweise durch Sputterabscheidung gebildet, wobei das Substrat 101 in einer separaten Abscheidekammer zur Bildung der Deckschicht 111 behandelt wird. 1b schematically shows an enlarged cross-sectional view of a portion of Drainebiets 105 including the layer of refractory metal 110 who are in the drain area 105 is deposited. On the layer of refractory metal 110 is a topcoat 111 and may typically comprise titanium or titanium nitride when the refractory metal of the layer 110 essentially comprises cobalt. The cover layer 111 is typically formed by sputter deposition, where the substrate 101 in a separate deposition chamber to form the cover layer 111 is treated.

Anschließend wird ein erster Ausheizschritt bei einer ersten Durchschnittstemperatur, typischerweise im Bereich von 440–600°C für Kobalt als das hochschmelzende Metall, durchgeführt, um eine chemische Reaktion zwischen dem hochschmelzenden Metall in der Schicht 110 und dem Silizium in dem Draingebiet 105 in Gang zu setzen. Anzumerken wäre dazu, dass eine entsprechende Reaktion selbstverständlich auch in der Gateelektrode 109 und dem Sourcegebiet 105 stattfindet. Während dieses ersten Ausheizschritts unterliegen das Metall der Schicht 110 z.B. das Kobalt, und das Silizium in dem Gebiet 105 einer Diffusionswanderung und bilden ein Kobaltmonosilizid. Wenn diese Reaktion stattfindet, wirkt die Deckschicht 111, wenn diese im Wesentlichen Titan aufweist, als eine so genannte Getterschicht, die vorzugsweise mit Sauerstoffatomen reagiert, die in der Ausheizumgebung vorhanden sind, um damit Titanoxid zu bilden. Daher verringert die Titandeckschicht 111 die Oxidation des darunter liegenden Kobalts 110, wodurch ansonsten ein Kobaltoxid entstehen könnte und den Widerstand der schließlich erhaltenen Silizidschicht erhöhen könnte. Bei der Diffusion während des ersten Ausheizschrittes neigen jedoch Kobalt und Titan dazu, eine Verbindung zu bilden, die im Wesentlichen keine Reaktion mit Silizium ausführt und damit nicht zu einem Silizidbereich mit geringen ohmischen Widerstand beiträgt.Subsequently, a first annealing step is performed at a first average temperature, typically in the range of 440-600 ° C for cobalt, as the refractory metal, to effect a chemical reaction between the refractory metal in the layer 110 and the silicon in the drain area 105 to get started. It should be noted that a corresponding reaction of course in the gate electrode 109 and the source area 105 takes place. During this first annealing step, the metal is subject to the layer 110 eg the cobalt, and the silicon in the area 105 a diffusion migration and form a Kobaltmonosilizid. When this reaction takes place, the topcoat acts 111 when it substantially comprises titanium, as a so-called gettering layer, which preferably reacts with oxygen atoms present in the baking environment to form titanium oxide therewith. Therefore, the titanium cover layer decreases 111 the oxidation of the underlying cobalt 110 , which could otherwise cause a cobalt oxide and increase the resistance of the finally obtained silicide layer. However, during diffusion during the first bake step, cobalt and titanium tend to form a compound that does not substantially react with silicon and thus does not contribute to a low resistivity silicide region.

Wenn andererseits die Deckschicht 111 im Wesentlichen Titannitrid aufweist, dient die Deckschicht 111 im Wesentlichen als eine inerte Schicht während des ersten Ausheizschrittes, zeigt jedoch lediglich eine moderate Fähigkeit, das darunter liegende Kobalt vor der Oxidation mit Restsauerstoff in der Ausheizatmosphäre zu schützen.On the other hand, if the cover layer 111 essentially comprises titanium nitride, the cover layer is used 111 essentially as an inert layer during the first bake step, however, shows only a moderate ability to protect the underlying cobalt from oxidation with residual oxygen in the bake atmosphere.

Ferner bauen sich während des Ausheizens und der Bildung des Kobaltmonosilizids Korngrenzen auf, in denen sich Titan ansammeln kann, wenn eine Titandeckschicht 111 verwendet wird.Furthermore, during annealing and formation of the cobalt monosilicide, grain boundaries build up in which titanium can accumulate when a titanium capping layer 111 is used.

Schließlich werden die Deckschicht 111 und das Kobalt der Schicht 110, das nicht reagiert hat, mittels eines selektiven Nassätzprozesses entfernt. Anschließend wird ein zweiter Ausheizschritt bei einer höheren Durchschnittstemperatur als der erste Ausheizschritt durchgeführt, typischerweise im Bereich von 650–700°C, wenn Kobalt in der Schicht 110 verwendet ist, um das Kobaltmonosilizid in ein stabileres Kobaltdisilizid umzuwandeln, das einen deutlich geringeren Schichtwiderstand als das Kobaltmonosilizid aufweist. Wie zuvor angemerkt wurde, kann sich im Falle einer Titandeckschicht 111 das Titan an den Korngrenzen des Kobaltmonosilizids angesammelt haben, und somit kann der Hauptdiffusionsweg für die chemische Reaktion während des zweiten Ausheizschrittes durch das angesammelte Titan deutlich eingeschränkt sein.Finally, the topcoat 111 and the cobalt of the layer 110 that has not reacted, removed by a selective wet etching process. Subsequently, a second anneal step is performed at a higher average temperature than the first anneal step, typically in the range of 650-700 ° C, when cobalt in the layer 110 is used to convert the cobalt monosilicide to a more stable cobalt disilicide having a significantly lower sheet resistance than the cobalt monosilicide. As previously noted, in the case of a titanium capping layer 111 have accumulated the titanium at the grain boundaries of the cobalt monosilicide, and thus the main diffusion path for the chemical reaction during the second annealing step may be significantly limited by the accumulated titanium.

Wie in 1c gezeigt ist, kann sich während des anfänglichen Ausheizschrittes eine Kobalttitanschicht 112 gebildet haben und somit ist eine Dicke des Silizidbereichs 108 verringert. Aufgrund des angesammelten Titans an den Korngrenzen kann ferner die Grenzfläche 113 des schließlich erhaltenen Silizidbereichs 108 und des darunter liegenden Silizium enthaltenden Gebiets 105 relativ rau sein und daher einen erhöhten elektrischen Widerstand aufgrund der erhöhten Streuung von Ladungsträgern aufweisen. Wenn eine Titannitridschicht als die Deckschicht 111 verwendet wird, kann die Erzeugung der Kobalttitanschicht 112 im Wesentlichen vermieden werden, aber stattdessen kann der schließlich erhaltene Silizidbereich 108 eine beträchtliche Menge Kobaltoxid aufweisen, wodurch ebenso der elektrische Widerstand des Silizidbereichs 108 erhöht wird.As in 1c During the initial annealing step, a cobalt titanium layer may be formed 112 have formed and thus is a thickness of the silicide 108 reduced. Due to the accumulated titanium at the grain boundaries, the interface may also be 113 of the finally obtained silicide region 108 and the underlying silicon-containing region 105 be relatively rough and therefore have an increased electrical resistance due to the increased scattering of charge carriers. When a titanium nitride layer is used as the cover layer 111 can be used, the generation of the cobalt titanium layer 112 can be substantially avoided, but instead, the silicic area eventually obtained 108 a considerable amount of cobalt oxide, as well as the electrical resistance of the silicide region 108 is increased.

Die Patentschrift US 5 451 545 offenbart einen Prozess zum Bilden von stabilen lokalen Verbindungsleitungen und Silizidstrukturen in aktiven Gebieten. Der Prozess umfasst das Abscheiden eines Titan/Titannitrid/Titan/Silizium-Schichtstapels über einer Feldeffekttransistorstruktur und das anschließende Strukturieren der Siliziumschicht, um die lokalen Verbindungsleitungen zu definieren. Bei einer Wärmebehandlung bildet das Titan der oberen Titanschicht im Bereich der definierten lokalen Verbindungsleitungen mit dem Silizium der darüber liegenden Siliziumschicht ein Silizid. Das Titan der unteren Titanschicht bildet ein Silizid mit dem Silizium des darunter liegenden aktiven Gebietes in Bereichen in denen sie in Kontakt sind, wobei in diesen Bereichen die abgeschiedene Siliziumschicht in dem erwähnten Strukturierungsschritt entfernt worden sein kann. Die Titan/Titannitrid-Schichten werden z. B. mittels reaktiver Sputtertechniken und die Titan/Silizium-Schichten werden mittels eines konventionellen Sputterprozesses abgeschieden. Eine Titannitrid/Titan-Opferschicht, die nachfolgend ganzflächig wieder entfernt wird, ist in der Patentschrift nicht offenbart.The patent US 5,451,545 discloses a process for forming stable local interconnect lines and silicide structures in active areas. The process comprises depositing a titanium / titanium nitride / titanium / silicon stack over a field effect transistor structure and then patterning the silicon layer to define the local interconnections. During a heat treatment, the titanium of the upper titanium layer forms a silicide in the region of the defined local connection lines with the silicon of the silicon layer lying above it. The titanium of the lower titanium layer forms a silicide with the silicon of the underlying active region in areas in which they are in contact, in which areas the deposited silicon layer may have been removed in the mentioned structuring step. The titanium / titanium nitride layers are z. Example by means of reactive sputtering techniques and the titanium / silicon layers are deposited by means of a conventional sputtering process. A titanium nitride / titanium sacrificial layer, which is subsequently removed over the whole area, is not disclosed in the patent.

Die Patentschrift US 5 874 342 und die darin einbezogene Patentschrift US 5 902 129 offenbaren einen Prozess zur Ausbildung von Kobaltsilizid, wobei eine Kobaltschicht mit einer Titan/Titannitrid-Deckschicht beschichtet wird, wobei die Titannitrid-Schicht die Titanschicht vor einem Kontakt mit Sauerstoff enthaltenden Gasen schützt. Die Titan- und die Titannitrid-Schicht können in der gleichen oder in getrennten Abscheidekammern gebildet werden. Eine Titannitrid/Titan-Deckschicht, wobei die Titanschicht als Getterschicht dient, wird in den Patentschriften nicht offenbart.The patent US 5,874,342 and the patent specification included therein US 5,902,129 disclose a process for forming cobalt silicide wherein a cobalt layer is coated with a titanium / titanium nitride cap layer, the titanium nitride layer protecting the titanium layer from contact with oxygen-containing gases. The titanium and titanium nitride layers may be formed in the same or separate deposition chambers. A titanium nitride / titanium cap layer, the titanium layer serving as the getter layer, is not disclosed in the patents.

Folglich gibt es, obwohl der konventionelle Prozessablauf eine deutliche Verbesserung des Gesamtwiderstandes eines Silizium enthaltenden leitenden Gebiets durch Herstellung von Silizidbereichen in diesen Gebieten erlaubt, dennoch Raum für Verbesserungen hinsichtlich der Qualität des silizidierten Bereichs und hinsichtlich der Prozessoptimierung.consequently There is, although the conventional process flow a clear Improvement of the total resistance of a silicon-containing conductive area by making Silizidbereichen in these Areas allowed, yet room for Improvements in the quality of the silicided area and in terms of process optimization.

Im Allgemeinen richtet sich die vorliegende Erfindung an ein Verfahren zur Herstellung eines silizidierten Bereichs in einem Silizium enthaltenden leitenden Gebiet, wobei ein Stapel aus Schichten vorgesehen ist, in dem eine oder mehrere Metallschichten das Metall zur Bildung des Metallsilizidbereichs tiefem, während andere Schichten in dem Stapel vorgesehen sind, um die darunter liegende Metallschicht während der Ingangsetzung einer chemischen Reaktion zwischen dem Metall und dem Silizium zu schützen. Ferner kann gemäß einem Aspekt das komplexe Abscheideverfahren, das zwei separate Abscheidekammern erfordert, deutlich vereinfacht werden, indem ein In-Situ-Verfahren zur Herstellung des Schichtstapels bereitgestellt wird, wodurch das Abscheiden der Metallschicht und der schützenden Schichten in einer einzelnen Abscheidekammer möglich ist.in the In general, the present invention is directed to a method for producing a silicided area in a silicon-containing area conductive area, where a stack of layers is provided, in which one or more metal layers form the metal of the metal silicide region deep, while other layers in the Stacks are provided to the underlying metal layer during the Initiation of a chemical reaction between the metal and to protect the silicon. Furthermore, according to a Aspect the complex separation process, the two separate separation chambers requires significantly simplified by an in situ procedure is provided for producing the layer stack, whereby the deposition of the metal layer and the protective layers in one individual deposition chamber possible is.

Gemäß einer anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren zur Herstellung von Gebieten mit reduziertem Widerstand in einem Silizium enthaltenden leitenden Gebiet das Bereitstellen eines Substrats mit dem darauf gebildeten Silizium enthaltenden leitenden Gebiet und das Abscheiden eines Schichtstapels auf dem Silizium enthaltenden leitenden Gebiet, wobei der Schichtstapel eine erste und eine zweite Metallschicht, die als eine Opferschicht dient und eine Metallstickstoffverbindungsschicht aufweist, die zwischen der ersten und der zweiten Metallschicht angeordnet ist, wobei zumindest das Abscheiden der zweiten Metallschicht und der Metallstickstoffverbindungsschicht in-situ unter Verwendung des gleichen Metalls und unter Bildung eines graduellen Überganges zwischen der zweiten Metallschicht und der Metallstickstoffverbindungsschicht ausgeführt wird. Ferner umfasst das Verfahren das Wärmebehandeln des Substrats, um einen Metallsilizidbereich in dem Silizium enthaltenden leitenden Gebiet zu bilden, und das ganzflächige Entfernen der Opferschicht.According to one illustrative embodiment The present invention comprises a process for the preparation of areas of reduced resistance in a silicon containing conductive area providing a substrate with the on formed silicon-containing conductive region and the deposition a layer stack on the silicon-containing conductive region, wherein the layer stack comprises a first and a second metal layer, serving as a sacrificial layer and a metal nitrogen compound layer having, between the first and the second metal layer is arranged, wherein at least the deposition of the second metal layer and the metal nitrogen compound layer in situ using of the same metal and forming a gradual transition between the second metal layer and the metal nitrogen compound layer accomplished becomes. Furthermore, the method comprises heat treating the substrate, around a metal silicide region in the silicon-containing conductive Area to form, and the whole area Removing the sacrificial layer.

Weitere Vorteile, Aufgaben und Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird; es zeigen:Further Advantages, tasks and embodiments The present invention is defined in the appended claims and go more clearly from the following detailed description when studying with reference to the accompanying drawings becomes; show it:

1a1c schematisch Querschnittsansichten eines Halbleiterelements mit einem silizidierten Bereich, der entsprechend einem typischen konventionellen Prozess hergestellt ist; und 1a - 1c schematically cross-sectional views of a semiconductor element with a silicided area, which is prepared according to a typical conventional process; and

2a2d schematisch Querschnittsansichten eines Halbleiterelements während diverser Herstellungsstadien gemäß einer anschaulichen Ausführungsform der vorliegenden Erfindung. 2a - 2d schematically cross-sectional views of a semiconductor element during various stages of manufacture according to an illustrative embodiment of the present invention.

Anzumerken ist, dass die 1a1c und 2a2d lediglich anschaulicher Natur sind und die Abmessungen und Gebiete, die darin gezeigt sind, sind nicht maßstabsgetreu. Ferner sind die Grenzen zwischen benachbarten Materialschichten und Gebieten als scharfe Linien dargestellt, wohingegen in tatsächlichen Bauteilen diese Grenzen durch graduelle Übergänge gebildet sein können, wie dies typisch für Bauelemente ist, die durch Herstellungsschritte mit Diffusionsprozessen hergestellt werden.It should be noted that the 1a - 1c and 2a - 2d are merely illustrative nature and the dimensions and areas therein are not to scale. Furthermore, the boundaries between adjacent layers of material and regions are shown as sharp lines, whereas in actual devices these boundaries may be formed by gradual transitions, as is typical of devices made by manufacturing processes using diffusion processes.

Obwohl die vorliegende Erfindung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, beabsichtigen die detaillierte Beschreibung und die Zeichnungen nicht, die vorliegende Erfindung auf die speziellen offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Erfindung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.Even though the present invention is described with reference to the embodiments, as in the following detailed description as well as in the following Drawings are intended to provide a detailed description and the drawings do not, the present invention to the specific disclosed embodiments restrict but the described embodiments merely exemplify the various aspects of the present invention whose scope is defined by the appended claims is.

Im Folgenden werden anschauliche Ausführungsformen der vorliegenden Erfindung im Hinblick auf einen Feldeffekttransistor mit Silizium enthaltenden leitenden Gebieten beschrieben. Es sollte jedoch selbstverständlich sein, dass die vorliegende Erfindung auf ein beliebiges Silizium enthaltendes leitendes Gebiet, das in einer integrierten Schaltung vorgesehen ist, anwendbar ist. Beispielsweise können gewisse Chipflächen oder einzelne Halbleiterelemente durch Polysiliziumleitungen verbunden sein, die entsprechend zu den Entwurfserfordernissen eine relativ geringe Querschnittsfläche aufweisen können, so dass eine Verbesserung der Leitfähigkeit dieser Leitungen deutlich zu einer Verbesserung der Gesamtleistungsfähigkeit der integrierten Schaltung beiträgt.in the The following are illustrative embodiments of the present invention Invention with regard to a field effect transistor with silicon containing conductive areas described. It should, of course, be that the present invention to any silicon-containing conductive area, which is provided in an integrated circuit is, is applicable. For example, certain chip areas or individual semiconductor elements connected by polysilicon lines be a relative to the design requirements a relative small cross-sectional area can have so that an improvement in the conductivity of these lines significantly to improve the overall performance of the integrated circuit contributes.

2a zeigt eine schematische Querschnittsansicht eines Halbleiterelements 200 in Form eines Feldeffekttransistors, der im Wesentlichen die gleichen Komponenten und Teile aufweist, die bereits in 1a beschrieben sind. Die entsprechenden Komponenten und Teile sind durch die gleichen Bezugszeichen mit Ausnahme einer führenden "2" anstelle einer führenden "1" bezeichnet. Das Halbleiterelement 200 umfasst also Flachgrabenisolationen 203, die in einem Substrat 201 gebildet sind, wobei das Substrat 201 ein beliebiges geeignetes Substrat einschließlich beispielsweise eines Siliziumsubstrats, eines Silizium-auf-Isolator-Substrat und dergleichen sein. Drain- und Sourcegebiete 205 sind in einem Potenzialtopfgebiet 202 getrennt angeordnet, das einen zentralen Bereich aufweist, auf dem eine Gateisolationsschicht 206 gebildet ist, die elektrisch eine Gateelektrode 209 von dem Potenzialtopfgebiet 202 isoliert. Ferner sind Seitenwandabstandselemente 207 an den Seitenwänden der Gateelektrode 202 angeordnet. 2a shows a schematic cross-sectional view of a semiconductor element 200 in the form of a field effect transistor, which has substantially the same components and parts already in 1a are described. The corresponding components and parts are designated by the same reference numerals except for a leading "2" instead of a leading "1". The semiconductor element 200 thus includes shallow trench isolation 203 in a substrate 201 are formed, wherein the substrate 201 may be any suitable substrate including, for example, a silicon substrate, a silicon-on-insulator substrate, and the like. Drain and source areas 205 are in a potential pot area 202 arranged separately, which has a central region on which a gate insulation layer 206 is formed, which electrically a gate electrode 209 from the potential pot area 202 isolated. Further, sidewall spacers 207 on the sidewalls of the gate electrode 202 arranged.

Der Prozessablauf zur Herstellung des Halbleiterelements 200 kann im Wesentlichen die gleichen Prozessschritte aufweisen, die bereits mit Bezug zu 1a beschrieben sind. Daher wird eine entsprechende Beschreibung weggelassen.The process flow for the production of the semiconductor element 200 can essentially have the same process steps already related to 1a are described. Therefore, a description will be omitted.

Ferner umfasst das in 2a gezeigte Halbleiterelement 200 einen Schichtstapel 220 (der weiter unten detaillierter beschrieben wird), der für die nachfolgende Bildung der silizidierten Bereiche in den Drain- und den Sourcegebieten 205 und der Gateelektrode 209 vorgesehen ist.Furthermore, this includes in 2a shown semiconductor element 200 a layer stack 220 (which will be described in more detail below) for subsequent formation of the silicided regions in the drain and source regions 205 and the gate electrode 209 is provided.

2b zeigt schematisch eine vergrößerte Querschnittsansicht eines Bereichs des Halbleiterelements 200 mit dem Schichtstapel 220 und einem Bereich des darunter lie genden Silizium enthaltenden Gebiets, beispielsweise des Gebiets 205. Entsprechend einer speziellen Ausführungsform umfasst der Schichtstapel 220 drei Schichten, eine erste Metallschicht 221, eine zweite Schicht 222 in Form einer Metallstickstoffverbindungsschicht und eine dritte Schicht 223 in Form einer zweiten Metallschicht Die erste Metallschicht 221 kann ein hochschmelzendes Metall oder eine geeignete Legierung davon einschließlich beispielsweise Kobalt, Titan, Zirkon, Tantal, Wolfram, Nickel und dergleichen aufweisen. Die Metallstickstoffverbindungsschicht 222 kann eine Metallstickstoffverbindung, etwa Metallnitrid, aufweisen, das aus einem der zuvor genannten hochschmelzenden Metalle gebildet ist Die zweite Metallschicht 223 kann ein Metall oder eine Legierung von Metallen einschließlich beispielsweise jener der zuvor genannten Metalle aufweisen unter Verwendung des gleichen Metalls wie in der Metallstickstoffverbindung. Die Dicke der einzelnen Schichten 221, 222 und 223 ist so gewählt um den spezifischen Erfordernissen zu genügen. Das heißt, die erste Metallschicht 221 ist die Materialquelle für den in und auf dem Silizium enthaltenden leitenden Gebiet 205 zu bildenden Metallsilizidbereich. Daher wird die Dicke der ersten Metallschicht 221 so gewählt um die erforderliche Dicke der zu bildenden Silizidbereiche zu erhalten. Die Dicke der Metallstickstoffverbindungsschicht 222, die als eine inerte Schicht, d.h., als eine Diffusionsbarrierenschicht, die im Wesentlichen Diffusion von der ersten Metallschicht 221 in die Metallstickstoffverbindungsschicht 222 und/oder zu der zweiten Metallschicht 223 und eine chemische Reaktion zwischen der ersten Metallschicht 221 und der Metallstickstoffverbindungsschicht 222 behindert, in den nachfolgenden Prozessschritten zur Bildung der Metallsilizidbereiche dient, wird so gewählt, um einen ausreichenden Schutz für die darunter liegende erste Metallschicht 221 in dem anschließenden Ausheizschritt sicherzustellen. Wenn beispielsweise das Metallnitrid in der Metallstickstoffverbindungsschicht 222 Titannitrid ist, liegt eine typische Schichtdicke im Bereich von ungefähr 10–100 nm. Die Dicke derzweiten Metallschicht 223, die in dem anschließenden Ausheizschritt als eine Getterschicht dient, die mit Sauerstoffatomen oder anderen reaktiven Nebenprodukten zur Bildung eines Metalloxids oder einer anderen Verbindung dient, wird folglich vorzugsweise so gewählt um im Wesentlichen alle Sauerstoffatome oder Molekühle, die die Oberfläche der zweiten Metallschicht 223 treffen, aufzubrauchen. Typischerweise ist eine Dicke im Bereich von 10–30 nm ausreichend, um den Grad der ungewünschten Oxidation in der ersten Metallschicht 221 in einem tolerierbaren Bereich zu halten. 2 B schematically shows an enlarged cross-sectional view of a portion of the semiconductor element 200 with the layer stack 220 and a region of the underlying silicon-containing region, such as the region 205 , According to a specific embodiment, the layer stack comprises 220 three layers, a first metal layer 221 , a second layer 222 in the form of a metal nitrogen compound layer and a third layer 223 in the form of a second metal layer The first metal layer 221 may comprise a refractory metal or a suitable alloy thereof including, for example, cobalt, titanium, zirconium, tantalum, tungsten, nickel, and the like. The metal nitrogen compound layer 222 may comprise a metal nitrogen compound, such as metal nitride, formed from one of the aforementioned refractory metals. The second metal layer 223 may comprise a metal or an alloy of metals including, for example, those of the aforementioned metals using the same metal as in the metal nitrogen compound. The thickness of the individual layers 221 . 222 and 223 is chosen to meet the specific requirements. That is, the first metal layer 221 is the material source for the conducting region contained in and on the silicon 205 to be formed metal silicide area. Therefore, the thickness of the first metal layer becomes 221 chosen to obtain the required thickness of the silicide areas to be formed. The thickness of the metal nitrogen compound layer 222 that is, as an inert layer, ie, as a diffusion barrier layer, that substantially diffuses from the first metal layer 221 into the metal nitrogen compound layer 222 and / or to the second metal layer 223 and a chemical reaction between the first metal layer 221 and the metal nitrogen compound layer 222 obstructed, in the subsequent process steps serves to form the metal silicide areas, is chosen so as to provide sufficient protection for the underlying first metal layer 221 in the subsequent annealing step. For example, when the metal nitride in the metal nitrogen compound layer 222 Titanium nitride, a typical layer thickness is in the range of about 10-100 nm. The thickness of the second metal layer 223 which serves as a getter layer in the subsequent annealing step, which is oxygenated atoms or other reactive by-products to form a metal oxide or other compound is thus preferably chosen to be substantially all of the oxygen atoms or molecules forming the surface of the second metal layer 223 meet, use up. Typically, a thickness in the range of 10-30 nm is sufficient to reduce the level of undesired oxidation in the first metal layer 221 to keep within a tolerable range.

In einer speziellen Ausführungsform umfassen die erste Metallschicht 221 und die zweite Metallschicht 223 im Wesentlichen das gleiche Metall und die Metallstickstoffverbindungsschicht 222 weist im Wesentlichen ein Metallnitrid auf, das aus dem gleichen Metall gebildet ist, das die ers ten und zweiten Metallschichten bildet. Die Verwendung des gleichen Metalls für die erste Metallschicht 221, die Metallstickstoffverbindungsschicht 222 und die zweite Metallschicht 223 bietet die folgenden Vorteile.In a specific embodiment, the first metal layer comprises 221 and the second metal layer 223 essentially the same metal and the metal nitrogen compound layer 222 essentially comprises a metal nitride formed of the same metal forming the first and second metal layers. The use of the same metal for the first metal layer 221 , the metal nitrogen compound layer 222 and the second metal layer 223 offers the following advantages.

Vorzugsweise werden bei der Herstellung integrierter Schaltungen mit äußerst hoher Packungsdichte auf Substrate mit großem Durchmesser Metallschichten durch physikalische Dampfabscheidung abgeschieden, etwa der Sputterabscheidung, aufgrund des relativ hohen Grades an Gleichförmigkeit, der über die gesamte Substratoberfläche hinweg erreicht werden kann. Während der Sputterabscheidung wird das Substrat, etwa das Substrat 201, in eine Reaktionskammer (nicht gezeigt) eingeführt, die ein Abscheidematerial enthält, d.h. für gewöhnlich ein scheibenförmiges Material, das auf dem Substrat abzuscheiden ist, und eine Einrichtung zum Erzeugen einer Plasmaumgebung enthält. Typischerweise wird ein Plasma unter Verwendung eines Edelgases, etwa Argon, erzeugt, um Ionen und Elektronen auf das Abscheidematerial zu lenken, um Atome des Abscheidematerials freizusetzen. Ein Teil der freigesetzten Atome bewegt sich dann auf das Substrat zu und kondensiert darauf, um eine Metallschicht, etwa die erste Metallschicht 221, zu bilden. Die Prozessparameter der Sputterabscheidung, etwa der Kammerdruck, die der Plasma erzeugenden Einrichtung zugeführte Leistung, eine Gleichstrom- oder Wechselstromvorspannung, die dem Substrat zugeführt wird, der Abstand zwischen dem Abscheidematerial und dem Substrat, die Dauer des Abscheidevorganges und dergleichen, können so gesteuert werden, um die Dicke der ersten Metallschicht 221 in Übereinstimmung mit Entwurtserfordemissen einzustellen. Da Sputterabscheideanlagen und -prozesse im Stand der Technik bereits bekannt sind, wird eine detaillierte Beschreibung davon weggelassen.Preferably, in the fabrication of very high density integrated circuits on large diameter substrates, metal layers are deposited by physical vapor deposition, such as sputter deposition, due to the relatively high degree of uniformity that can be achieved across the substrate surface. During sputter deposition, the substrate becomes about the substrate 201 , introduced into a reaction chamber (not shown) containing a deposition material, ie, usually containing a disk-shaped material to be deposited on the substrate, and means for generating a plasma environment. Typically, a plasma is generated using a noble gas, such as argon, to direct ions and electrons to the deposition material to release atoms of the deposition material. A portion of the released atoms then move toward and condense on the substrate around a metal layer, such as the first metal layer 221 , to build. The process parameters of the sputter deposition, such as the chamber pressure, the power supplied to the plasma generating device, a DC or AC bias supplied to the substrate, the distance between the deposition material and the substrate, the duration of the deposition process, and the like, can be controlled. around the thickness of the first metal layer 221 to be adjusted in accordance with design requirements. Since sputter deposition equipment and processes are already known in the art, a detailed description thereof will be omitted.

Nachdem die erste Metallschicht 221 mit der erforderlichen Dicke abgeschieden worden ist, wird ein Stickstoff enthaltendes Gas, beispielsweise Stickstoff (N2) der Plasmaumgebung zugesetzt. Es wurde herausgefunden, dass viele hochschmelzenden Metalle, etwa Titan, Zirkon, Tantal, Wolfram und dergleichen Stickstoffverbindungen während der Sputterabscheidung bei Anwesenheit von Stickstoff bilden, so dass die Metallstickstoffverbindungsschicht 222 als eine Metallnitridschicht gebildet werden kann. Wiederum können die Abscheideprozessparameter einschließlich der Parameter, die zuvor aufgeführt sind, und insbesondere die Durchflussrate von Stickstoff, das der reaktiven Plasmaumgebung zugesetzt wird, so gesteuert werden, um die Dicke und die Eigenschaften der Metallstickstoffverbindungsschicht 222 einzustellen. Nachdem eine gewünschte Dicke erreicht ist, wird die Stick stoffzufuhr unterbrochen, wobei die Plasmaumgebung weiterhin aufrechterhalten wird, so dass zunehmend mehr Metall als Metallnitrid auf dem Substrat abgeschieden wird. Dieser Prozess setzt sich fort, bis im Wesentlichen das gesamte restliche Stickstoffgas aufgebraucht ist, so dass schließlich eine im Wesentlichen "reine" Metallschicht 223 erzeugt wird.After the first metal layer 221 has been deposited with the required thickness, a nitrogen-containing gas, for example nitrogen (N 2 ) is added to the plasma environment. It has been found that many refractory metals such as titanium, zirconium, tantalum, tungsten and the like form nitrogen compounds during sputter deposition in the presence of nitrogen, so that the metal nitrogen compound layer 222 as a metal nitride layer can be formed. Again, the deposition process parameters, including the parameters listed above, and particularly the flow rate of nitrogen added to the reactive plasma environment, can be controlled to increase the thickness and properties of the metal nitrogen compound layer 222 adjust. After a desired thickness is reached, the nitrogen supply is interrupted, the plasma environment is still maintained, so that increasingly more metal than metal nitride is deposited on the substrate. This process continues until essentially all of the residual nitrogen gas has been used up so that ultimately a substantially "pure" metal layer 223 is produced.

Ferner wird Stickstoff, der in dem Abscheidematerial eingefangen ist, oder ein beliebiges Metallnitrid, das auf dem Abscheidematerial und an den Kammerwänden abgeschieden wird, während des Abscheidevorgangs ohne Stickstoffzufuhr entfernt, so dass die Kontamination mit Metallnitrid in einem anschließenden Sputterabscheideprozess minimal ist. Der Abscheideprozess für die zweite Metallschicht 223 wird beendet, wenn eine erforderliche Dicke erreicht ist, oder wenn ein erforderliches Maß an "Reinigung" in der Abscheidekammer erreicht ist. Da die zweite Metallschicht 223 lediglich als eine Opferschicht dient, ist die Dicke nicht kritisch, so lange eine minimale erforderliche Wirksamkeit im Aufnehmen von Sauerstoffatomen sichergestellt ist. Folglich kann gemäß dieser speziellen Ausführungsform ein Schichtstapel 220 mit den drei Schichten 221, 222 und 223 in einer In-Situ-Sputterabscheidung erzeugt werden, wobei deutlich der Durchsatz und die Anlagenleistungsfähigkeit verbessert sind.Further, nitrogen trapped in the deposition material, or any metal nitride deposited on the deposition material and on the chamber walls, is removed during the deposition process without supply of nitrogen, so that contamination with metal nitride in a subsequent sputter deposition process is minimal. The deposition process for the second metal layer 223 is terminated when a required thickness is reached, or when a required level of "cleaning" is achieved in the deposition chamber. Because the second metal layer 223 merely serving as a sacrificial layer, the thickness is not critical as long as minimum required efficiency in taking up oxygen atoms is ensured. Thus, according to this particular embodiment, a layer stack 220 with the three layers 221 . 222 and 223 in an in-situ sputter deposition, with significantly improved throughput and system performance.

Gemäß einer weiteren anschaulichen Ausführungsform kann die erste Metallschicht 221 in einer ersten Plasmaumgebung abgeschieden werden, um beispielsweise eine Kobaltschicht 221 zu bilden, und anschließend wird das Substrat 201 einer zweiten Plasmaumgebung mit einem zweiten Abscheidematerial, beispielsweise Titan, und einer Stickstoff enthaltenden Gaskomponente ausgesetzt. Nach der Abscheidung einer Titannitridschicht wird die Zufuhr des Stickstoff enthaltenden Gases unterbrochen und, wie zuvor mit Bezug zu der vorhergehenden Ausführungsform beschreben ist, wird allmählich eine Titanschicht 223 abgeschieden, wobei gleichzeitig das Sputterabscheidematerial dekontaminiert wird, wie dies zuvor beschrieben ist. Auf diese Weise kann eine Materialzusammensetzung gewählt werden, wobei die erste Metallschicht 221 so gewählt ist, um einen optimierten Silizidbereich zu erhalten, und wobei die Metallstickstoffverbindungsschicht 222 und die zweite Metallschicht 223 so gewählt sind, um einen optimalen Schutz der ersten Schicht 221 während der anschließenden Wärmebehandlung zu gewährleisten.According to a further illustrative embodiment, the first metal layer 221 deposited in a first plasma environment, for example, a cobalt layer 221 and then the substrate 201 a second plasma environment with a second deposition material, such as titanium, and exposed to a nitrogen-containing gas component. After the deposition of a titanium nitride layer, the supply of the nitrogen-containing gas is stopped and, as previously described with reference to the previous embodiment, gradually becomes a titanium layer 223 deposited while simultaneously decontaminating the sputtering material as described above. In this way, a material composition can be selected, wherein the first metal layer 221 is chosen to obtain an optimized silicide area, and where at the metal nitrogen compound layer 222 and the second metal layer 223 are chosen so as to provide optimum protection of the first layer 221 during the subsequent heat treatment.

Als ein nächster Prozessschritt wird eine Wärmebehandlung ausgeführt, um eine chemische Reaktion zwischen dem Silizium in dem Silizium enthaltenden leitenden Gebiet 205 und der ersten Metallschicht 221 in Gang zu setzen. Dazu kann abhängig von der Art des in der ersten Metallschicht 221 enthaltenden Metalls gemäß einer Ausführungsform ein erster Ausheizschritt mit einer ersten Durchschnittstemperatur ausgeführt werden, um die chemische Reaktion zwischen dem Metall in der ersten Metallschicht 221 und dem darunter liegenden Silizium in Gang zu setzen, um eine Metallsiliziumverbindung zu bilden. Während dieses Ausheizschrittes verhindert die Metallstickstoffverbindungsschicht 222 im Wesentlichen eine Aufwärts- und Abwärtsdiffusion von Material der ersten und der zweiten Metallschicht 221, 223, was besonders vorteilhaft ist, wenn die erste und die zweite Metallschicht jeweils ein unterschiedliches Metall aufweisen. Des Weiteren reagiert die Metallstickstoffverbindungsschicht 222 im Wesentlichen nicht mit dem Metall der ersten Metallschicht 221. Ferner wird ein reaktives Element, insbesondere Sauerstoff, der in der Umgebung vorhanden sein kann, im Wesentlichen durch die zweite Metallschicht 223 durch Ausbildung einer Verbindung, etwa eines Oxids, mit diesem reaktiven Elementen verbraucht.As a next process step, a heat treatment is performed to initiate a chemical reaction between the silicon in the silicon-containing conductive region 205 and the first metal layer 221 to get started. This may depend on the nature of the first metal layer 221 metal according to one embodiment, a first annealing step with a first average temperature are performed to the chemical reaction between the metal in the first metal layer 221 and the underlying silicon to form a metal silicon compound. During this annealing step, the metal nitrogen compound layer prevents 222 essentially an upward and downward diffusion of material of the first and second metal layers 221 . 223 , which is particularly advantageous if the first and the second metal layer each have a different metal. Furthermore, the metal nitrogen compound layer reacts 222 essentially not with the metal of the first metal layer 221 , Furthermore, a reactive element, in particular oxygen, which may be present in the environment, essentially by the second metal layer 223 by consuming a compound, such as an oxide, consumed with this reactive elements.

Anschließend werden die Metallstickstoffverbindungsschicht 222 und die zweite Metallschicht 223 selektiv entfernt und ebenso wird ein Überschussmaterial der ersten Metallschicht 221, das nicht mit dem darunter liegenden Silizium reagiert hat, entfernt. Eine derartige Entfernung kann durch Ausführen einer Vielzahl bekannter Nassätzprozesse erreicht werden.Subsequently, the metal nitrogen compound layer 222 and the second metal layer 223 selectively removed and also becomes an excess material of the first metal layer 221 that has not reacted with the underlying silicon removed. Such removal can be achieved by performing a variety of known wet etching processes.

2c zeigt schematisch die Metallsiliziumverbindung 225, die in und auf dem Silizium enthaltenden leitenden Gebiet 205 gebildet ist, nach der Entfernung des Überschussmaterials. 2c schematically shows the metal silicon compound 225 that are in and on the silicon-containing conductive region 205 is formed after the removal of the excess material.

Anschließend wird eine weitere Wärmebehandlung, etwa ein zweiter Ausheizschritt, bei einer höheren Durchschnittstemperatur als die erste Wärmebehandlung durchgeführt, um die Metallsiliziumverbindung in ein Metallsilizid überzuführen, das einen deutlich geringeren Widerstand als das Silizium in dem Gebiet 205 oder die Metallsiliziumverbindung 225 aufweist.Subsequently, another heat treatment, such as a second anneal step, is performed at a higher average temperature than the first heat treatment to convert the metal silicon compound to a metal silicide that has a significantly lower resistance than the silicon in the region 205 or the metal silicon compound 225 having.

2d zeigt schematisch das Halbleiterelement 200 nach Beendigung der zweiten Wärmebehandlung, wobei Metallsilizidbereiche 208 in und auf den Source- und Draingebieten 205 und der Gateelektrode 209 gebildet sind. Aufgrund des Vorsehens der Metallstickstoffverbindungsschicht 222 während der ersten Wärmebehandlung ist die Grenzfläche zwischen dem Silizium und dem Metallsilizidgebiet 208 deutlich verbessert, selbst wenn das Metall der ersten Metallschicht 221 sich von jenem der zweiten Metallschicht 223 unterscheidet, da eine Diffusionsaktivität zwischen diesen beiden Schichten im Wesentlichen vermieden wird. 2d schematically shows the semiconductor element 200 after completion of the second heat treatment, wherein metal silicide areas 208 in and on the source and drain areas 205 and the gate electrode 209 are formed. Due to the provision of the metal nitrogen compound layer 222 during the first heat treatment, the interface is between the silicon and the metal silicide region 208 significantly improved, even if the metal of the first metal layer 221 from that of the second metal layer 223 differs because diffusion activity between these two layers is substantially avoided.

Obwohl die bisher beschriebenen anschaulichen Ausführungsformen sich auf einen Schichtstapel 220 mit drei unterschiedlichen Schichten beziehen, kann der Schichtstapel 220 eine beliebige geeignete Anzahl an Schichten aufweisen, um die erforderliche Diffusionsbarrierenfunktion und die erforderliche Getter-Funktion zu erreichen. Insbesondere kann der Übergang zwischen der Metallstickstoffverbindungsschicht 222 und der zweiten Metallschicht 223 ein gradueller Übergang sein, in dem das Verhältnis von Metall zu Metallnitrid allmählich variiert, so dass die Oberseite des Schichtstapels 220 eine verbesserte Getter-Effizienz zeigt, wohingegen der Bereich auf der ersten Metallschicht 221 die geforderten diffusionshindernden Eigenschaften zeigt. Dies gilt insbesondere für Ausführungsformen, in denen ein In-Situ-Abscheideprozess angewendet wird, wobei die Zufuhr von Stickstoffgas so gesteuert sein kann, dass die erforderliche Metallnitrid- und Metallkonfiguration in der Metallstickstoffverbindungsschicht und der zweiten Metallschicht erhalten wird. Ferner kann in einem erläuternden Beispiel einer Ausführungsform eines Schichtstapels die erste Metallschicht 221 und die Metallstickstoffverbindungsschicht 222 in einem In-Situ-Prozess abgeschieden werden, um eine Metallschicht 221 und eine entsprechende Nitridschicht 222 zu bilden, wohingegen die zweite Metallschicht 223 aus einem unterschiedlichen Material in einem separaten Abscheideprozess gebildet werden kann.Although the illustrative embodiments described so far are based on a layer stack 220 With three different layers, the layer stack can 220 have any suitable number of layers to achieve the required diffusion barrier function and getter function required. In particular, the transition between the metal nitrogen compound layer 222 and the second metal layer 223 a gradual transition in which the ratio of metal to metal nitride gradually varies so that the top of the layer stack 220 shows improved getter efficiency, whereas the area on the first metal layer 221 shows the required diffusion-inhibiting properties. This is especially true for embodiments in which an in-situ deposition process is employed, wherein the supply of nitrogen gas may be controlled to provide the requisite metal nitride and metal configuration in the metal nitrogen compound layer and the second metal layer. Furthermore, in an illustrative example of one embodiment of a layer stack, the first metal layer 221 and the metal nitrogen compound layer 222 be deposited in an in-situ process to a metal layer 221 and a corresponding nitride layer 222 whereas the second metal layer 223 can be formed from a different material in a separate deposition process.

Anzumerken ist, dass in anderen Ausführungsformen mehr als drei Schichten in dem Schichtstapel 220 verwendet werden können, um eine erforderliche schützende Abdeckung für das silizidbildende Metall zu erhalten.It should be noted that in other embodiments more than three layers in the layer stack 220 can be used to obtain a required protective covering for the silicide-forming metal.

In anderen Ausführungsformen soll, insbesondere wenn eine In-Situ-Abscheidung für zwei oder drei Schichten verwendet wird, der Begriff Schicht eine Schicht beschreiben, die im Wesentlichen durch ihre Funktion anstatt durch ihre Grenze zu einer darüber liegenden oder darunter liegenden Schicht definiert ist. Beispielsweise soll eine Metallnitridschicht, die durch Sputterabscheiden mit Zufuhr von Stickstoff abgeschieden wird, und eine Schicht, die nach Erreichen einer gewissen Dicke des Metallnitrids durch Unterbrechen der Stickstoffzufuhr gebildet wird, als zumindest zwei Schichten aufgrund der Getter-Funktion der schließlich gebildeten Schicht und der Inert-Wirkung der vorhergehenden Schicht aufgefasst werden, obwohl eine deutliche physikalische Grenze dazwischen nur schwierig zu definieren ist.In other embodiments, particularly when in-situ deposition is used for two or three layers, the term layer is intended to describe a layer which is essentially defined by its function rather than by its boundary to an overlying or underlying layer. For example, a metal nitride layer deposited by sputter depositing with nitrogen supply and a layer formed after reaching a certain thickness of the metal nitride by interrupting the supply of nitrogen should be at least two layers due to the Gettering function of the final layer formed and the inerting effect of the previous layer, although a distinct physical boundary between them is difficult to define.

Weitere Modifikationen und Variationen der vorliegenden Erfindung werden für den Fachmann auf diesem Gebiet angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich anschaulich gedacht und dient dem Zwecke, den Fachmann die allgemeine Art des Ausführens der vorliegenden Erfindung nahe zu bringen. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen der Erfindung als die gegenwärtig bevorzugten Ausführungsformen aufzufassen.Further Modifications and variations of the present invention will become for the One skilled in the art will be apparent in light of this description. Therefore, this description is intended to be illustrative only and For the purpose of serving the purpose, one skilled in the art will be aware of the general way of carrying out the present invention To bring the invention. Of course, those shown herein are and described forms of the invention as the presently preferred embodiments specific.

Claims (15)

Verfahren zur Bildung eines Gebiets mit verringertem Widerstand in einem Silizium enthaltenden leitenden Gebiet, wobei das Verfahren umfasst: Bereitstellen eines Substrats mit dem darauf gebildeten Silizium enthaltenden leitenden Gebiet; Abscheiden eines Schichtstapels auf dem Silizium enthaltenden leitenden Gebiet, wobei der Schichtstapel eine erste Metallschicht, eine zweite Metallschicht, die als eine Opferschicht dient, und eine Metallstickstoffverbindungsschicht, die zwischen der ersten und der zweiten Metallschicht angeordnet ist, aufweist, wobei zumindest das Abscheiden der zweiten Metallschicht und der Metallstickstoffverbindungsschicht in-situ unter Verwendung des gleichen Metalls und unter Bildung eines graduellen Überganges zwischen der zweiten Metallschicht und der Metallstickstoffverbindungsschicht ausgeführt wird; Wärmebehandeln des Substrats, um einen Metallsilizidbereich in dem Silizium enthaltenden leitenden Gebiet zu bilden; und ganzflächiges Entfernen der zweiten Metallschicht. Method of forming an area with reduced Resistance in a silicon-containing conductive region, wherein the method comprises: Providing a substrate with the silicon-containing conductive region formed thereon; secrete a layer stack on the silicon-containing conductive region, wherein the layer stack comprises a first metal layer, a second metal layer, serving as a sacrificial layer, and a metal nitrogen compound layer, disposed between the first and second metal layers is, wherein at least the deposition of the second metal layer and the metal nitrogen compound layer in situ using of the same metal and forming a gradual transition between the second metal layer and the metal nitrogen compound layer accomplished becomes; heat treatment of the substrate to contain a metal silicide region in the silicon to form a conductive area; and entire removal of the second Metal layer. Verfahren nach Anspruch 1, wobei die erste und die zweite Metallschicht und die Metallstickstoffverbindungsschicht das gleiche Metall aufweisen.The method of claim 1, wherein the first and the second metal layer and the metal nitrogen compound layer have the same metal. Verfahren nach Anspruch 1, wobei das Abscheiden des Schichtstapels in-situ ausgeführt wird.The method of claim 1, wherein depositing the Layer stack carried out in situ becomes. Verfahren nach Anspruch 1, wobei das Abscheiden des Schichtstapels umfasst: Sputterabscheiden der ersten Metallschicht in einer Plasmaumgebung; Zuführen von einem Stickstoff enthaltenden Gas zu der Plasmaumgebung, um die Metallstickstoffverbindungsschicht abzuscheiden; und Unterbrechen der Zufuhr des Stickstoff enthaltenden Gases, um die zweite Metallschicht abzuscheiden.The method of claim 1, wherein depositing the Layer stack includes: Sputter deposition of the first metal layer in a plasma environment; Feeding from a nitrogen-containing Gas to the plasma environment around the metal nitrogen compound layer deposit; and Interrupting the supply of the nitrogen-containing gas, to deposit the second metal layer. Verfahren nach Anspruch 1, wobei das Abscheiden des Schichtstapels umfasst: Einbringen des Substrats in eine erste Plasmaumgebung, um die erste Metallschicht abzuscheiden; Einbringen des Substrats in eine zweite Plasmaumgebung, wobei ein Stickstoff enthaltendes Gas der zweiten Plasmaumgebung zugeführt wird, um die Metallstickstoffverbindungsschicht abzuscheiden; und Unterbrechen der Zufuhr des Stickstoff enthaltenden Gases zu der zweiten Plasmaumgebung, um die zweite Metallschicht abzuscheiden.The method of claim 1, wherein depositing the Layer stack includes: Introducing the substrate into a first Plasma environment to deposit the first metal layer; bring of the substrate in a second plasma environment, wherein a nitrogen containing gas is supplied to the second plasma environment, to deposit the metal nitrogen compound layer; and interrupt the supply of the nitrogen-containing gas to the second plasma environment, to deposit the second metal layer. Verfahren nach Anspruch 1, wobei das Wärmebehandeln des Substrats einen ersten Ausheizprozess bei einer ersten Durchschnittstemperatur und einen zweiten Ausheizprozess bei einer zweiten Durchschnittstemperatur, die höher als die erste Durchschnittstemperatur ist, umfasst.The method of claim 1, wherein the heat treating of the substrate a first baking process at a first average temperature and a second bake process at a second average temperature, the higher than the first average temperature. Verfahren nach Anspruch 6, das ferner umfasst: Entfernen der zweiten Metallschicht, der Metallstickstoffverbindungsschicht und des nicht reagierten Metalls der ersten Metallschicht vor dem zweiten Ausheizprozess.The method of claim 6, further comprising: removing the second metal layer, the metal nitrogen compound layer and the unreacted metal of the first metal layer before the second Anneal. Verfahren nach Anspruch 1, wobei die erste Metallschicht Kobalt und/oder Titan und/oder Zirkon und/oder Tantal und/oder Nickel und/oder Wolfram aufweist.The method of claim 1, wherein the first metal layer Cobalt and / or titanium and / or zirconium and / or tantalum and / or nickel and / or tungsten. Verfahren nach Anspruch 1, wobei die zweite Metallschicht Kobalt und/oder Titan und/oder Zirkon und/oder Tantal und/oder Nickel und/oder Wolfram aufweist. The method of claim 1, wherein the second metal layer Cobalt and / or titanium and / or zirconium and / or tantalum and / or nickel and / or tungsten. Verfahren nach Anspruch 1, wobei das Silizium enthaltende leitende Gebiet ein Teil einer Gateelektrode und/oder eines Draingebiets und/oder eines Sourcegebiets und/oder einer Polysiliziumleitung ist.The method of claim 1, wherein the silicon-containing conductive region is part of a gate electrode and / or drain region and / or a source region and / or a polysilicon line is. Verfahren nach einem der vorangehenden Ansprüche 1 bis 3, wobei die erste und die zweite Metallschicht und die Metallstickstoffverbindungsschicht durch physikalische Dampfabscheidung gebildet werden.Method according to one of the preceding claims 1 to 3, wherein the first and second metal layers and the metal nitrogen compound layer be formed by physical vapor deposition. Verfahren nach Anspruch 4, wobei der graduelle Übergang von der Metallstickstoffverbindungsschicht zur zweiten Metallschicht gesteuert wird, indem der Prozess des Unterbrechens der Zufuhr des Stickstoff enthaltenden Gases und/oder Parameter der Plasmaumgebung gesteuert werden.The method of claim 4, wherein the gradual transition from the metal nitrogen compound layer to the second metal layer is controlled by the process of interrupting the supply of nitrogen containing gas and / or parameters of the plasma environment controlled become. Verfahren nach Anspruch 1, wobei eine Dicke der Metallstickstoffverbindungsschicht ungefähr im Bereich von 10 bis 100 Nanometer liegt.The method of claim 1, wherein a thickness of the Metal nitrogen compound layer approximately in the range of 10 to 100 Nanometer lies. Verfahren nach Anspruch 1, wobei eine Dicke der zweiten Metallschicht zumindest 10 Nanometer beträgt.The method of claim 1, wherein a thickness of the second metal layer is at least 10 nanometers. Verfahren nach Anspruch 4 oder 12, wobei eine Prozessdauer nach Unterbrechen der Zufuhr des Stickstoff enthaltenden Gases gesteuert wird, um die reaktive Plasmaumgebung bis zu einem vordefinierten Grad zu dekontaminieren.The method of claim 4 or 12, wherein a process duration controlled by interrupting the supply of the nitrogen-containing gas is going to be the reactive plasma environment up to a predefined Decontaminate grade.
DE10214065A 2002-03-28 2002-03-28 A method of making an improved metal silicide region in a silicon-containing conductive region in an integrated circuit Expired - Fee Related DE10214065B4 (en)

Priority Applications (9)

Application Number Priority Date Filing Date Title
DE10214065A DE10214065B4 (en) 2002-03-28 2002-03-28 A method of making an improved metal silicide region in a silicon-containing conductive region in an integrated circuit
US10/282,665 US20030186523A1 (en) 2002-03-28 2002-10-29 Method for forming an improved metal silicide portion in a silicon-containing conductive region in an integrated circuit
AU2002351407A AU2002351407A1 (en) 2002-03-28 2002-12-20 Method for forming an improved metal silicide contact to a silicon-containing conductive region
JP2003581256A JP2005522035A (en) 2002-03-28 2002-12-20 Method for forming an improved metal silicide contact to a conductive silicon-containing region
CNB028286146A CN100380625C (en) 2002-03-28 2002-12-20 Method for forming an improved metal silicide portion in a silicon-containing conductive region in an integrated circuit
KR10-2004-7014933A KR20040104533A (en) 2002-03-28 2002-12-20 Method for forming an improved metal silicide contact to a silicon-containing conductive region
EP02787066A EP1490901A1 (en) 2002-03-28 2002-12-20 Method for forming an improved metal silicide contact to a silicon-containing conductive region
PCT/US2002/040806 WO2003083936A1 (en) 2002-03-28 2002-12-20 Method for forming an improved metal silicide contact to a silicon-containing conductive region
TW92105990A TWI263266B (en) 2002-03-28 2003-03-19 Method for forming an improved metal silicide portion in a silicon-containing conductive region in an integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE10214065A DE10214065B4 (en) 2002-03-28 2002-03-28 A method of making an improved metal silicide region in a silicon-containing conductive region in an integrated circuit

Publications (2)

Publication Number Publication Date
DE10214065A1 DE10214065A1 (en) 2003-10-23
DE10214065B4 true DE10214065B4 (en) 2006-07-06

Family

ID=28050962

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10214065A Expired - Fee Related DE10214065B4 (en) 2002-03-28 2002-03-28 A method of making an improved metal silicide region in a silicon-containing conductive region in an integrated circuit

Country Status (3)

Country Link
US (1) US20030186523A1 (en)
KR (1) KR20040104533A (en)
DE (1) DE10214065B4 (en)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100529675B1 (en) * 2003-12-31 2005-11-17 동부아남반도체 주식회사 Manufacturing process for semiconductor device
DE102006019836B4 (en) 2006-04-28 2016-09-01 Globalfoundries Inc. A method of reducing silicide defects by removing contaminants prior to drain / source activation
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5451545A (en) * 1992-12-23 1995-09-19 Advanced Micro Devices, Inc. Process for forming stable local interconnect/active area silicide structure VLSI applications
US5874342A (en) * 1997-07-09 1999-02-23 Lsi Logic Corporation Process for forming MOS device in integrated circuit structure using cobalt silicide contacts as implantation media

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5766997A (en) * 1909-11-30 1998-06-16 Nkk Corporation Method of forming floating gate type non-volatile semiconductor memory device having silicided source and drain regions
US3912559A (en) * 1971-11-25 1975-10-14 Suwa Seikosha Kk Complementary MIS-type semiconductor devices and methods for manufacturing same
US4107835A (en) * 1977-02-11 1978-08-22 Bell Telephone Laboratories, Incorporated Fabrication of semiconductive devices
KR920002350B1 (en) * 1987-05-21 1992-03-21 마쯔시다덴기산교 가부시기가이샤 Method of manufacturing semiconductor
US5248892A (en) * 1989-03-13 1993-09-28 U.S. Philips Corporation Semiconductor device provided with a protection circuit
US5034348A (en) * 1990-08-16 1991-07-23 International Business Machines Corp. Process for forming refractory metal silicide layers of different thicknesses in an integrated circuit
JP3285934B2 (en) * 1991-07-16 2002-05-27 株式会社東芝 Method for manufacturing semiconductor device
US5240880A (en) * 1992-05-05 1993-08-31 Zilog, Inc. Ti/TiN/Ti contact metallization
US5352631A (en) * 1992-12-16 1994-10-04 Motorola, Inc. Method for forming a transistor having silicided regions
JPH07135317A (en) * 1993-04-22 1995-05-23 Texas Instr Inc <Ti> Self-aligned silicide gate
JPH08107087A (en) * 1994-10-06 1996-04-23 Mitsubishi Electric Corp Semiconductor device and manufacture thereof
JPH08186085A (en) * 1994-12-28 1996-07-16 Nec Corp Manufacture of semiconductor device
US5738917A (en) * 1995-02-24 1998-04-14 Advanced Micro Devices, Inc. Process for in-situ deposition of a Ti/TiN/Ti aluminum underlayer
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
JP2874626B2 (en) * 1996-01-23 1999-03-24 日本電気株式会社 Method for manufacturing semiconductor device
US6297135B1 (en) * 1997-01-29 2001-10-02 Ultratech Stepper, Inc. Method for forming silicide regions on an integrated device
US5902129A (en) * 1997-04-07 1999-05-11 Lsi Logic Corporation Process for forming improved cobalt silicide layer on integrated circuit structure using two capping layers
US5851891A (en) * 1997-04-21 1998-12-22 Advanced Micro Devices, Inc. IGFET method of forming with silicide contact on ultra-thin gate
JP2980057B2 (en) * 1997-04-30 1999-11-22 日本電気株式会社 Method for manufacturing semiconductor device
US6156630A (en) * 1997-08-22 2000-12-05 Micron Technology, Inc. Titanium boride gate electrode and interconnect and methods regarding same
US6020242A (en) * 1997-09-04 2000-02-01 Lsi Logic Corporation Effective silicide blocking
US5937325A (en) * 1997-11-07 1999-08-10 Advanced Micro Devices, Inc. Formation of low resistivity titanium silicide gates in semiconductor integrated circuits
US5998252A (en) * 1997-12-29 1999-12-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of salicide and sac (self-aligned contact) integration
KR100257075B1 (en) * 1998-01-13 2000-05-15 김영환 Semiconductor device and method for manufacturing the same
US6072222A (en) * 1998-05-18 2000-06-06 Advanced Micro Devices, Inc. Silicon implantation into selective areas of a refractory metal to reduce consumption of silicon-based junctions during salicide formation
US6100173A (en) * 1998-07-15 2000-08-08 Advanced Micro Devices, Inc. Forming a self-aligned silicide gate conductor to a greater thickness than junction silicide structures using a dual-salicidation process
US6204103B1 (en) * 1998-09-18 2001-03-20 Intel Corporation Process to make complementary silicide metal gates for CMOS technology
US6136705A (en) * 1998-10-22 2000-10-24 National Semiconductor Corporation Self-aligned dual thickness cobalt silicide layer formation process
US6133130A (en) * 1998-10-28 2000-10-17 United Microelectronics Corp. Method for fabricating an embedded dynamic random access memory using self-aligned silicide technology
US6040606A (en) * 1998-11-04 2000-03-21 National Semiconductor Corporation Integrated circuit structure with dual thickness cobalt silicide layers and method for its manufacture
US6238986B1 (en) * 1998-11-06 2001-05-29 Advanced Micro Devices, Inc. Formation of junctions by diffusion from a doped film at silicidation
US5970370A (en) * 1998-12-08 1999-10-19 Advanced Micro Devices Manufacturing capping layer for the fabrication of cobalt salicide structures
US6759315B1 (en) * 1999-01-04 2004-07-06 International Business Machines Corporation Method for selective trimming of gate structures and apparatus formed thereby
TW428231B (en) * 1999-01-16 2001-04-01 United Microelectronics Corp Manufacturing method of self-aligned silicide
JP3235583B2 (en) * 1999-01-19 2001-12-04 日本電気株式会社 Method for manufacturing semiconductor device
JP3394927B2 (en) * 1999-06-29 2003-04-07 沖電気工業株式会社 Method for forming metal silicide layer
US6187617B1 (en) * 1999-07-29 2001-02-13 International Business Machines Corporation Semiconductor structure having heterogeneous silicide regions and method for forming same
US6383906B1 (en) * 1999-08-19 2002-05-07 Advanced Micro Devices, Inc. Method of forming junction-leakage free metal salicide in a semiconductor wafer with ultra-low silicon consumption
US6281067B1 (en) * 1999-11-12 2001-08-28 United Microelectronics Corp. Self-aligned silicide process for forming silicide layer over word lines in DRAM and transistors in logic circuit region
KR20010066122A (en) * 1999-12-31 2001-07-11 박종섭 Method for forming polycide dual gate of semiconductor device
US6268255B1 (en) * 2000-01-06 2001-07-31 Advanced Micro Devices, Inc. Method of forming a semiconductor device with metal silicide regions
TW497120B (en) * 2000-03-06 2002-08-01 Toshiba Corp Transistor, semiconductor device and manufacturing method of semiconductor device
US6451679B1 (en) * 2000-04-03 2002-09-17 Taiwan Semiconductor Manufacturing Company Ion mixing between two-step titanium deposition process for titanium salicide CMOS technology
US6268257B1 (en) * 2000-04-25 2001-07-31 Advanced Micro Devices, Inc. Method of forming a transistor having a low-resistance gate electrode
US6306698B1 (en) * 2000-04-25 2001-10-23 Advanced Micro Devices, Inc. Semiconductor device having metal silicide regions of differing thicknesses above the gate electrode and the source/drain regions, and method of making same
US6238984B1 (en) * 2000-05-05 2001-05-29 United Microelectronics Corp. Integrating high voltage and low voltage device with silicide block mask
US6261898B1 (en) * 2000-09-01 2001-07-17 United Microelectronics Corp. Method for fabricating a salicide gate
KR100360410B1 (en) * 2000-11-14 2002-11-13 삼성전자 주식회사 Method for MDL semiconductor device including DRAM device having self-aligned contact structure and logic device having dual gate structure
US6403423B1 (en) * 2000-11-15 2002-06-11 International Business Machines Corporation Modified gate processing for optimized definition of array and logic devices on same chip
TW480663B (en) * 2001-02-15 2002-03-21 Winbond Electronics Corp Method for combining self-aligned contact processing and salicide processing
US6528422B1 (en) * 2001-03-16 2003-03-04 Taiwan Semiconductor Manufacturing Company Method to modify 0.25μm 1T-RAM by extra resist protect oxide (RPO) blocking
US6468904B1 (en) * 2001-06-18 2002-10-22 Taiwan Semiconductor Manufacturing Company RPO process for selective CoSix formation
KR20030002867A (en) * 2001-06-30 2003-01-09 주식회사 하이닉스반도체 Method for fabricating semiconductor device
US6534402B1 (en) * 2001-11-01 2003-03-18 Winbond Electronics Corp. Method of fabricating self-aligned silicide

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5451545A (en) * 1992-12-23 1995-09-19 Advanced Micro Devices, Inc. Process for forming stable local interconnect/active area silicide structure VLSI applications
US5874342A (en) * 1997-07-09 1999-02-23 Lsi Logic Corporation Process for forming MOS device in integrated circuit structure using cobalt silicide contacts as implantation media

Also Published As

Publication number Publication date
US20030186523A1 (en) 2003-10-02
KR20040104533A (en) 2004-12-10
DE10214065A1 (en) 2003-10-23

Similar Documents

Publication Publication Date Title
DE4342047B4 (en) Semiconductor component with a diffusion barrier layer arrangement and method for its production
DE10245607B4 (en) A method of forming circuit elements having nickel silicide regions thermally stabilized by a barrier diffusion material and methods of making a nickel monosilicide layer
DE69029595T2 (en) Semiconductor devices with a tungsten contact and its manufacturing process
DE102008007001B4 (en) Increasing the resistance to electromigration in a connection structure of a semiconductor device by forming an alloy
DE102007052050B4 (en) A semiconductor device and method for increasing the etch selectivity during patterning a contact structure of the semiconductor device
DE102010064288B4 (en) Semiconductor device having contact elements with silicided sidewall regions
DE102005052052B4 (en) Electrodeposition layer for metallization layer with improved adhesion, etch selectivity and density and method for producing a dielectric layer stack
DE3311635A1 (en) SEMICONDUCTOR COMPONENT AND METHOD FOR THE PRODUCTION THEREOF
DE102005030584B4 (en) A process for producing nickel silicide by depositing nickel from a gaseous precursor material
DE10335101B4 (en) A method of making a polysilicon line having a metal silicide region that enables linewidth reduction
DE102008054075B4 (en) Semiconductor device having a lowered drain and source region in conjunction with a method of complex silicide fabrication in transistors
DE102005057075A1 (en) Semiconductor component has copper alloy employed as barrier layer within copper metalizing layer
DE102005052001B4 (en) A semiconductor device having a copper-based contact plug and a method for producing the same
DE102010002411B4 (en) Method for producing contact bars with reduced marginal zone capacity in a semiconductor device
DE10208904B4 (en) Method for producing different silicide areas on different silicon-containing areas in a semiconductor element
DE10214065B4 (en) A method of making an improved metal silicide region in a silicon-containing conductive region in an integrated circuit
DE19615692A1 (en) Semiconductor device containing an element separation film with a flat upper surface and manufacturing method thereof
DE10056866C2 (en) Process for forming an etch stop layer during the manufacture of a semiconductor device
DE10208728B4 (en) A method for producing a semiconductor element having different metal silicide regions
DE102009055433B4 (en) Contact elements of semiconductor devices, which are made on the basis of a partially applied activation layer, and corresponding manufacturing methods
DE10345374A1 (en) Semiconductor device having a nickel / cobalt silicide region formed in a silicon region
DE60037337T2 (en) MANUFACTURE OF A TUNGSTEN GATE ELECTRODE
DE102010028458A1 (en) Semiconductor device having contact elements and Metallsilizidgebieten, which are made in a common process sequence
DE10250899B4 (en) A method of removing sidewall spacers of a semiconductor device using an improved etch process
DE10339990B4 (en) A method of fabricating a metal line having increased resistance to electromigration along an interface of a dielectric barrier layer by implanting material into the metal line

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
8327 Change in the person/name/address of the patent owner

Owner name: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

8328 Change in the person/name/address of the agent

Representative=s name: GRUENECKER, KINKELDEY, STOCKMAIR & SCHWANHAEUSSER,

R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee

Effective date: 20121002