WO2001050522A1 - Method for determining optimal process targets in microelectronic fabrication - Google Patents

Method for determining optimal process targets in microelectronic fabrication Download PDF

Info

Publication number
WO2001050522A1
WO2001050522A1 PCT/US2000/032948 US0032948W WO0150522A1 WO 2001050522 A1 WO2001050522 A1 WO 2001050522A1 US 0032948 W US0032948 W US 0032948W WO 0150522 A1 WO0150522 A1 WO 0150522A1
Authority
WO
WIPO (PCT)
Prior art keywords
processing
change
wet
measured
transistor
Prior art date
Application number
PCT/US2000/032948
Other languages
English (en)
French (fr)
Inventor
Anthony J. Toprac
Michael L. Miller
Thomas Sonderman
Original Assignee
Advanced Micro Devices, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices, Inc. filed Critical Advanced Micro Devices, Inc.
Priority to EP00983907A priority Critical patent/EP1245044B1/en
Priority to JP2001550802A priority patent/JP5063846B2/ja
Publication of WO2001050522A1 publication Critical patent/WO2001050522A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • TECHNICAL FIELD This invention relates generally to semiconductor fabrication technology, and, more particularly, to a method for semiconductor fabrication supervision and optimization.
  • CDs critical dimensions
  • doping levels for transistors (and other semiconductor devices), as well as overlay errors in photolithography.
  • CDs are the smallest feature sizes that particular processing devices may be capable of producing.
  • the minimum widths w of polycrystalline (polysilicon or poly) gate lines for metal oxide semiconductor field effect transistors (MOSFETs or MOS transistors) may correspond to one CD for a semiconductor device having such transistors.
  • the junction depth d j depth below the surface of a doped substrate to the bottom of a heavily doped source/drain region formed within the doped substrate
  • Doping levels may depend on dosages of ions implanted into the semiconductor devices, the dosages typically being given in numbers of ions per square centimeter at ion implant energies typically given in keV.
  • SPC statistical process control
  • a method for manufacturing including processing a workpiece in a processing step, measuring a parameter characteristic of the processing performed on the workpiece in the processing step, and forming an output signal corresponding to the characteristic parameter measured.
  • the method also includes setting a target value for the processing performed in the processing step based on the output signal.
  • a computer-readable, program storage device encoded with instructions that, when executed by a computer, perform a method, the method including processing a workpiece in a processing step, measuring a parameter characteristic of the processing performed on the workpiece in the processing step, and forming an output signal corresponding to the characteristic parameter measured.
  • the method also includes setting a target value for the processing performed in the processing step based on the output signal.
  • a computer programmed to perform a method, the method including processing a workpiece in a processing step, measuring a parameter characteristic of the processing performed on the workpiece in the processing step, and forming an output signal corresponding to the characteristic parameter measured.
  • the method also includes setting a target value for the processing performed in the processing step based on the output signal.
  • Figures 1-13 schematically illustrate various embodiments of a method for manufacturing according to the present invention
  • Figures 1-7 schematically illustrate a flow chart for various embodiments of a method for manufacturing according to the present invention
  • Figure 8 schematically illustrates an MOS transistor representative of MOS transistors tested in various embodiments of a method for manufacturing according to the present invention
  • Figure 9 schematically illustrates a method for fabricating a semiconductor device practiced in accordance with the present invention.
  • Figure 10 schematically illustrates workpieces being processed using a MOSFET processing tool, using a plurality of control input signals, in accordance with the present invention
  • FIGs 11-12 schematically illustrate one particular embodiment of the process and tool in Figure 10; and Figure 13 schematically illustrates one particular embodiment of the method of Figure 9 as may be practiced with the process and tool of Figures 11-12. While the invention is susceptible to various modifications and alternative forms, specific embodiments thereof have been shown by way of example in the drawings and are herein described in detail. It should be understood, however, that the description herein of specific embodiments is not intended to limit the invention to the particular forms disclosed, but on the contrary, the intention is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the invention as defined by the appended claims.
  • FIG. 1-13 Illustrative embodiments of a method for manufacturing according to the present invention are shown in Figures 1-13.
  • the workpiece 100 is sent from the processing step j 105 and delivered to a measuring stepj 1 10.
  • the measurements in the measuring step j 1 10 produce scan data 1 15 indicative of the one or more characteristic parameters measured in the measuring step j 1 10.
  • the workpiece 100 may be sent from the measuring stepj 1 10 and delivered to a processing step j+1 140 for further processing, and then sent on from the processing step j+1 140.
  • the WET may measure current and/or voltage responses of MOS transistors formed on the workpiece 100, for example, and/or capacitances and/or resistances of elements of MOS transistors formed on the workpiece 100.
  • the saturation drain-source current I dsat of MOS transistors formed on the workpiece 100 may be measured as an indicator of how fast the MOS transistors formed on the workpiece 100 may be switched from "on" to "off states.
  • the scan data 1 15 is sent from the measuring stepj 1 10 and delivered to a characteristic parameter modeling step 120.
  • the one or more characteristic parameters measured in the measuring stepj 1 10 may be input into a characteristic parameter model.
  • Delivering the scan data 115 to the characteristic parameter model in the characteristic parameter modeling step 120 produces an output signal 125.
  • the output signal 125 is sent from the characteristic parameter modeling step 120 and delivered to a target value setting step 130.
  • the engineer may also alter, for example, the type of characteristic parameter modeled in the characteristic parameter modeling step 120, affecting the output signal 125 produced.
  • a feedback control signal 135 may be sent from the target value setting step 130 to the processing stepj 105 to adjust the processing performed in the processing step j 105.
  • target values 145 may be sent from the target value setting step 130 to a process change and control step 150.
  • the target values 145 may be used in a high-level supervisory control loop.
  • a feedback control signal 155 may be sent from the process change and control step 150 to the processing stepj 105 to adjust the processing performed in the processing stepj 105.
  • the WET may measure current and/or voltage responses of MOS transistors formed on the workpiece 100, for example, and/or capacitances and/or resistances of elements of MOS transistors formed on the workpiece 100.
  • a metal oxide semiconductor field effect transistor (MOSFET or MOS transistor) 800 may be formed on a semiconducting substrate 805, such as doped-silicon.
  • the MOS transistor 800 may have a doped-poly gate 810 formed above a gate oxide 815 formed above the semiconducting substrate 805.
  • the doped-poly gate 810 and the gate oxide 815 may be separated from N + -doped (P + -doped) source/drain regions 820 of the MOS transistor 800 by dielectric spacers 825.
  • the dielectric spacers 825 may be formed above N " -doped (P ' -doped) lightly doped drain (LDD) regions 830.
  • the N " -doped (P " -doped) LDD regions 830 are typically provided to reduce the magnitude of the maximum channel electric field found close to the N + -doped (P + -doped) source/drain regions 820 of the MOS transistor 800, and, thereby, to reduce the associated hot-carrier effects.
  • a titanium (Ti) metal layer may have been blanket-deposited on the MOS transistor 800 and then subjected to an initial rapid thermal anneal (RTA) process performed at a temperature ranging from approximately 450-800°C for a time ranging from approximately 15-60 seconds.
  • RTA rapid thermal anneal
  • active areas 845 such as the N + -doped (P + -doped) source/drain regions 820 and the doped-poly gate 810, exposed Si reacts upon heating with the Ti metal to form a titanium suicide (TiSi 2 ) layer 835 the surfaces 840 of the active areas 845.
  • the Ti metal is not believed to react with the dielectric spacers 825 upon heating.
  • a wet chemical strip of the Ti metal removes excess, unreacted portions (not shown) of the Ti metal layer 235, leaving behind the self-aligned suicided (salicided) TiSi 2 layer 835 only at and below the surfaces 840 of the active areas 845.
  • the salicided TiSi 2 835 may then be subjected to a final RTA process performed at a temperature ranging from approximately 800-1000°C for a time ranging from approximately 10-60 seconds.
  • the MOS transistor 800 may be specified by several processing parameters.
  • the doped-poly gate 810 may have a width w that, in turn, determines a channel length L.
  • the channel length L is the distance between the two metallurgical N ' -P (P " -N) junctions formed below the gate oxide 815 for an N-MOS (P-MOS) transistor 800, the two metallurgical N ' -P (P ' -N) junctions being between the N ' -doped
  • the semiconducting substrate 805 may have a doping level N D (N A ) reflecting the density of donor (acceptor) impurities typically being given in numbers of ions per square centimeter for an N-type (P-type) semiconducting substrate 805.
  • N D doping level reflecting the density of donor (acceptor) impurities typically being given in numbers of ions per square centimeter for an N-type (P-type) semiconducting substrate 805.
  • N D doping level
  • (P + -doped) source/drain regions 820 and the N ' -doped (P " -doped) LDD regions 830 may each have respective doping levels Nr >+ and N D . (N A+ and N A .).
  • the respective doping levels may depend on dosages of ions implanted into the N + -doped (P + -doped) source/drain regions 820 and the N ' -doped (P " -doped) LDD regions 830, the dosages typically being given in numbers of ions per square centimeter at ion implant energies typically given in keV.
  • the gate oxide 815 may have a thickness t ox .
  • the wafer electrical test (WET) of the semiconductor device and or devices and/or process layers formed on the workpiece 100 that are performed in the measuring step 110 may measure current and/or voltage responses of the MOS transistors 800 formed on the workpiece 100, for example, and/or capacitances and/or resistances of elements of the MOS transistors 800 formed on the workpiece 100.
  • the saturation drain-source current I &at of MOS transistors formed on the workpiece 100 may be measured as an indicator of how fast the MOS transistors formed on the workpiece 100 may be switched from "on" to "off states.
  • the WET of the MOS transistors 800 formed on the workpiece 100 may measure the drain-source current I D at different values of the drain voltage V D , gate voltage V G and or substrate voltage (or bias) V BS .
  • V voltage V
  • V ; 2 ⁇ B
  • ⁇ ⁇ is the potential difference between the Fermi level E f . in the doped-poly gate 810 and the intrinsic (flat-band) Fermi level E , in the P-type semiconducting substrate 805
  • is the dielectric constant for the P-type semiconducting substrate 805
  • the doping level N 4 reflects the density of acceptor impurities for the P-type semiconducting substrate 805.
  • characterization or qualification data from an unpatterned wafer substrate or other non-product or test wafer may be stored as characteristic process information for that given lot.
  • Each of the components of the vector i of inputs may be a function of the measurements made by the metrology tools.
  • y )and ijt ⁇ source ram ), respectively, may be directly put into the transistor model, whereas the respective resistivity measurements p p0 ⁇ y and p SOUrce dra . n may not be able to be directly put into the transistor model.
  • Such transistor models are well known in the art, and are available as simulation software from a variety of commercial vendors and non-commercial academic sources.
  • the outputs o from the transistor model may have corresponding values, or may be mapped to corresponding values, or may be associated with corresponding values, of transistor, and other electromagnetic, parametric values measured by test structures on the completed product workpiece (such as workpiece 100 following processing step N 105) at the wafer electrical test (WET).
  • WET wafer electrical test
  • These corresponding values may differ from their respective WET target values by respective WET error values.
  • the full correction is applied.
  • a partial correction is applied as an "underdamped" control move.
  • Each such submodel must also be inverted in the feedback mode described above.
  • the recipe variables input to the ion implantation submodel may include the implant current, dose, angle and species.
  • the ion implantation submodel may generate a doping profile i j that may be put into the transistor model.
  • i j Corr may be generated as described above and i j Corr may be put into the inverted ion implantation submodel to generate required changes, or corrections, to the ion implantation recipe at the ion implantation operation or processing stepj 105, such as required changes to the implant current, dose, angle and/or species, needed to bring the WET measurements of successively processed workpieces 100 closer to their respective target values.
  • constraints on the allowed amount of change in the recipe variables in one or more processing step may be implemented.
  • the critical dimension of the gate may be constrained to lie between a high and a low value.
  • the amount of change in a given control move and/or in a given amount of time may be constrained.
  • the inputs i and or the outputs o of the transistor model may be appropriately weighted, and/or the variables and/or functions that parameterize the transistor model may be suitably weighted, so that the predictions and/or outputs o of the transistor model match the corresponding values of the WET measurements better.
  • These weightings may preferably be applied to the inputs i.
  • these weightings may be applied to the outputs o.
  • these weightings may be updated and/or adapted to improve the match of the transistor model data to the WET measurements as part of the control scheme.
  • a feedforward method may be applied.
  • the deviation of the transistor model outputs from nominal may then be used as a measure of error as described above, and may be used to determine desirable changes to the recipe(s) of subsequent step(s) so that the identified error may be wholly or at least partially compensated.
  • an MOS transistor model function T(x) gives the minimum channel length L mm (related to the doped-poly gate 810 width w) for which long-channel subthreshold behavior can be observed.
  • the MOS transistor model function T(x) gives the minimum channel length L mm by the simple empirical relation: L mm + W D ) J , measured in ⁇ m, where the junction depth d s is measured in ⁇ m, the gate oxide 815 thickness t ox is the numerical value of the number of A units (so the dimensions work out), and (W ⁇ s+W D ) is the sum of the source and drain depletion depths, respectively, also measured in ⁇ m.
  • the source depletion depth W s may be
  • W s I — ⁇ V b + V BS ) and the drain depletion depth W D may be given by:
  • W D fti is tne built-in voltage of the junction.
  • T(x) gives the minimum channel length L mm by the more complicated empirical relation: and the constants A, B, C, D, may be determined by fitting this equation for the minimum channel length L mia to device simulations.
  • the inverted MOS transistor model function T " '(y) gives the variation ( ⁇ >V-f ⁇ V D ) of the threshold voltage V ⁇ with the drain voltage V D , for example, by the more complicated empirical relation: ⁇ t) + B , ⁇ W s ⁇ - W D ) + cl J )+ D ⁇ ).
  • the engineer may be provided with advanced process data monitoring capabilities, such as the ability to provide historical parametric data in a user-friendly format, as well as event logging, real-time graphical display of both current processing parameters and the processing parameters of the entire run, and remote, i.e., local site and worldwide, monitoring.
  • advanced process data monitoring capabilities such as the ability to provide historical parametric data in a user-friendly format, as well as event logging, real-time graphical display of both current processing parameters and the processing parameters of the entire run, and remote, i.e., local site and worldwide, monitoring.
  • These capabilities may engender more optimal control of critical processing parameters, such as throughput accuracy, stability and repeatability, processing temperatures, mechanical tool parameters, and the like. This more optimal control of critical processing parameters reduces this variability.
  • This reduction in variability manifests itself as fewer within-run disparities, fewer run-to-run disparities and fewer tool-to-tool disparities. This reduction in the number of these disparities that can propagate means fewer deviations in product quality and performance.
  • FIG 9 illustrates one particular embodiment of a method 900 practiced in accordance with the present invention.
  • Figure 10 illustrates one particular apparatus 1000 with which the method 900 may be practiced.
  • the method 900 shall be disclosed in the context of the apparatus 1000.
  • the invention is not so limited and admits wide variation, as is discussed further below. Referring now to both Figures 9 and 10, a batch or lot of workpieces or wafers 1005 is being processed through a MOSFET processing tool 1010.
  • the MOSFET processing tool 1010 may be any MOSFET processing tool known to the art, such as an ion implanter, a process layer deposition and/or etching tool, a photolithography tool, and the like, provided it includes the requisite control capabilities.
  • the MOSFET processing tool 1010 includes a MOSFET processing tool controller 1015 for this purpose. The nature and function of the MOSFET processing tool controller 1015 will be implementation specific.
  • the MOSFET processing tool controller 1015 may control MOSFET processing control input parameters such as MOSFET processing recipe control input parameters.
  • the MOS transistor 800 may be specified by several processing parameters.
  • the doped-poly gate 810 may have a width w that, in turn, determines a channel length L.
  • the channel length L is the distance between the two metallurgical N ' -P (P " -N) junctions formed below the gate oxide 815 for an N-MOS (P-MOS) transistor 800, the two metallurgical N ' -P (P " -N) junctions being between the N ' -doped (P " -doped) LDD regions 830 and the semiconducting substrate 805.
  • another junction having a junction depth df below the N + -doped
  • (P + -doped) source/drain regions 820 may be formed between the N + -doped (P + -doped) source/drain regions 820 and the semiconducting substrate 805.
  • the semiconducting substrate 805 may have a doping level N D (N A ) reflecting the density of donor (acceptor) impurities typically being given in numbers of ions per square centimeter for an N-type (P-type) semiconducting substrate 805.
  • the N + -doped (P + -doped) source/drain regions 820 and the N ' -doped (P " -doped) LDD regions 830 may each have respective doping levels A ⁇ and N D . (N A+ and N A _).
  • the respective doping levels may depend on dosages of ions implanted into the N + -doped (P + -doped) source/drain regions 820 and the N ' -doped (P " -doped) LDD regions 830, the dosages typically being given in numbers of ions per square centimeter at ion implant energies typically given in keV.
  • the gate oxide 815 may have a thickness t ox .
  • Four workpieces 1005 are shown in Figure 10, but the lot of workpieces or wafers, i.e., the "wafer lot,” may be any practicable number of wafers from one to any finite number.
  • the method 900 begins, as set forth in box 920, by measuring a parameter characteristic of the MOSFET processing performed on the workpiece 1005 in the MOSFET processing tool 1010.
  • the nature, identity, and measurement of characteristic parameters will be largely implementation specific and even tool specific. For instance, capabilities for monitoring process parameters vary, to some degree, from tool to tool. Greater sensing capabilities may permit wider latitude in the characteristic parameters that are identified and measured and the manner in which this is done. Conversely, lesser sensing capabilities may restrict this latitude.
  • a gate poly etch MOSFET processing tool reads the gate critical dimension of a workpiece 1005, and/or an average of the gate critical dimensions of the workpieces 1005 in a lot, using a metrology tool (not shown).
  • the gate critical dimension of a workpiece 1005, and/or an average of the gate critical dimensions of the workpieces 1005 in a lot, is an illustrative example of a parameter characteristic of the MOSFET processing performed on the workpiece in the MOSFET processing tool 1010.
  • the MOSFET processing process characteristic parameters are measured and/or monitored by tool sensors (not shown).
  • the outputs of these tool sensors are transmitted to a computer system 1030 over a line 1020.
  • the computer system 1030 analyzes these sensor outputs to identify the characteristic parameters.
  • the method 900 proceeds by modeling the measured and identified characteristic parameter, as set forth in box 930.
  • the computer system 1030 in Figure 10 is, in this particular embodiment, programmed to model the characteristic parameter. The manner in which this modeling occurs will be implementation specific.
  • a database 1035 stores a plurality of models that might potentially be applied, depending upon which characteristic parameter is measured. This particular embodiment, therefore, requires some a priori knowledge of the characteristic parameters that might be measured.
  • the computer system 1030 then extracts an appropriate model from the database 1035 of potential models to apply to the measured characteristic parameters. If the database 1035 does not include an appropriate model, then the characteristic parameter may be ignored, or the computer system 1030 may attempt to develop one, if so programmed.
  • the database 1035 may be stored on any kind of computer-readable, program storage medium, such as an optical disk 1040, a floppy disk 1045, or a hard disk drive (not shown) of the computer system 1030.
  • the database 1035 may also be stored on a separate computer system (not shown) that interfaces with the computer system 1030.
  • Modeling of the measured characteristic parameter may be implemented differently in alternative embodiments.
  • the computer system 1030 may be programmed using some form of artificial intelligence to analyze the sensor outputs and controller inputs to develop a model on-the-fly in a real-time implementation. This approach might be a useful adjunct to the embodiment illustrated in Figure 10, and discussed above, where characteristic parameters are measured and identified for which the database 1035 has no appropriate model.
  • the method 900 of Figure 9 then proceeds by applying the model to modify a MOSFET processing control input parameter, as set forth in box 940.
  • applying the model may yield either a new value for the MOSFET processing control input parameter or a correction to the existing MOSFET processing control input parameter.
  • the new MOSFET processing control input is then formulated from the value yielded by the model and is transmitted to the MOSFET processing tool controller 1015 over the line 1020.
  • the MOSFET processing tool controller 1015 controls subsequent MOSFET processing process operations in accordance with the new MOSFET processing control inputs.
  • Some alternative embodiments may employ a form of feedback to improve the modeling of characteristic parameters. The implementation of this feedback is dependent on several disparate facts, including the tool's sensing capabilities and economics.
  • One technique for doing this would be to monitor at least one effect of the model's implementation and update the model based on the effect(s) monitored.
  • the update may also depend on the model. For instance, a linear model may require a different update than would a non-linear model, all other factors being the same.
  • the acts set forth in the boxes 920-940 in Figure 9 are, in the illustrated embodiment, software-implemented, in whole or in part.
  • some features of the present invention are implemented as instructions encoded on a computer-readable, program storage medium.
  • the program storage medium may be of any type suitable to the particular implementation. However, the program storage medium will typically be magnetic, such as the floppy disk 1045 or the computer 1030 hard disk drive (not shown), or optical, such as the optical disk 1040.
  • the computer may be a desktop computer, such as the computer 1030. However, the computer might alternatively be a processor embedded in the MOSFET processing tool 1010.
  • the computer might also be a laptop, a workstation, or a mainframe in various other embodiments. The scope of the invention is not limited by the type or nature of the program storage medium or computer with which embodiments of the invention might be implemented.
  • Figures 1 1-12 are conceptualized, structural and functional block diagrams, respectively, of the apparatus 1 100.
  • a set of processing steps is performed on a lot of wafers 1 105 on a MOSFET processing tool 1 110. Because the apparatus 1 100 is part of an APC system, the wafers 1 105 are processed on a run-to-run basis. Thus, process adjustments are made and held constant for the duration of a run, based on run-level measurements or averages.
  • a "run” may be a lot, a batch of lots, or even an individual wafer.
  • the wafers 1 105 are processed by the MOSFET processing tool 1110 and various operations in the process are controlled by a plurality of MOSFET processing control input signals on a line 1120 between the MOSFET processing tool 11 10 and a workstation 1130.
  • Exemplary MOSFET processing control inputs for this embodiment might include those for the gate critical dimension, the source/drain junction depth, doping profiles, and the like.
  • the MOS transistor 800 may be specified by several processing parameters.
  • the doped-poly gate 810 may have a width w that, in turn, determines a channel length L.
  • the channel length L is the distance between the two metallurgical N ' -P (P " -N) junctions formed below the gate oxide 815 for an N-MOS (P-MOS) transistor 800, the two metallurgical N ' -P (P " -N) junctions being between the N ' -doped (P " -doped) LDD regions 830 and the semiconducting substrate 805.
  • another junction having a junction depth df below the N + -doped (P + -doped) source/drain regions 820 may be formed between the N + -doped (P + -doped) source/drain regions 820 and the semiconducting substrate 805.
  • the semiconducting substrate 805 may have a doping level N D (N A ) reflecting the density of donor (acceptor) impurities typically being given in numbers of ions per square centimeter for an N-type (P-type) semiconducting substrate 805.
  • N D N A
  • the N + -doped (P"-doped) source/drain regions 820 and the N ' -doped (P " -doped) LDD regions 830 may each have respective doping levels N ⁇ and N D . ( ⁇ + and N A .).
  • the respective doping levels may depend on dosages of ions implanted into the N + -doped (P + -doped) source/drain regions 820 and the N ' -doped (P ' -doped) LDD regions 830, the dosages typically being given in numbers of ions per square centimeter at ion implant energies typically given in keV.
  • the gate oxide 815 may have a thickness t ox .
  • the semiconductor wafers 1105 being processed in the MOSFET processing tool 1110 are examined in a review station 1 1 17.
  • the MOSFET processing control inputs generally affect the characteristic parameters of the semiconductor wafers 1105 and, hence, the variability and properties of the acts performed by the MOSFET processing tool 1 1 10 on the wafers 1105.
  • the MOSFET processing control inputs on the line 1120 are modified for a subsequent run of a lot of wafers 1 105. Modifying the control signals on the line 1120 is designed to improve the next process step in the MOSFET processing tool 1110.
  • the modification is performed in accordance with one particular embodiment of the method 900 set forth in Figure 9, as described more fully below.
  • the MOSFET processing tool 1 110 communicates with a manufacturing framework comprising a network of processing modules.
  • a manufacturing framework comprising a network of processing modules.
  • One such module is an APC system manager 1240 resident on the computer 1140.
  • This network of processing modules constitutes the APC system.
  • the MOSFET processing tool 1110 generally includes an equipment interface 1210 and a sensor interface 1215.
  • a machine interface 1230 resides on the workstation 1130.
  • the machine interface 1230 bridges the gap between the APC framework, e g , the APC system manager 1240, and the equipment interface 1210
  • the machine interface 1230 interfaces the MOSFET processing tool 1 1 10 with the APC framework and supports machine setup, activation, monitoring, and data collection
  • the sensor interface 1215 provides the appropriate interface environment to communicate with external sensors such as LabView ® or other sensor bus-based data acquisition software Both the machine interface 1230 and the sensor interface 1215 use a set of functionalities (such as a communication standard) to collect data to be used
  • the equipment interface 1210 and the sensor interface 1215 communicate over the line 1 120 with the machine interface 1230 resident on the workstation 1130
  • the machine interface 1230 receives commands, status events, and collected data from the equipment interface 1210 and forwards these as needed to other APC components and event channels In turn, responses from APC components are received by the machine interface 1230 and rerouted to the equipment interface 1210
  • the machine interface 1230 also reformats and restructures messages and data as necessary
  • the machine interface 1230 supports the startup/shutdown procedures within the APC System Manager 1240 It also serves as an APC data collector, buffering data collected by the equipment interface 1210, and emitting appropriate data collection signals
  • the APC system is a factory-wide software system, but this is not necessary to the practice of the invention
  • the control strategies taught by the present invention can be applied to virtually any semiconductor MOSFET processing tool on a factory floor Indeed, the present invention may be simultaneously employed on multiple MOSFET processing tools in the same factory or in the same fabrication process
  • the APC framework permits remote access and monitoring of the process performance Furthermore, by utilizing the APC framework, data storage can be more convenient, more flexible, and less expensive than data storage
  • the illustrated embodiment deploys the present invention onto the APC framework utilizing a number of software components
  • a computer script is written for each of the semiconductor MOSFET processing tools involved in the control system
  • the semiconductor MOSFET processing tool When a semiconductor MOSFET processing tool in the control system is started in the semiconductor manufacturing fab, the semiconductor MOSFET processing tool generally calls upon a script to initiate the action that is required by the MOSFET processing tool controller
  • the control methods are generally defined and performed using these scripts
  • the development of these scripts can comprise a significant portion of the development of a control system
  • there are several separate software scripts that perform the tasks involved in controlling the MOSFET processing operation There is one script for the MOSFET processing tool 1 110, including the review station 1 1 17 and the MOSFET processmg tool controller 1115
  • There is also a script for the APC system manager 1240 The precise number of script
  • FIG 13 illustrates one particular embodiment 1300 of the method 900 in Figure 9
  • the method 1300 may be practiced with the apparatus 1100 illustrated in Figures 1 1-12, but the invention is not so limited
  • the method 1300 may be practiced with any apparatus that may perform the functions set forth in Figure 13
  • the method 900 in Figure 9 may be practiced in embodiments alternative to the method 1300 in Figure 13
  • the method 1300 begins with processing a lot of wafers 1105 through MOSFET processing tools, such as the MOSFET processing tool 1 1 10, as set forth in box 1310.
  • the MOSFET processing tool 1 1 10 has been initialized for processing by the APC system manager 1240 through the machine interface 1230 and the equipment interface 1210.
  • the APC system manager script is called to initialize the MOSFET processing tool 11 10.
  • the script records the identification number of the MOSFET processing tool 1 1 10 and the lot number of the wafers 1 105. The identification number is then stored against the lot number in a data store 1 160.
  • the rest of the script such as the APCData call and the Setup and StartMachine calls, are formulated with blank or dummy data in order to force the machine to use default settings.
  • the initial setpoints for MOSFET processing control are provided to the
  • MOSFET processing tool controller 1 115 over the line 1 120. These initial setpoints may be determined and implemented in any suitable manner known to the art.
  • MOSFET processing controls are implemented by control threads. Each control thread acts like a separate controller and is differentiated by various process conditions. For MOSFET processing control, the control threads are separated by a combination of different conditions. These conditions may include, for example, the semiconductor MOSFET processing tool 1 1 10 currently processing the wafer lot, the semiconductor product, the semiconductor manufacturing operation, and one or more of the semiconductor processing tools (not shown) that previously processed the semiconductor wafer lot.
  • Control threads are separated because different process conditions affect the MOSFET processing error differently.
  • the MOSFET processing error can become a more accurate portrayal of the conditions in which a subsequent semiconductor wafer lot in the control thread will be processed. Since the error measurement is more relevant, changes to the MOSFET processing control input signals based upon the error will be more appropriate.
  • the control thread for the MOSFET processing control scheme depends upon the current MOSFET processing tool, current operation, the product code for the current lot, and the identification number at a previous processing step.
  • the first three parameters are generally found in the context information that is passed to the script from the MOSFET processing tool 1 1 10.
  • the fourth parameter is generally stored when the lot is previously processed. Once all four parameters are defined, they are combined to form the control thread name; MOSP02_OPER01_PROD01_MOSP01 is an example of a control thread name.
  • the control thread name is also stored in correspondence to the wafer lot number in the data store 1160.
  • the initial settings for that control thread are generally retrieved from the data store 1 160.
  • the script initializes the control thread assuming that there is no error associated with it and uses the target values of the MOSFET processing errors as the MOSFET processing control input settings. It is preferred that the controllers use the default machine settings as the initial settings. By assuming some settings, the MOSFET processing errors can be related back to the control settings in order to facilitate feedback control.
  • the initial settings are stored under the control thread name.
  • one or more wafer lots have been processed under the same control thread name as the current wafer lot, and have also been measured for MOSFET processing error using the review station 1 117.
  • the MOSFET processing control input signal settings are retrieved from the data store 1 160. These settings are then downloaded to the MOSFET processing tool 1 1 10.
  • the wafers 1 105 are processed through the MOSFET processing tool 1 110. This includes, in the embodiment illustrated, dielectric film or layer etch and/or deposition and/or etch/deposition.
  • the wafers 1105 are measured on the review station 1 1 17 after their MOSFET processing on the MOSFET processing tool 11 10.
  • the review station 1 1 17 examines the wafers 1105 after they are processed for a number of errors.
  • the data generated by the instruments of the review station 1 117 is passed to the machine interface 1230 via sensor interface 1215 and the line 1120.
  • the review station script begins with a number of APC commands for the collection of data.
  • the review station script locks itself in place and activates a data available script. This script facilitates the actual transfer of the data from the review station 1 1 17 to the APC framework. Once the transfer is completed, the script exits and unlocks the review station script.
  • the interaction with the review station 1 1 17 is then generally complete.
  • the data generated by the review station 1 117 should be preprocessed for use.
  • Review stations such as KLA review stations, provide the control algorithms for measuring the control error.
  • Each of the error measurements corresponds to one of the MOSFET processing control input signals on the line 1 120 in a direct manner. Before the error can be utilized to correct the MOSFET processing control input signal, a certain amount of preprocessing is generally completed.
  • preprocessing may include outlier rejection.
  • Outlier rejection is a gross error check ensuring that the received data is reasonable in light of the historical performance of the process. This procedure involves comparing each of the MOSFET processing errors to its corresponding predetermined boundary parameter. In one embodiment, even if one of the predetermined boundaries is exceeded, the error data from the entire semiconductor wafer lot is generally rejected.
  • Preprocessing may also smooth the data, which is also known as filtering.
  • Filtering is important because the error measurements are subject to a certain amount of randomness, such that the error significantly deviates in value. Filtering the review station data results in a more accurate assessment of the error in the MOSFET processing control input signal settings.
  • the MOSFET processing control scheme utilizes a filtering procedure known as an Exponentially-Weighted Moving Average (“EWMA”) filter, although other filtering procedures can be utilized in this context.
  • EWMA Exponentially-Weighted Moving Average
  • AVG N the new EWMA average
  • W ⁇ a weight for the new average (AVG N )
  • Mc the current measurement
  • AVGp the previous EWMA average.
  • the weight is an adjustable parameter that can be used to control the amount of filtering and is generally between zero and one.
  • the weight represents the confidence in the accuracy of the current data point. If the measurement is considered accurate, the weight should be close to one. If there were a significant amount of fluctuations in the process, then a number closer to zero would be appropriate.
  • the first technique uses the previous average, the weight, and the current measurement as described above. Among the advantages of utilizing the first implementation are ease of use and minimal data storage.
  • the manufacturing environment in the semiconductor manufacturing fab presents some unique challenges.
  • the order that the semiconductor wafer lots are processed through an MOSFET processing tool may not correspond to the order in which they are read on the review station. This could lead to the data points being added to the EWMA average out of sequence.
  • Semiconductor wafer lots may be analyzed more than once to verify the error measurements. With no data retention, both readings would contribute to the EWMA average, which may be an undesirable characteristic.
  • some of the control threads may have low volume, which may cause the previous average to be outdated such that it may not be able to accurately represent the error in the MOSFET processing control input signal settings.
  • the MOSFET processing tool controller 1 1 uses limited storage of data to calculate the EWMA filtered error, i.e., the first technique.
  • Wafer lot data including the lot number, the time the lot was processed, and the multiple error estimates, are stored in the data store 1160 under the control thread name.
  • the stack of data is retrieved from data store 1160 and analyzed.
  • the lot number of the current lot being processed is compared to those in the stack. If the lot number matches any of the data present there, the error measurements are replaced. Otherwise, the data point is added to the current stack in chronological order, according to the time periods when the lots were processed. In one embodiment, any data point within the stack that is over 128 hours old is removed.
  • the data is collected and preprocessed, and then processed to generate an estimate of the current errors in the MOSFET processing control input signal settings.
  • the data is passed to a compiled Matlab® plug-in that performs the outlier rejection criteria described above.
  • the inputs to a plug-in interface are the multiple error measurements and an array containing boundary values.
  • the return from the plug-in interface is a single toggle variable. A nonzero return denotes that it has failed the rejection criteria, otherwise the variable returns the default value of zero and the script continues to process.
  • the outlier rejection is completed, the data is passed to the EWMA filtering procedure.
  • the controller data for the control thread name associated with the lot is retrieved, and all of the relevant operation upon the stack of lot data is carried out.
  • data preprocessing includes measuring workpiece 1 105 WET values in a final
  • WET measurement step as set forth in box 1320.
  • potential characteristic parameters may be identified by characteristic data patterns or may be identified as known consequences of modifications to MOSFET processing control.
  • the example of how changes in gate critical dimension affect deposition variability of the etch/deposited dielectric film given above falls into this latter category.
  • the next step in the control process is to calculate the new settings for the MOSFET processing tool controller 1 1 15 of the MOSFET processing tool 11 10.
  • the previous settings for the control thread corresponding to the current wafer lot are retrieved from the data store 1 160. This data is paired along with the current set of MOSFET processing errors.
  • the new settings are calculated by calling a compiled Matlab® plug-in. This application incorporates a number of inputs, performs calculations in a separate execution component, and returns a number of outputs to the main script.
  • the inputs of the Matlab® plug-in are the MOSFET processing control input signal settings, the review station errors, an array of parameters that are necessary for the control algorithm, and a currently unused flag error.
  • the outputs of the Matlab® plug-in are the new controller settings, calculated in the plug-in according to the controller algorithm described above.
  • a MOSFET processing process engineer or a control engineer who generally determines the actual form and extent of the control action, can set the parameters. They include the threshold values, maximum step sizes, controller weights, and target values.
  • the script stores the setting in the data store 1160 such that the MOSFET processing tool 1 1 10 can retrieve them for the next wafer lot to be processed.
  • the principles taught by the present invention can be implemented into other types of manufacturing frameworks.
  • the calculation of new settings includes, as set forth in box 1330, modeling the workpiece 1 105 WET values as a function of the MOSFET processing recipe parameters. This modeling may be performed by the Matlab® plug-in. In this particular embodiment, only known, potential characteristic parameters are modeled and the models are stored in a database 1 135 accessed by a machine interface 1230.
  • the database 1 135 may reside on the workstation 1130, as shown, or some other part of the APC framework. For instance, the models might be stored in the data store 1 160 managed by the APC system manager 1240 in alternative embodiments.
  • the model will generally be a mathematical model, i.e., an equation describing how the change(s) in MOSFET processing recipe control(s) affects the MOSFET processing performance and the WET measurements in the final WET, and the like.
  • the transistor models, and/or processing step submodel(s), described in various illustrative embodiments given above are examples of such models.
  • the particular model used will be implementation specific, depending upon the particular MOSFET processing tool 1 1 10 and the particular characteristic parameter being modeled. Whether the relationship in the model is linear or non-linear will be dependent on the particular parameters involved.
  • the new settings are then transmitted to and applied by the MOSFET processing tool controller 1115.
  • the model is applied to modify at least one MOSFET processing recipe control input parameter, as set forth in box 1340.
  • the machine interface 1230 retrieves the model from the database 1135, plugs in the respective value(s), and determines the necessary change(s) in the MOSFET processing recipe control input parameter(s).
  • the change is then communicated by the machine interface 1230 to the equipment interface 1210 over the line 1120.
  • the equipment interface 1210 then implements the change.
  • the present embodiment furthermore provides that the models be updated.
  • the characteristic parameter e.g., workpiece 1 105 gate critical dimension
  • this particular embodiment implements an APC system.
  • changes are implemented "between" lots.
  • the actions set forth in the boxes 1320-1360 are implemented after the current lot is processed and before the second lot is processed, as set forth in box 1370 of Figure 13.
  • the invention is not so limited.
  • a lot may constitute any practicable number of wafers from one to several thousand (or practically any finite number). What constitutes a "lot" is implementation specific, and so the point of the fabrication process in which the updates occur will vary from implementation to implementation.
  • any of the above-disclosed embodiments of a method of manufacturing according to the present invention enables the use of central values and spreads of parametric measurements sent from measuring tools and/or a wafer electrical test (WET) to make supervisory processing adjustments, either manually and/or automatically, to improve and/or better control the yield. Additionally, any of the above-disclosed embodiments of a method of manufacturing according to the present invention enables semiconductor device fabrication with increased device accuracy and precision, increased efficiency and increased device yield, enabling a streamlined and simplified process flow, thereby decreasing the complexity and lowering the costs of the manufacturing process and increasing throughput.
  • WET wafer electrical test

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
PCT/US2000/032948 2000-01-04 2000-12-04 Method for determining optimal process targets in microelectronic fabrication WO2001050522A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
EP00983907A EP1245044B1 (en) 2000-01-04 2000-12-04 Method for determining optimal process targets in microelectronic fabrication
JP2001550802A JP5063846B2 (ja) 2000-01-04 2000-12-04 超小型電子機器製造において最適な加工ターゲットを定めるための方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/477,464 US6470230B1 (en) 2000-01-04 2000-01-04 Supervisory method for determining optimal process targets based on product performance in microelectronic fabrication
US09/477,464 2000-01-04

Publications (1)

Publication Number Publication Date
WO2001050522A1 true WO2001050522A1 (en) 2001-07-12

Family

ID=23896015

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2000/032948 WO2001050522A1 (en) 2000-01-04 2000-12-04 Method for determining optimal process targets in microelectronic fabrication

Country Status (5)

Country Link
US (1) US6470230B1 (US06573293-20030603-C00009.png)
EP (1) EP1245044B1 (US06573293-20030603-C00009.png)
JP (1) JP5063846B2 (US06573293-20030603-C00009.png)
KR (1) KR100727049B1 (US06573293-20030603-C00009.png)
WO (1) WO2001050522A1 (US06573293-20030603-C00009.png)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1178355A2 (de) * 2000-07-31 2002-02-06 Infineon Technologies AG Regelsystem für photolithographische Prozesse
WO2004012230A2 (en) * 2002-07-29 2004-02-05 Advanced Micro Devices, Inc. Dynamic targeting for a process control system
WO2004019401A1 (en) * 2002-08-22 2004-03-04 Advanced Micro Devices, Inc. Method and apparatus for predicting device electrical parameters during fabrication
WO2004032225A1 (en) * 2002-09-30 2004-04-15 Advanced Micro Devices, Inc. Process control at an interconnect level
WO2004044660A2 (en) * 2002-11-08 2004-05-27 Advanced Micro Devices, Inc. Probability constrained optimization for electrical fabrication control
JP2006504266A (ja) * 2002-10-21 2006-02-02 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 回路内構造の測定のためのスキャトロメトリの使用
GB2437894B (en) * 2005-02-28 2011-04-13 Advanced Micro Devices Inc Automated Throughput Control System And Method Of Operating The Same

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7200459B1 (en) * 2000-01-04 2007-04-03 Advanced Micro Devices, Inc. Method for determining optimal photolithography overlay targets based on process performance and yield in microelectronic fabrication
US6622059B1 (en) * 2000-04-13 2003-09-16 Advanced Micro Devices, Inc. Automated process monitoring and analysis system for semiconductor processing
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US7123978B2 (en) * 2000-12-27 2006-10-17 Insyst Ltd. Method for dynamically targeting a batch process
US6728587B2 (en) * 2000-12-27 2004-04-27 Insyst Ltd. Method for global automated process control
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
US7698012B2 (en) 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
JP3708031B2 (ja) * 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
TWI252516B (en) * 2002-03-12 2006-04-01 Toshiba Corp Determination method of process parameter and method for determining at least one of process parameter and design rule
US7225047B2 (en) * 2002-03-19 2007-05-29 Applied Materials, Inc. Method, system and medium for controlling semiconductor wafer processes using critical dimension measurements
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
JP4018438B2 (ja) 2002-04-30 2007-12-05 キヤノン株式会社 半導体露光装置を管理する管理システム
JP2003324055A (ja) 2002-04-30 2003-11-14 Canon Inc 管理システム及び装置及び方法並びに露光装置及びその制御方法
US7069104B2 (en) * 2002-04-30 2006-06-27 Canon Kabushiki Kaisha Management system, management apparatus, management method, and device manufacturing method
JP4353498B2 (ja) 2002-04-30 2009-10-28 キヤノン株式会社 管理装置及び方法、デバイス製造方法、並びにコンピュータプログラム
US6787376B1 (en) * 2002-05-22 2004-09-07 Advanced Micro Devices, Inc. Creating a process recipe based on a desired result
US7092110B2 (en) * 2002-07-25 2006-08-15 Timbre Technologies, Inc. Optimized model and parameter selection for optical metrology
US7330279B2 (en) * 2002-07-25 2008-02-12 Timbre Technologies, Inc. Model and parameter selection for optical metrology
JP3799314B2 (ja) * 2002-09-27 2006-07-19 株式会社日立ハイテクノロジーズ エッチング処理装置およびエッチング処理方法
AU2003290932A1 (en) 2002-11-15 2004-06-15 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
US7424392B1 (en) * 2002-12-18 2008-09-09 Advanced Micro Devices, Inc. Applying a self-adaptive filter to a drifting process
US20040167655A1 (en) * 2003-02-22 2004-08-26 Scott Middlebrooks Optimal model predictive control of overlay implemented in a ASIC fab
ATE470629T1 (de) * 2003-04-25 2010-06-15 Sig Technology Ltd Verfahren und system zur überwachung eines verpackungs-oder abfüllvorgangs
US7684887B2 (en) * 2003-04-30 2010-03-23 Infineon Technologies Ag Advanced process control method and advanced process control system for acquiring production data in a chip production installation
US7328126B2 (en) * 2003-09-12 2008-02-05 Tokyo Electron Limited Method and system of diagnosing a processing system using adaptive multivariate analysis
KR101128558B1 (ko) * 2003-10-08 2012-03-26 어플라이드 머티리얼즈 이스라엘 리미티드 측정 시스템 및 방법
US6980873B2 (en) 2004-04-23 2005-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for real-time fault detection, classification, and correction in a semiconductor manufacturing environment
US7437404B2 (en) 2004-05-20 2008-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for improving equipment communication in semiconductor manufacturing equipment
US7263408B1 (en) * 2004-11-02 2007-08-28 Advanced Micro Devices, Inc. Method and system for converting tool process ability based upon work in progress characteristics
JP3732220B1 (ja) * 2005-03-28 2006-01-05 株式会社リコー イオン注入量分布評価方法
US8332826B2 (en) * 2005-05-26 2012-12-11 United Parcel Service Of America, Inc. Software process monitor
US7558986B2 (en) * 2005-05-26 2009-07-07 United Parcel Service Of America, Inc. Software process monitor
US7823021B2 (en) * 2005-05-26 2010-10-26 United Parcel Service Of America, Inc. Software process monitor
US7355728B2 (en) * 2005-06-16 2008-04-08 Timbre Technologies, Inc. Optical metrology model optimization for repetitive structures
US20070135956A1 (en) * 2005-12-13 2007-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Data location systems and methods
US8515567B2 (en) * 2005-12-21 2013-08-20 Globalfoundries Inc. Enhanced state estimation based upon information credibility
US20080140590A1 (en) * 2006-12-12 2008-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Process control integration systems and methods
US7424331B2 (en) * 2006-12-19 2008-09-09 Intel Corporation System for implementing intelligent and accurate updates to state-based advanced process control (APC) models
US7844927B2 (en) * 2007-01-19 2010-11-30 Globalfoundries Inc. Method for quality assured semiconductor device modeling
US8046086B2 (en) * 2007-05-15 2011-10-25 Fisher-Rosemount Systems, Inc. Methods and systems for batch processing and execution in a process system
US7991577B2 (en) * 2007-08-30 2011-08-02 HSB Solomon Associates, LLP Control asset comparative performance analysis system and methodology
JP2009224374A (ja) * 2008-03-13 2009-10-01 Oki Semiconductor Co Ltd Peb装置及びその制御方法
KR20120089654A (ko) 2009-08-21 2012-08-13 비질런트 코포레이션 데이터 센터 냉각 유닛을 효율적으로 조정하는 방법 및 장치
US8391999B2 (en) * 2010-06-09 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Auto device skew manufacturing
WO2012024692A2 (en) 2010-08-20 2012-02-23 Federspiel Clifford C Energy-optimal control decisions for hvac systems
US9822989B2 (en) 2011-12-12 2017-11-21 Vigilent Corporation Controlling air temperatures of HVAC units
US20140039662A1 (en) * 2012-07-31 2014-02-06 Makerbot Industries, Llc Augmented three-dimensional printing
WO2014182934A1 (en) 2013-05-08 2014-11-13 Vigilent Corporation Influence learning in an environmentally managed system
WO2015171624A1 (en) 2014-05-05 2015-11-12 Vigilent Corporation Point-based risk score for managing environmental systems
US10935962B2 (en) * 2015-11-30 2021-03-02 National Cheng Kung University System and method for identifying root causes of yield loss
KR20230048170A (ko) * 2017-12-19 2023-04-10 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 기법 기반 정정 및 제어
EP3872567A1 (en) * 2020-02-25 2021-09-01 ASML Netherlands B.V. Systems and methods for process metric aware process control

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5711843A (en) * 1995-02-21 1998-01-27 Orincon Technologies, Inc. System for indirectly monitoring and controlling a process with particular application to plasma processes
US5866437A (en) * 1997-12-05 1999-02-02 Advanced Micro Devices, Inc. Dynamic process window control using simulated wet data from current and previous layer data
US6041270A (en) * 1997-12-05 2000-03-21 Advanced Micro Devices, Inc. Automatic recipe adjust and download based on process control window

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55150221A (en) * 1979-05-10 1980-11-22 Toshiba Corp Semiconductor fabricating process control system
JP2635566B2 (ja) * 1987-01-14 1997-07-30 株式会社東芝 半導体製造自動制御システム
JPH0616475B2 (ja) * 1987-04-03 1994-03-02 三菱電機株式会社 物品の製造システム及び物品の製造方法
KR0174993B1 (ko) * 1996-02-23 1999-04-01 김광호 반도체 설비의 통계적 공정관리 시스템 및 그 방법
KR100213195B1 (ko) * 1996-03-07 1999-08-02 윤종용 반도체 공정의 제어방법
US5859964A (en) * 1996-10-25 1999-01-12 Advanced Micro Devices, Inc. System and method for performing real time data acquisition, process modeling and fault detection of wafer fabrication processes
US6288431B1 (en) * 1997-04-04 2001-09-11 Nippon Steel Corporation Semiconductor device and a method of manufacturing the same
US6263255B1 (en) * 1998-05-18 2001-07-17 Advanced Micro Devices, Inc. Advanced process control for semiconductor manufacturing
US6103559A (en) * 1999-03-30 2000-08-15 Amd, Inc. (Advanced Micro Devices) Method of making disposable channel masking for both source/drain and LDD implant and subsequent gate fabrication

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5711843A (en) * 1995-02-21 1998-01-27 Orincon Technologies, Inc. System for indirectly monitoring and controlling a process with particular application to plasma processes
US5866437A (en) * 1997-12-05 1999-02-02 Advanced Micro Devices, Inc. Dynamic process window control using simulated wet data from current and previous layer data
US6041270A (en) * 1997-12-05 2000-03-21 Advanced Micro Devices, Inc. Automatic recipe adjust and download based on process control window

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
POTTS D C: "Manufacturing for design: putting process control in the language of the designer", IEEE/SEMI 1998 IEEE/SEMI ADVANCED SEMICONDUCTOR MANUFACTURING CONFERENCE AND WORKSHOP (CAT. NO.98CH36168), IEEE/SEMI. 1998 IEEE/SEMI ADVANCED SEMICONDUCTOR MANUFACTURING CONFERENCE AND WORKSHOP, BOSTON, MA, USA, 23-25 SEPT. 1998, 1998, New York, NY, USA, IEEE, USA, pages 187 - 189, XP002164698, ISBN: 0-7803-4380-8 *

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1178355A2 (de) * 2000-07-31 2002-02-06 Infineon Technologies AG Regelsystem für photolithographische Prozesse
EP1178355A3 (de) * 2000-07-31 2004-06-23 Infineon Technologies AG Regelsystem für photolithographische Prozesse
WO2004012230A2 (en) * 2002-07-29 2004-02-05 Advanced Micro Devices, Inc. Dynamic targeting for a process control system
WO2004012230A3 (en) * 2002-07-29 2004-04-15 Advanced Micro Devices Inc Dynamic targeting for a process control system
CN100392840C (zh) * 2002-07-29 2008-06-04 先进微装置公司 处理控制系统用的动态目标设定方法及装置
JP2005535114A (ja) * 2002-07-29 2005-11-17 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド プロセス制御システムのための動的目標設定
WO2004019401A1 (en) * 2002-08-22 2004-03-04 Advanced Micro Devices, Inc. Method and apparatus for predicting device electrical parameters during fabrication
US8185230B2 (en) 2002-08-22 2012-05-22 Advanced Micro Devices, Inc. Method and apparatus for predicting device electrical parameters during fabrication
US6842661B2 (en) 2002-09-30 2005-01-11 Advanced Micro Devices, Inc. Process control at an interconnect level
GB2409339A (en) * 2002-09-30 2005-06-22 Advanced Micro Devices Inc Process control at an interconnect level
GB2409339B (en) * 2002-09-30 2006-05-10 Advanced Micro Devices Inc Process control at an interconnect level
DE10393397B4 (de) * 2002-09-30 2010-09-02 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Prozesssteuerung auf Ebene der Verbindungsstrukturen, die eine Durchführung umfassen
KR101001347B1 (ko) * 2002-09-30 2010-12-14 글로벌파운드리즈 인크. 배선 레벨에서의 공정 제어
WO2004032225A1 (en) * 2002-09-30 2004-04-15 Advanced Micro Devices, Inc. Process control at an interconnect level
JP2006504266A (ja) * 2002-10-21 2006-02-02 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 回路内構造の測定のためのスキャトロメトリの使用
US6959224B2 (en) 2002-11-08 2005-10-25 Advanced Micro Devices, Inc. Probability constrained optimization for electrical fabrication control
WO2004044660A3 (en) * 2002-11-08 2004-07-29 Advanced Micro Devices Inc Probability constrained optimization for electrical fabrication control
WO2004044660A2 (en) * 2002-11-08 2004-05-27 Advanced Micro Devices, Inc. Probability constrained optimization for electrical fabrication control
GB2437894B (en) * 2005-02-28 2011-04-13 Advanced Micro Devices Inc Automated Throughput Control System And Method Of Operating The Same

Also Published As

Publication number Publication date
KR20020087047A (ko) 2002-11-21
JP2003519922A (ja) 2003-06-24
US6470230B1 (en) 2002-10-22
JP5063846B2 (ja) 2012-10-31
EP1245044B1 (en) 2011-05-11
KR100727049B1 (ko) 2007-06-12
EP1245044A1 (en) 2002-10-02

Similar Documents

Publication Publication Date Title
EP1245044B1 (en) Method for determining optimal process targets in microelectronic fabrication
US6622059B1 (en) Automated process monitoring and analysis system for semiconductor processing
US6368884B1 (en) Die-based in-fab process monitoring and analysis system for semiconductor processing
US6819963B2 (en) Run-to-run control method for proportional-integral-derivative (PID) controller tuning for rapid thermal processing (RTP)
US6988017B2 (en) Adaptive sampling method for improved control in semiconductor manufacturing
US6859746B1 (en) Methods of using adaptive sampling techniques based upon categorization of process variations, and system for performing same
US8352062B2 (en) Advanced process control for gate profile control
KR101380515B1 (ko) 반도체 제조의 fab 프로세스를 개선하기 위한 툴 기능의 신규한 설계
US6856849B2 (en) Method for adjusting rapid thermal processing (RTP) recipe setpoints based on wafer electrical test (WET) parameters
KR20050026062A (ko) 공정 제어 시스템에 대한 동적 타겟팅
CN102201324B (zh) 半导体制造方法与系统
TW557474B (en) Method and apparatus for determining process layer conformality
US6597447B1 (en) Method and apparatus for periodic correction of metrology data
JP2007538383A (ja) イオン注入プロセスの欠陥検出および制御方法、およびその実行システム
CN102063063A (zh) 半导体制造方法及系统
US7324865B1 (en) Run-to-run control method for automated control of metal deposition processes
US7460922B1 (en) Scanner optimization for reduced across-chip performance variation through non-contact electrical metrology
WO2001050207A1 (en) Method for semiconductor device manufacturing
US7200459B1 (en) Method for determining optimal photolithography overlay targets based on process performance and yield in microelectronic fabrication
KR20050065663A (ko) 첫 번째-원칙 피드-포워드 제조 제어를 제공하기 위한 방법및 장치
US6978189B1 (en) Matching data related to multiple metrology tools
CN111446171B (zh) Nmos晶体管器件速度的补偿方法
Ismail et al. Essential corrective factors in TCAD calibration for MOSFET device
EP1245037A1 (en) Automated high-density plasma (hdp) workpiece temperature control

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP KR

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 2000983907

Country of ref document: EP

ENP Entry into the national phase

Ref country code: JP

Ref document number: 2001 550802

Kind code of ref document: A

Format of ref document f/p: F

WWE Wipo information: entry into national phase

Ref document number: 1020027008703

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 2000983907

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 1020027008703

Country of ref document: KR