WO2000075964A2 - Method of fabricating semiconductor device employing copper interconnect structure - Google Patents

Method of fabricating semiconductor device employing copper interconnect structure Download PDF

Info

Publication number
WO2000075964A2
WO2000075964A2 PCT/KR1999/000847 KR9900847W WO0075964A2 WO 2000075964 A2 WO2000075964 A2 WO 2000075964A2 KR 9900847 W KR9900847 W KR 9900847W WO 0075964 A2 WO0075964 A2 WO 0075964A2
Authority
WO
WIPO (PCT)
Prior art keywords
forming
layer
copper
intermediate metal
diffusion barrier
Prior art date
Application number
PCT/KR1999/000847
Other languages
French (fr)
Other versions
WO2000075964A3 (en
Inventor
Ki-Bum Kim
Original Assignee
Kim Ki Bum
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kim Ki Bum filed Critical Kim Ki Bum
Publication of WO2000075964A2 publication Critical patent/WO2000075964A2/en
Publication of WO2000075964A3 publication Critical patent/WO2000075964A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates to a method of fabricating a semiconductor device, and more particularly, to a method of forming an interconnect structure in a process for fabricating a semiconductor device.
  • Aluminum has been widely used for metallization material.
  • the width of the metallization decreases and the total length of the metallization increases. Therefore, the delay time of a signal transfer represented as resistance-capacitance (RC) time constant increases.
  • RC resistance-capacitance
  • the width of the metallization decreases, short of metal interconnects due to electromigration and stress migration becomes a serious problem.
  • the process for metallization has been changed to use copper having lower resistivity and higher resistance to electromigration and stress migration than those of aluminum.
  • copper has low resistivity and high melting point, it has not other physical property which aluminum has.
  • copper has not dense protection surface film such as Al 2 0 3 and does not adhere well to silicon dioxide.
  • diffusion coefficient of copper in silicon is about 10 6 times as large as that of aluminum, and copper diffused into silicon has deep energy level between its band gaps.
  • diffusion coefficient of copper in silicon dioxide is large, which makes worse the insulating property between the copper interconnections.
  • the large diffusion coefficient of copper in silicon and silicon dioxide deteriorates the reliability of a semiconductor device. Therefore, it is essential to develop a diffusion barrier which can prevent the diffusion of copper into silicon and silicon dioxide.
  • it takes much time to develop high-reliable diffusion barrier for copper which may cause to delay common use of a semiconductor device employing copper metallization structure.
  • the object of the present invention is to provide a method of forming interconnect structure in a process for fabricating a semiconductor device, which enables high-reliabilty copper interconnect.
  • the present invention uses the structure comprised of TiN layer and an intermediate aluminum layer as a diffusion barrier in the copper metallization process, considering that the effectiveness as a diffusion barrier of TiN layer, when used in aluminum metallization. That is, in the present invention, a copper layer is deposited on the aluminum layer, after aluminum layer is deposited on the TiN layer. Aluminum is then diffused into the TiN layer so as to stuff the grain boundary of the TiN effectively to prevent the diffusion of copper diffused to the TiN layer afterward. Certain thickness of aluminum remains at the interface in between the copper and TiN and acts as a diffusion barrier by itself. At this time, with the aluminum layer being made to the minimum thickness, metallization is formed substantially with copper.
  • the diffusion between aluminum and copper should be minimized in order that the resistivity of copper is not changed.
  • the diffusion barrier commonly used in aluminum metallization is coupled with the aluminum layer, the structure of the coupled layer functions as a excellent diffusion layer preventing the diffusion of the copper in copper metallization effectively.
  • TiN layer is necessary since it acts as a seeding for CVD-AI and as a etch-stop layer for CMP process.
  • FIGS. 1 to 6 illustrate an embodiment of a method for forming copper interconnect structure according to the present invention
  • FIG. 7 is a graph showing the experimental measurement of sheet resistance of four different samples depending on the thickness of extremely thin aluminum layer and annealing temperature.
  • FIGS. 8A to 8D are photos observed by scanning electron microscopy showing etch pits of silicon surface after etching a copper layer, an aluminum layer and a TiN layer according to an embodiment of the present invention.
  • Embodiments A diffusion barrier means a material inserted between two materials to prevent any mixture thereof.
  • the diffusion barrier is used to prevent the diffusion of metallization material into a dielectric film as well as the diffusion between the metallization material and the semiconductor substrate.
  • the diffusion barriers are roughly classified into a passive barrier, a non-barrier, a single crystal barrier, a sacrificial barrier, and stuffed barrier. If a diffusion barrier is stable thermodynamically between the metallization material and the substrate, it is a passive barrier or a non- barrier. If the barrier is unstable thermodynamically to react to the metallization material and the substrate, it is a sacrificial barrier. Whether the diffusion barrier stable themodynamically becomes a passive barrier or a non-barrier is related to a diffusion through a grain boundary of the diffusion barrier. That is, if the material of the barrier hardly diffuses through the grain boundary , the barrier is a passive barrier, and if not, it is a non-passive barrier.
  • the sacrificial barrier prevents a diffusion of materials by reacting with the metallization material and the substrate.
  • the sacrificial barrier is exhausted out by reacting itself. Therefore, it can serve as the diffusion barrier but does not function as the diffusion barrier, after it is exhausted out completely.
  • a thin-filmed layer deposited with a process for fabricating the thin film forms polycrystal.
  • a diffusion through a grain boundary in a polycrystalline thin film is easier than a bulk, it is very important to prevent the diffusion through the grain boundary.
  • the stuffing methods proposed up to now is divided into methods to use N 2 and 0 2 .
  • the stuffing method to use N 2 is researched to extract N 2 at the grain boundary, with depositing a material containing N 2 over the limit the thin film can contain.
  • the stuffing method to use 0 2 is researched to stuff the thin film by exposing the thin film in the air or annealing the thin film in N 2 ambient so that 0 2 diffuses through the grain boundary, after depositing the thin film.
  • the effects of the stuffing with N 2 or Q are good to aluminum, but the stuffing with N 2 or 0 2 does not effect on the copper.
  • Table 1 Enthalpy of formation in oxide compound of titanium.aluminum and copper.
  • thin- filmed TiN layer functions as an execllent stuffed barrier against aluminum, whereas it functions as the non-barrier for copper which permits fast diffusion against copper.
  • copper hardly react with N 2 as well as 0 2 , it is also difficult to improve the efficiency of the TiN layer by adding impurities. Therefore, the demand for developing new materials as a diffusion barrier suitable for copper metallization arises.
  • PVD physical vapor deposition
  • CVD methods for the TiN layer roughly classified into a method using inorganic compounds for source gas, such as titanium tetrachloride (TiCI 4 ) or titanium tetraiodide (Til 4 ) and NH 3 , and a method using metal-organic compounds for precursor, such as tetrakisdimethylamido titanium (TDMAT) or tetrakisdiethylamido titanium (TDEAT).
  • inorganic compounds for source gas such as titanium tetrachloride (TiCI 4 ) or titanium tetraiodide (Til 4 ) and NH 3
  • metal-organic compounds for precursor such as tetrakisdimethylamido titanium (TDMAT) or tetrakisdiethylamido titanium (TDEAT).
  • TiN layers having good characteristics for physical property and step coverage are reported by many researchers, and it is known that such TiN layers can be used successfully for adhesion layers and seeding layer for chemical vapor deposition.
  • the reports to characteristics of TiN layers deposited with CVD as a diffusion barrier against copper are few. Also, it is reported that characteristics of such TiN layers are poor.
  • tantalum nitride is thermally stable against copper
  • a research for using the TaN for diffusion layer in copper metallization process has been performed. It is already confirmed that the TaN has an excellent characteristics for the diffusion barrier against copper.
  • Research for the CVD methods of the TaN layer has been performed using inorganic compounds for source gas, such as tantalum pentachloride (TaCI 5 ) or tantalum pentabromide (TaBr 5 ) ,NH3 or and using organic compounds for precursor, such as pentadimethylamido tantalum (PDMAT), pentadiethylamido tantalum (PDEAT) or tertbutylimidotrisdiethylamido tantalum (TBTDET).
  • source gas such as tantalum pentachloride (TaCI 5 ) or tantalum pentabromide (TaBr 5 )
  • organic compounds for precursor such as pentadimethylamido tantalum (PDMAT), pentadiethyla
  • Ta-N group As there is Ta 3 N 5 thermodynamically more stable than TaN, it is not easy to deposit a TaN layer having good physical property by CVD. Research for tungsten nitride (WN) is not carried out enough.
  • WN has a wide variety of composition range of ⁇ -W 2 N expected to have good characteristics as a diffusion barrier
  • the process windows would be wide, and as there is a advantage of having a precursor which is gaseous WF 6 , it is favorable to mass production.
  • it has not superior characteristics than the conventional diffusion layers.
  • the reports to characteristics of the diffusion barrier against copper are few.
  • the research is being performed so as to form an amorphous diffusion layer to remove completely the grain boundary which acts as a path to diffuse easily for copper.
  • FIGS. 1 to 6 illustrate an embodiment of a method for forming copper interconnect structure according to the present invention.
  • a semiconductor device which includes a substrate 10 and a dielectric film 20 overlying the substrate 10.
  • MOS metal oxide semiconductor
  • BJT bipolar junction transistor
  • resistor resistor
  • the semiconductor device may employ multi-layer wiring structure.
  • the substrate 10 may include a metal interconnect layer connecting the components electrically.
  • a dielectric film 20 may be Si0 2 , SiN.,, or doped glass.
  • the dielectric film 20 can be formed using CVD, plasma enhanced chemical vapor deposition (PECVD), and the like.
  • PECVD plasma enhanced chemical vapor deposition
  • the Si0 2 is deposited by CVD to form the dielectric film 20.
  • a via pattern 22 is formed on the substrate 10.
  • the via pattern 22 is formed by reactive ion etching using a mask defining its boundary.
  • the via pattern 22 is formed to extend to the substrate 10 through the dielectric film 20.
  • the TiN layer 32 is formed to overlie the dielectric film 20 on which the via pattern 22 is formed.
  • the TiN layer is formed to the thickness of less than 200 anstroms (A).
  • an aluminum layer 34 is formed on the TiN layer 32 by CVD as shown in FIG. 4.
  • the aluminum layer is deposited to the thickness of about 5 to 20 nanometers (nm).
  • the composite structure of TiN layer 32 and aluminum layer 34 functions as a diffusion barrier.
  • the TiN layer 32 also acts as a seed layer (promoter layer) for CVD-AI and as a etch-stop layer for CMP process.
  • a copper layer 40 is deposited so that the via pattern 22 is filled sufficiently.
  • the deposition of the copper layer 40 is carried out by PVD, electroplating or metal organic chemical vapor deposition (MOCVD).
  • MOCVD metal organic chemical vapor deposition
  • the semiconductor device is planarized as shown in FIG. 6.
  • the planarization process is performed with chemical mechanical polishing (CMP) by non-selectively removing the copper layer 40, the aluminum layer 34, and the TiN layer 32 . Meanwhile, in an alternative embodiment, the planarization may be performed with non- selective plasma etching process.
  • FIGS. 7 to 8 shows experimental data in accordance with the copper interconnect structure of the present invention.
  • a TiN layer is deposited to the thickness of 200 angstroms by pyrolytic deposition using the single precursor of the TDMAT on 8 inch silicon wafer.
  • aluminum and copper is deposited sequentially using direct current (DC) magnetron sputter and annealed at a pressure of below 5x10 "6 torr in a vacuum ambient.
  • DC direct current
  • the annealing is carried our for about an hour and the annealing temperatures are differentiated with an interval of 50°C at the range of 500 to 700°C.
  • the sheet resistance of the sample is measured with a four-point probe after the annealing is completed .
  • FIG. 7 shows the measured sheet resistance of the samples depending on the thickness of aluminum and the annealing temperature. As shown in FIG. 7, the sample deposted with aluminum to the thickness of above 10 nanometer prevents the diffusion more effectively than the sample A which has not the aluminum layer.
  • FIGS. 8A to 8D are photographs observed by use of scanning electron microscopy showing etch pits of silicon surface exposed after completion of the etching .
  • FIGS. 8A to 8D correspond to the four kinds of samples A, B, C, and D, respectively, all of which are annealed at a temperature of 650°C. As shown in the drawings, as the thickness of the aluminum layer increases, the density and the size of etch pit decreases abruptly.
  • the sample without the aluminum layer is failed after the annealing for an hour at a temperature of 500°C in a vacuum ambient, whereas the sample deposited with aluminum to the thickness of above 10 nanometer is not failed ,even after the annealing for an hour at a temperature of 700° C in a same ambient.
  • the intermediate metal layer may be formed by a process such as PVD, electrode plating, electrodeless plating, wet chemical contamination, and atomic layer deposition (ALD) instead of chemical vapor deposition.
  • PVD physical vapor deposition
  • ALD atomic layer deposition
  • titanium nitride (TiN) is illustratively used for the diffusion barrier in the preferred embodiments
  • tantalum nitride (TaN) or tunsten nitride (WN) may be used for the barrier alternatively.
  • the diffusion barrier may be deposited by chemical deposition, also.
  • the method for forming the copper interconnect has been illustrated in terms of the interconnect extending on the dielectric film without mentioning a contact hole. Actually, however, there may be formed the contact hole with which metal wire contacts the components on the substrate or the underlying metal wire.
  • a diffusion barrier is deposited on the substrate at the bottom of the contact hole on the underlying the metal wire, and an intermediate metal layer is deposited onto the diffusion barrier.
  • a copper layer is then deposited onto the intermediate metal layer.

Abstract

The present invention provides a method of forming interconnect structure in a process for fabricating semiconductor device, which enables high-reliability copper interconnect. The present invention uses the structure comprised of TiN layer (32) and intermediate aluminum layer (34) as a diffusion barrier. A copper layer (40) is deposited on the aluminum layer (34), after aluminum layer (34) is deposited on the TiN layer (32). At this time, with the aluminum layer (34) being made to the minimum thickness, metallization is formed substantially with the copper.

Description

METHOD OF FABRICATING SEMICONDUCTOR DEVICE EMPLOYING COPPER INTERCONNECT STRUCTURE
Technical Field
The present invention relates to a method of fabricating a semiconductor device, and more particularly, to a method of forming an interconnect structure in a process for fabricating a semiconductor device.
This application is based on Korean patent application No. 99-020828, which is incorporated by reference herein for all purposes.
Background Art There are roughly two kinds of processes in fabricating a semiconductor integrated device. One is related to a process for forming components on a silicon substrate, and the other to a process for interconnecting the components electrically. The process for interconnecting the components electrically is called "metallization", which is important in improving yield and reliability as the integrity of the device continues to increase .
Aluminum has been widely used for metallization material. However, as the integrity of the device increases, the width of the metallization decreases and the total length of the metallization increases. Therefore, the delay time of a signal transfer represented as resistance-capacitance (RC) time constant increases. Also, as the width of the metallization decreases, short of metal interconnects due to electromigration and stress migration becomes a serious problem. Thus, to make a semiconductor device which has faster operation speed and higher reliability, the process for metallization has been changed to use copper having lower resistivity and higher resistance to electromigration and stress migration than those of aluminum.
Though copper has low resistivity and high melting point, it has not other physical property which aluminum has. For example, copper has not dense protection surface film such as Al203 and does not adhere well to silicon dioxide. Also, it is difficult to perform dry etching process to copper. It is well-known that diffusion coefficient of copper in silicon is about 106 times as large as that of aluminum, and copper diffused into silicon has deep energy level between its band gaps. In addition, it is also well-known that diffusion coefficient of copper in silicon dioxide is large, which makes worse the insulating property between the copper interconnections. The large diffusion coefficient of copper in silicon and silicon dioxide deteriorates the reliability of a semiconductor device. Therefore, it is essential to develop a diffusion barrier which can prevent the diffusion of copper into silicon and silicon dioxide. However, it takes much time to develop high-reliable diffusion barrier for copper, which may cause to delay common use of a semiconductor device employing copper metallization structure.
Disclosure of the Invention The object of the present invention is to provide a method of forming interconnect structure in a process for fabricating a semiconductor device, which enables high-reliabilty copper interconnect.
The present invention uses the structure comprised of TiN layer and an intermediate aluminum layer as a diffusion barrier in the copper metallization process, considering that the effectiveness as a diffusion barrier of TiN layer, when used in aluminum metallization. That is, in the present invention, a copper layer is deposited on the aluminum layer, after aluminum layer is deposited on the TiN layer. Aluminum is then diffused into the TiN layer so as to stuff the grain boundary of the TiN effectively to prevent the diffusion of copper diffused to the TiN layer afterward. Certain thickness of aluminum remains at the interface in between the copper and TiN and acts as a diffusion barrier by itself. At this time, with the aluminum layer being made to the minimum thickness, metallization is formed substantially with copper. Furthermore, the diffusion between aluminum and copper should be minimized in order that the resistivity of copper is not changed. When the diffusion barrier commonly used in aluminum metallization is coupled with the aluminum layer, the structure of the coupled layer functions as a excellent diffusion layer preventing the diffusion of the copper in copper metallization effectively. The use of TiN layer is necessary since it acts as a seeding for CVD-AI and as a etch-stop layer for CMP process.
Brief Description of the Drawings The above objectives and advantages of the present invention will become more apparent by describing in detail preferred embodiments thereof with reference to the attached drawings in which:
FIGS. 1 to 6 illustrate an embodiment of a method for forming copper interconnect structure according to the present invention; FIG. 7 is a graph showing the experimental measurement of sheet resistance of four different samples depending on the thickness of extremely thin aluminum layer and annealing temperature.
FIGS. 8A to 8D are photos observed by scanning electron microscopy showing etch pits of silicon surface after etching a copper layer, an aluminum layer and a TiN layer according to an embodiment of the present invention.
Embodiments A diffusion barrier means a material inserted between two materials to prevent any mixture thereof. In a process for fabricating a semiconductor device, the diffusion barrier is used to prevent the diffusion of metallization material into a dielectric film as well as the diffusion between the metallization material and the semiconductor substrate.
The diffusion barriers are roughly classified into a passive barrier, a non-barrier, a single crystal barrier, a sacrificial barrier, and stuffed barrier. If a diffusion barrier is stable thermodynamically between the metallization material and the substrate, it is a passive barrier or a non- barrier. If the barrier is unstable thermodynamically to react to the metallization material and the substrate, it is a sacrificial barrier. Whether the diffusion barrier stable themodynamically becomes a passive barrier or a non-barrier is related to a diffusion through a grain boundary of the diffusion barrier. That is, if the material of the barrier hardly diffuses through the grain boundary , the barrier is a passive barrier, and if not, it is a non-passive barrier. The sacrificial barrier prevents a diffusion of materials by reacting with the metallization material and the substrate. The sacrificial barrier is exhausted out by reacting itself. Therefore, it can serve as the diffusion barrier but does not function as the diffusion barrier, after it is exhausted out completely.
Generally, a thin-filmed layer deposited with a process for fabricating the thin film forms polycrystal. Herein, as a diffusion through a grain boundary in a polycrystalline thin film is easier than a bulk, it is very important to prevent the diffusion through the grain boundary. There are provided two methods to prevent the diffusion through the grain boundary. Firstly, it is to use single crystal which has not a grain boundary or amorphous crystal as a diffusion barrier. Secondly, it is to block the grain boundary by different elements. Blocking the grain boundary in polycrystalline thin film is referred to "stuffing ", and a barrier for stuffing is referred to "stuffed barrier".
The stuffing methods proposed up to now is divided into methods to use N2 and 02. The stuffing method to use N2 is researched to extract N2 at the grain boundary, with depositing a material containing N2 over the limit the thin film can contain. The stuffing method to use 02 is researched to stuff the thin film by exposing the thin film in the air or annealing the thin film in N2 ambient so that 02 diffuses through the grain boundary, after depositing the thin film. However, according to the experimental data of the present invention, the effects of the stuffing with N2 or Q are good to aluminum, but the stuffing with N2 or 02 does not effect on the copper.
This is because the most of 02 contained in titanium nitride (TiN) layer by annealing diffuses along the grain boundary and oxidize the surface of the TiN bulk ,so that the 02 bonds with titanium to form Al203 by reacting easily with aluminum diffused along the grain boundary. However, the 02 bonded with titanium does not react with copper. The reason of such a phenomenon is that aluminum can form aluminum oxide compound by reacting with 02 bonded with titanium, as the enthalpy of formation of aluminum oxide compound is larger than that of titanium oxide compound , whereas copper can not react with 02 bonded with titanium, as the enthalpy of formation of copper oxide compound is smaller than that of titanium oxide compound, as shown in Table 1. Table 1 shows the enthalpy of formation in oxide compound of titanium, aluminum and copper.
Table 1 : Enthalpy of formation in oxide compound of titanium.aluminum and copper.
Figure imgf000007_0001
As described above, thin- filmed TiN layer functions as an execllent stuffed barrier against aluminum, whereas it functions as the non-barrier for copper which permits fast diffusion against copper. Also, as copper hardly react with N2 as well as 02, it is also difficult to improve the efficiency of the TiN layer by adding impurities. Therefore, the demand for developing new materials as a diffusion barrier suitable for copper metallization arises. For example, Ta or TaN with physical vapor deposition (PVD) method is spotlighted as new materials and it is tried to realize to deposit such materials with PVD.
Meanwhile, as a line-of-sight deposition method such a sputtering method, makes non-uniformly the thicknes of submicron unit-contact hole which has large aspect ratio, it is difficult to apply to ultra-high integrated device. Therefore, a research for forming a diffusion barrier using chemical vapor deposition (CVD) having excellent characteristic for step coverage continues to be carried out. As TiN has a melting point of about 3220°C to be thermally stable and low resistive, it has been used for a diffusion barrier between aluminum alloy and silicon substrate. CVD methods for the TiN layer roughly classified into a method using inorganic compounds for source gas, such as titanium tetrachloride (TiCI4) or titanium tetraiodide (Til4) and NH3, and a method using metal-organic compounds for precursor, such as tetrakisdimethylamido titanium (TDMAT) or tetrakisdiethylamido titanium (TDEAT).
Several TiN layers having good characteristics for physical property and step coverage are reported by many researchers, and it is known that such TiN layers can be used successfully for adhesion layers and seeding layer for chemical vapor deposition. However, the reports to characteristics of TiN layers deposited with CVD as a diffusion barrier against copper are few. Also, it is reported that characteristics of such TiN layers are poor.
Meanwhile, as tantalum nitride (TaN) is thermally stable against copper, a research for using the TaN for diffusion layer in copper metallization process has been performed. It is already confirmed that the TaN has an excellent characteristics for the diffusion barrier against copper. Research for the CVD methods of the TaN layer has been performed using inorganic compounds for source gas, such as tantalum pentachloride (TaCI5) or tantalum pentabromide (TaBr5) ,NH3 or and using organic compounds for precursor, such as pentadimethylamido tantalum (PDMAT), pentadiethylamido tantalum (PDEAT) or tertbutylimidotrisdiethylamido tantalum (TBTDET).
However, in a Ta-N group, as there is Ta3N5 thermodynamically more stable than TaN, it is not easy to deposit a TaN layer having good physical property by CVD. Research for tungsten nitride (WN) is not carried out enough.
However, it is expected that as WN has a wide variety of composition range of β-W2N expected to have good characteristics as a diffusion barrier, it is thought that the process windows would be wide, and as there is a advantage of having a precursor which is gaseous WF6, it is favorable to mass production. However, referring to the results of research to now, it has not superior characteristics than the conventional diffusion layers. Particularly, the reports to characteristics of the diffusion barrier against copper are few. Also, the research is being performed so as to form an amorphous diffusion layer to remove completely the grain boundary which acts as a path to diffuse easily for copper. That is, the research for replacing polycrystalline layer with amorphous layer by adding silicon or boron to Ti- N, Ta-N, or W-N group, however, though there is ever deposited a diffusion barrier having good characteristics by PVD, the research for CVD is hardly carried out.
FIGS. 1 to 6 illustrate an embodiment of a method for forming copper interconnect structure according to the present invention. Referring to FIG. 1 , there is provided a portion of a semiconductor device, which includes a substrate 10 and a dielectric film 20 overlying the substrate 10. On the substrate 10, several components may be formed, such as metal oxide semiconductor (MOS), bipolar junction transistor (BJT) , resistor, and the like. Such components are formed before the step shown in FIG. 1.
Meanwhile, the semiconductor device may employ multi-layer wiring structure. In such a case, the substrate 10 may include a metal interconnect layer connecting the components electrically. A dielectric film 20 may be Si02, SiN.,, or doped glass. The dielectric film 20 can be formed using CVD, plasma enhanced chemical vapor deposition (PECVD), and the like. In a preferred embodiment of the present invention, the Si02 is deposited by CVD to form the dielectric film 20.
Referring to FIG. 2, a via pattern 22 is formed on the substrate 10. The via pattern 22 is formed by reactive ion etching using a mask defining its boundary. In a contact hole with which metal wire contacts the components on the substrate 10 or the underlying metal wire, the via pattern 22 is formed to extend to the substrate 10 through the dielectric film 20.
As shown in FIG. 3, the TiN layer 32 is formed to overlie the dielectric film 20 on which the via pattern 22 is formed. In a preferred embodiment, the TiN layer is formed to the thickness of less than 200 anstroms (A). Afterwards, an aluminum layer 34 is formed on the TiN layer 32 by CVD as shown in FIG. 4. In a preferred embodiment, the aluminum layer is deposited to the thickness of about 5 to 20 nanometers (nm). The composite structure of TiN layer 32 and aluminum layer 34 functions as a diffusion barrier. The TiN layer 32 also acts as a seed layer (promoter layer) for CVD-AI and as a etch-stop layer for CMP process.
Referring to FIG. 5, a copper layer 40 is deposited so that the via pattern 22 is filled sufficiently. The deposition of the copper layer 40 is carried out by PVD, electroplating or metal organic chemical vapor deposition (MOCVD). Following the deposition of the copper layer 40, the semiconductor device is planarized as shown in FIG. 6. In a preferred embodiment, the planarization process is performed with chemical mechanical polishing (CMP) by non-selectively removing the copper layer 40, the aluminum layer 34, and the TiN layer 32 . Meanwhile, in an alternative embodiment, the planarization may be performed with non- selective plasma etching process. Upon completing the planarization, the copper pattern 50 is exposed on the dielectric film 20, and the diffusion barrier 30 including the TiN layer 32 and aluminum layer 34 is inserted between the dielectric film 20 and the copper pattern 50. FIGS. 7 to 8 shows experimental data in accordance with the copper interconnect structure of the present invention. In the experiments, a TiN layer is deposited to the thickness of 200 angstroms by pyrolytic deposition using the single precursor of the TDMAT on 8 inch silicon wafer. After the sample is cut to the size of 1x1 inches, aluminum and copper is deposited sequentially using direct current (DC) magnetron sputter and annealed at a pressure of below 5x10"6 torr in a vacuum ambient. The annealing is carried our for about an hour and the annealing temperatures are differentiated with an interval of 50°C at the range of 500 to 700°C. The sheet resistance of the sample is measured with a four-point probe after the annealing is completed . FIG. 7 shows the measured sheet resistance of the samples depending on the thickness of aluminum and the annealing temperature. As shown in FIG. 7, the sample deposted with aluminum to the thickness of above 10 nanometer prevents the diffusion more effectively than the sample A which has not the aluminum layer.
Next, to evaluate the breakdown temperature of the diffusion barrier, secco etching is carried out to the surface of the silicon, after removing the copper layer , aluminum layer, and the TiN layer using a chemical solution. FIGS. 8A to 8D are photographs observed by use of scanning electron microscopy showing etch pits of silicon surface exposed after completion of the etching . FIGS. 8A to 8D correspond to the four kinds of samples A, B, C, and D, respectively, all of which are annealed at a temperature of 650°C. As shown in the drawings, as the thickness of the aluminum layer increases, the density and the size of etch pit decreases abruptly. Referring to the results of the measurement regarding the breakdown temperature, the sample without the aluminum layer is failed after the annealing for an hour at a temperature of 500°C in a vacuum ambient, whereas the sample deposited with aluminum to the thickness of above 10 nanometer is not failed ,even after the annealing for an hour at a temperature of 700° C in a same ambient. This strongly demonstrates that the combination of TiN and aluminum shows a superior diffusion barrier property against copper diffusion.
Meanwhile, although the invention has been descried and illustrated with reference to specific illustrative embodiments thereof, it is not intended that the invention be limited to those illustrative embodiments. Those skilled in the art will appreciate that many obvious modifications can be made without departing from the spirit or essential charcteristics of the present invention. For example, metal other than aluminum, such as zirconium (Zr), titanium (Ti), and chrome (Cr) may be employed for the intermediate metal layer alternatively. Also, though the intermediate metal layer is formed with a single layer in the preferred embodiments, it may be formed with multi-layered structure. Furthermore, the intermediate metal layer may be formed by a process such as PVD, electrode plating, electrodeless plating, wet chemical contamination, and atomic layer deposition (ALD) instead of chemical vapor deposition. Even though titanium nitride (TiN) is illustratively used for the diffusion barrier in the preferred embodiments, tantalum nitride (TaN) or tunsten nitride (WN) may be used for the barrier alternatively. Further, the diffusion barrier may be deposited by chemical deposition, also.
In the description above, the method for forming the copper interconnect has been illustrated in terms of the interconnect extending on the dielectric film without mentioning a contact hole. Actually, however, there may be formed the contact hole with which metal wire contacts the components on the substrate or the underlying metal wire.
In such a contact hole, a diffusion barrier is deposited on the substrate at the bottom of the contact hole on the underlying the metal wire, and an intermediate metal layer is deposited onto the diffusion barrier. A copper layer is then deposited onto the intermediate metal layer. Meanwhile, in an alternative embodiment, it may be possible to form an ohmic contact in the contact hole depositing the intermediate metal layer without the copper layer and employing the copper interconnect structure only in the field region.

Claims

What is claimed is:
1. A method of fabricating semiconductor device employing copper interconnect strcture comprising the steps of: forming a dielectric film overlying a semiconductor substrate; forming a diffusion barrier overlying the dielectric film; forming an intermediate metal layer overlying the diffusion barrier; and forming a copper layer overlying the intermediate metal layer.
2. The method as claimed in claim 1 , further comprising the step of : annealing the semiconductor device having the copper layer so that an oxide compound of an intermediate metal material forming the intermediate metal layer stuff grain boundaries of the diffusion barrier.
3. The method as claimed in claim 1 , wherein the intermediate metal layer is formed by use of one selected from the group consisting of aluminum (Al), zirconium (Zr), titanium (Ti), chrome (Cr), and a combination thereof.
4. The method as claimed in claim 3, wherein the intermediate metal layer consists of multiple layers.
5. The method as claimed in claim 3, wherein the intermediate metal layer is formed with a process selected from the group consisting of PVD, electrode plating, electrodeless plating, wet chemical contamination, and atomic layer deposition.
6. The method as claimed in claim 3, wherein the diffusion barrier is formed by use of one selected from the group consisting of titanium nitride (TiN), tantalum nitride (TaN), and tungsten nitride (WN).
7. Method of fabricating semiconductor device employing copper interconnect structure comprising the steps of: forming a dielectric film overlying a semiconductor substrate; forming a via pattern overlying the dielectric film; forming a diffusion barrier overlying the via pattern using one selected from the group consisting of titanium nitride (TiN), tantalum nitride (TaN), and tungsten nitride (WN); forming an intermediate metal layer overlying the diffusion barrier using one selected from the group consisting of aluminum (Al), zirconium (Zr), titanium (Ti), chrome (Cr), and a combination thereof; forming a copper layer overlying the intermediate metal layer; and annealing the semiconductor device having the copper layer so that an oxide compound of an intermediate metal material forming the intermediate metal layer stuff grain boundaries of the diffusion barrier.
8. Method of fabricating semiconductor device employing copper interconnect structure comprising the steps of: forming a first copper layer overlying a semiconductor substrate; forming a dielectric film overlying the first copper layer; forming a contact hole exposing the first copper layer by etching the dielectric film; forming a diffusion barrier overlying the dielectric film and the contact hole using one selected from the group consisting of titanium nitride (TiN), tantalum nitride (TaN), and tungsten nitride (WN); forming an intermediate metal layer overlying the diffusion barrier using the one selected from the group consisting of aluminum (Al), zirconium (Zr), titanium (Ti), chrome (Cr), and a combination thereof; forming a second copper layer overlying the intermediate metal layer; and annealing the semiconductor device having the copper layer so that an oxide compound of an intermediate metal material forming the intermediate metal layer stuff grain boundaries of the diffusion barrier.
PCT/KR1999/000847 1999-06-05 1999-12-30 Method of fabricating semiconductor device employing copper interconnect structure WO2000075964A2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1999/20828 1999-06-05
KR1019990020828A KR20010001543A (en) 1999-06-05 1999-06-05 Method of Fabricating Semiconductor Device Employing Copper Interconnect Structure

Publications (2)

Publication Number Publication Date
WO2000075964A2 true WO2000075964A2 (en) 2000-12-14
WO2000075964A3 WO2000075964A3 (en) 2001-03-15

Family

ID=19590309

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/KR1999/000847 WO2000075964A2 (en) 1999-06-05 1999-12-30 Method of fabricating semiconductor device employing copper interconnect structure

Country Status (2)

Country Link
KR (1) KR20010001543A (en)
WO (1) WO2000075964A2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002067319A2 (en) * 2000-12-06 2002-08-29 Asm International N.V. Copper interconnect structure having diffusion barrier
WO2003028090A2 (en) * 2001-09-26 2003-04-03 Applied Materials, Inc. Integration of barrier layer and seed layer
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US7438760B2 (en) 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
CN111105990A (en) * 2018-10-29 2020-05-05 株洲中车时代电气股份有限公司 Thin film structure suitable for copper metallized semiconductor device and preparation method thereof

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101050377B1 (en) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 Improved process for deposition of semiconductor films
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
KR20080089403A (en) 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 Epitaxial deposition of doped semiconductor materials
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
KR100877268B1 (en) * 2007-06-25 2009-01-07 주식회사 동부하이텍 Method for improving interconnection between aluminum and copper in semiconductor metal line process
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7655543B2 (en) 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5275973A (en) * 1993-03-01 1994-01-04 Motorola, Inc. Method for forming metallization in an integrated circuit
US5592024A (en) * 1993-10-29 1997-01-07 Kabushiki Kaisha Toshiba Semiconductor device having a wiring layer with a barrier layer
US5595937A (en) * 1995-04-13 1997-01-21 Nec Corporation Method for fabricating semiconductor device with interconnections buried in trenches
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
US5747360A (en) * 1993-09-17 1998-05-05 Applied Materials, Inc. Method of metalizing a semiconductor wafer
JPH07283219A (en) * 1994-04-13 1995-10-27 Sanyo Electric Co Ltd Manufacture of semiconductor device
JP3911643B2 (en) * 1995-07-05 2007-05-09 富士通株式会社 Method for forming buried conductive layer
KR100336655B1 (en) * 1995-12-15 2002-11-07 주식회사 하이닉스반도체 Method for forming metal wiring in semiconductor device
JP4311771B2 (en) * 1996-11-19 2009-08-12 Okiセミコンダクタ株式会社 Manufacturing method of semiconductor device
KR19980060532A (en) * 1996-12-31 1998-10-07 김영환 Metal wiring formation method of semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5275973A (en) * 1993-03-01 1994-01-04 Motorola, Inc. Method for forming metallization in an integrated circuit
US5592024A (en) * 1993-10-29 1997-01-07 Kabushiki Kaisha Toshiba Semiconductor device having a wiring layer with a barrier layer
US5595937A (en) * 1995-04-13 1997-01-21 Nec Corporation Method for fabricating semiconductor device with interconnections buried in trenches
US5674787A (en) * 1996-01-16 1997-10-07 Sematech, Inc. Selective electroless copper deposited interconnect plugs for ULSI applications

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US7102235B2 (en) 1999-10-15 2006-09-05 Asm International N.V. Conformal lining layers for damascene metallization
WO2002067319A2 (en) * 2000-12-06 2002-08-29 Asm International N.V. Copper interconnect structure having diffusion barrier
WO2002067319A3 (en) * 2000-12-06 2003-04-17 Asm Microchemistry Oy Copper interconnect structure having diffusion barrier
US6936535B2 (en) 2000-12-06 2005-08-30 Asm International Nv Copper interconnect structure having stuffed diffusion barrier
US7732331B2 (en) 2000-12-06 2010-06-08 Asm International N.V. Copper interconnect structure having stuffed diffusion barrier
WO2003028090A2 (en) * 2001-09-26 2003-04-03 Applied Materials, Inc. Integration of barrier layer and seed layer
WO2003028090A3 (en) * 2001-09-26 2003-09-12 Applied Materials Inc Integration of barrier layer and seed layer
US7438760B2 (en) 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
CN111105990A (en) * 2018-10-29 2020-05-05 株洲中车时代电气股份有限公司 Thin film structure suitable for copper metallized semiconductor device and preparation method thereof

Also Published As

Publication number Publication date
WO2000075964A3 (en) 2001-03-15
KR20010001543A (en) 2001-01-05

Similar Documents

Publication Publication Date Title
US6936535B2 (en) Copper interconnect structure having stuffed diffusion barrier
US6518167B1 (en) Method of forming a metal or metal nitride interface layer between silicon nitride and copper
US6368954B1 (en) Method of copper interconnect formation using atomic layer copper deposition
US6376371B1 (en) Method of forming a semiconductor device
US8039966B2 (en) Structures of and methods and tools for forming in-situ metallic/dielectric caps for interconnects
US7154178B2 (en) Multilayer diffusion barrier for copper interconnections
US7799674B2 (en) Ruthenium alloy film for copper interconnects
JP3865599B2 (en) Method for forming an amorphous conductive diffusion barrier
US7960832B2 (en) Integrated circuit arrangement with layer stack
US7402883B2 (en) Back end of the line structures with liner and noble metal layer
US8946074B2 (en) Method of making interconnect structure
WO2000075964A2 (en) Method of fabricating semiconductor device employing copper interconnect structure
JP2009231497A (en) Semiconductor device and manufacturing method therefor
US6716744B2 (en) Ultra thin tungsten metal films used as adhesion promoter between barrier metals and copper
US6359160B1 (en) MOCVD molybdenum nitride diffusion barrier for CU metallization
US20070096221A1 (en) Semiconductor device comprising copper-based contact plug and a method of forming the same
US5795796A (en) Method of fabricating metal line structure
US6724087B1 (en) Laminated conductive lines and methods of forming the same
JP2542617B2 (en) Method for manufacturing semiconductor device
TWI323497B (en) Method of fabricating a dual-damascene copper structure
JP4065351B2 (en) Method for producing an insulating protective barrier film based on Ti-Si-N and Ti-BN with low defect density
US7169706B2 (en) Method of using an adhesion precursor layer for chemical vapor deposition (CVD) copper deposition
WO2002037558A1 (en) Semiconductor device and its manufacturing method
US20040152330A1 (en) Tunneling barrier for a copper damascene via
KR20000019452A (en) Method for forming tin film having characteristic improved

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): JP US

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

121 Ep: the epo has been informed by wipo that ep was designated in this application
AK Designated states

Kind code of ref document: A3

Designated state(s): JP US

AL Designated countries for regional patents

Kind code of ref document: A3

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE

122 Ep: pct application non-entry in european phase