WO1990010092A1 - Appareil d'ecoulement a stagnation modifie pour le depot en phase vapeur par procede chimique, assurant une regulation excellente du depot - Google Patents

Appareil d'ecoulement a stagnation modifie pour le depot en phase vapeur par procede chimique, assurant une regulation excellente du depot Download PDF

Info

Publication number
WO1990010092A1
WO1990010092A1 PCT/US1990/000957 US9000957W WO9010092A1 WO 1990010092 A1 WO1990010092 A1 WO 1990010092A1 US 9000957 W US9000957 W US 9000957W WO 9010092 A1 WO9010092 A1 WO 9010092A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
distributor
porous
porous distributor
susceptor
Prior art date
Application number
PCT/US1990/000957
Other languages
English (en)
Inventor
Julian Szekely
Amjad H. Dilawari
Original Assignee
Massachusetts Institute Of Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Massachusetts Institute Of Technology filed Critical Massachusetts Institute Of Technology
Publication of WO1990010092A1 publication Critical patent/WO1990010092A1/fr

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45568Porous nozzles

Definitions

  • the present invention relates most generally to chemical vapor deposition (CVD) reactor systems.
  • CVD chemical vapor deposition
  • the same principles may be applied equally aptly to organometallic vapor phase epitaxy technology.
  • CVD reactor systems may be used for the deposition of amorphous, homoepitaxial, heteroepitaxial and polycrystalline films of semiconductors, such as silicon or gallium arsenide, insulators and metals on the surface of semiconductor substrates.
  • semiconductors such as silicon or gallium arsenide, insulators and metals
  • the underlying principle of this technology is that substrate wafers approximately 1-6 inches in diameter, possibly larger contained in a reactor vessel are heated to the desired reaction temperature and then contacted with a reactant gas. Film layers are deposited as a result of a thermal decomposition of reactant gas compounds as they impinge on the heated substrate.
  • SUBSTITUTESHEET films i.e., films uniform in thickness and composition and free of contaminants. Such high quality films are necessary for subsequent fabrication of LSI and VLSI integrated circuits. Film quality demands are particularly stringent for superlattice and hererostructure devices.
  • Typical prior art reactor configurations are illustrated in Fig. 1. These include horizontal reactors, Fig. la, and vertical reactors, Fig. lb, lc, and Id.
  • Fig. la is a cross-sectional view of a horizontal CVD reactor 10. Reactant gases flow through a reaction vessel 11 as indicated by a flow arrow 12. An assembly of RF coils 14 heats the quartz reaction vessel 11 to prevent deposition of product materials on the reaction vessel 11. Reactant gases impinge upon a heated substrate 18 where they undergo thermal decomposition depositing a thin film of desired material. The substrate is supported at an angle to the gas flow and heated by a susceptor 19.
  • Fig. lb is a cross-sectional view of a vertical barrel-type reactor 20 such as that produced by the Spire Corporation, Bedford, MA.
  • reactant gases flow through a reaction vessel 22 in a downward fashion as indicated by a gas flow arrow 23.
  • Reactant gases impinge upon heated substrates 24 and a film is deposited as a result of thermal decomposition of these gases.
  • the substrates 24 are supported on a susceptor .26 and heated by various means. This configuration provides for rotation of the susceptor about an axis concentric with gas flow indicated by an arrow 28. This rotation provides for greater uniformity in deposited films.
  • Fig. lc is a cross-sectional view of another vertical CVD prior art reactor 30.
  • reactant gases flow downward as indicated by an arrow 32.
  • Contained within a quartz reaction vessel 34 is a susceptor 36 which supports and heats a substrate 37.
  • An RF coil 38 heats the walls of the reaction vessel 34 to avoid deposition of film materials on the reaction vessel walls.
  • Fig. id is a cross-sectional view of another prior art vertical CVD reactor 40.
  • gas flow is downward as indicated by an arrow 42.
  • a susceptor 46 for support and heating of a substrate 47.
  • film uniformity is enhanced by rotation of the susceptor 46 in the direction indicated by an arrow 48.
  • Efforts to confine thermal decomposition of reactant gases to the substrate and thus minimize the problem of premature reactive gas losses by reducing peripheral heating of the inlet manifold include a perforated radiation shield. It consists of a pair of plates coated with a heat reflecting film which is
  • SUBSTITUTE SHEET interposed between the inlet gas manifold and the substrate to protect incoming reactant gases from thermal radiation emanating from the susceptor and substrates, as disclosed in U.S. Patent No. 3,196,822.
  • This radiation shield also allows reaction product gases to pass out of the reactor. Further, this patent teaches that such a radiation shield helps to prevent forced convection in the vicinity of the substrate.
  • Susceptor rotation as depicted in Fig. lb and Id, is another commonly used mechanism for attaining film spatial uniformity. Such rotating susceptor designs require leak proof rotating seals to minimize system contamination and thus significantly complicate reactor design. For the most part, these existing processes have not been fully successful in production of spatially uniform, high quality wafers.
  • FIG. 2 shows a schematic illustration of the so-called Spire reactor (Spire Corporation, Bedford, MA), together with the recirculating flow loops which may exist in such a system.
  • the recirculating loops 21 marked with the double arrows 25 are due to natural convection, which, if not properly controlled, e.g., by shaping the reactor vessel and carefully adjusting the gas flow .rate, could lead to marked -non-uniformities in the deposition rates.
  • The..other geometric arrangements that are currently employed in practice suffer from similar drawbacks.
  • Susceptor rotation has been utilized to produce orderly laminar flow over the substrate surface (U.S. Patent No. 4,772,356) which insures that each portion of active gas impinges only once on a substrate yielding a uniform deposit.
  • MacDonald U.S. Patent No. 3,916,822 utilized a perforated radiation shield between the reactant gas inlet manifold and the substrate to reduce convection and protect inlet gases from heat emanating from the susceptor.
  • a quartz insert has been introduced into the inlet gas stream (Landgren et al., J. Crystal Growth, 77 (1986) 67-72) to spread the gas flow uniformly across the susceptor.
  • the CVD reactor specified by U.K. Patent Application GB 2181460 achieves stagnation point flow by distributing reactant gas through a multiplicity of apertures and also utilizes susceptor rotation to enhance further film uniformity.
  • a reactor includes a porous distributor interposed between the inlet gas stream and a substrate.
  • the distance between this porous distributor and the substrate is small compared to a dimension of the substrate. This distance is optimized to reduce premature thermal decomposition of the gaseous reactant precursor.
  • a distributor to substrate distance of between 5-30 mm may be optimal in many circumstances, e.g., when the substrate and the distributor have diameters in the 150 mm range.
  • a uniform thickness plug insures a substantially uniform gas flow rate toward the substrate.
  • the porous distributor has a variable thickness for regulating the carrier gas flow velocity profile at the substrate.
  • the porous plug is cooled by embedded cooling coils.
  • the reaction vessel of the invention provides for the introduction of reactant gas through a porous plug distributor positioned close to the substrate compared to a dimension of the substrate which insures spatially uniform gas flow toward the substrate with the uniform thickness plug, configuration. Since this distance between the porous plug and susceptor is relatively small, the active volume containing reactant gases is also small. Thus, the rapid switching of gases necessary to produce abrupt interfaces is readily accomplished.
  • This porous plug provides the largest resistance to gas flow of any element in the reactor and the- variation in its thickness can be used to impose any desired approach velocity of the carrier gas stream, which contains the gaseous reactants. Gas flow uniformity near the substrate surface may be further • enhanced by • introduction of slow susceptor rotation. .Provision is -' made for the cooling of this porous plug distributor either through the flow of incoming reactant gases or by installation of cooling coils within the porous plug.
  • the reactor of the invention may be used in the performance of atmospheric pressure, low pressure and plasma assisted CVD processes.
  • Fig. la is a cross-sectional view of a prior art horizontal CVD reactor.
  • Fig. lb is a cross-sectional view of a prior art vertical barrel type CVD reactor, such as that produced by the Spire Corporation, Bedford, MA.
  • Fig. lc is a cross-sectional view of another vertical CVD reactor design.
  • Fig. Id is a cross-sectional view of a vertical CVD reactor.
  • Fig. 2 is a schematic illustration of a section of a prior art Spire (Spire Corporation, Bedford, MA) type reactor with recirculating flow loops.
  • Fig. 3a is a cross-sectional view of a CVD reactor according to the invention incorporating a porous plug diffuser of uniform thickness.
  • Fig. 3b is a cross-sectional view of a CVD reactor according to the invention incorporating a porous plug diffuser where the thickness variation is concave.
  • Fig. 3c is a cross-sectional view of a CVD reactor according to the invention incorporating a porous plug diffuser where the thickness variation is convex.
  • Fig. 3d is a cross-sectional view of a CVD reactor according to the invention where two or more reactant gases are combined in a mixing zone before . passing through the porous plug.
  • Fig. 3e is a cross-sectional view of a CVD reactor according to the invention incorporating a porous plug diffuser in a plasma assisted CVD process.
  • Fig. 4 is a detail view of the diffuser assembly showing an alternate embodiment of the porous plug, allowing for external cooling (e.g., by embedded cooling coils) .
  • Fig. 5 is a detail view of the diffuser assembly showing an alternate embodiment of the porous plug where the outer surface of the porous distributor is coated with a high emissivity coating.
  • Fig. 6 is a graph showing computed streamline patterns in one side of a reactor of the invention.
  • Fig. 7 is a graph depicting computed temperature profiles in one side of an apparatus constructed according to the invention.
  • Fig. 8a is a graph of the computed deposition rate as a function of radial distance from the center of a wafer substrate.
  • Fig. 8b is a superposition of the deposition rate profile of Fig. 8a on a wafer substrate.
  • Description of Preferred Embodiment The present invention is designed to produce films having superior uniformity -with respect to both thickness and composition than the prior art reactors previously described.
  • a cross-section of a CVD reactor 50 according to the present invention is shown incorporating a porous plug distributor 54.
  • This plug may be Of uniform thickness ' (Fig. 3a) or * may have concave (Fig ⁇ 3b) ' or convex (Fig. 3c) shape.
  • a suitable material for the porous distributor plug 54 is a porous fritted glass or porous refractory ceramic such as alumina, silica or zirconia.
  • a reactant gas mixture enters the CVD reactor 50 at an inlet manifold 55. A direction of the
  • SUBSTITUTESHEET gas flow is given by an arrow 56 which is upward in these Figs. 3a-3e and in the preferred mode of operation. Upward gas flow is preferable so as to minimize buoyancy effects. It may also be downward in an alternative mode of operation.
  • Reactant gases then pass through the porous plug distributor 54 which serves to insure that a substantially spatially " uniform gas flow rate is directed toward a substrate 57 for the uniform thickness plug (Fig. 3a).
  • the concave (Fig. 3b) and convex (Fig. 3c) plugs are used to impose a desired, spatially varying gas velocity profile at the substrate surface.
  • the substrate 57 is supported on a susceptor 58 which is stationary and serves to maintain the substrate 57 at an elevated temperature such that pyrolysis of the reactant inlet gases may occur to result in deposition of a film of desired composition.
  • the distance between the variable thickness porous distributor 54 and the substrate 57 is small compared to a dimension of the wafer substrate 57.
  • the distance between distributor and substrate be less than the diameter of the substrate wafer and preferably significantly less than the diameter of the substrate wafer, e.g. less than one half of the wafer diameter.
  • the dimensions of the porous distributor 54, susceptor 58 and a containment vessel 59 should be comparable.
  • the distance between the porous plug distributor 54 and the substrate 57 /susceptor 58 assembly is also selected to minimize the temperature of the porous distributor 54 where, more specifically, this distance is in the range of 10 mm for a suceptor having a diameter in the range of 160-180 mm.
  • the porous plug 54 may have a convex (Fig. 3c) or concave (Fig. 3b) shape depending upon the desired - gas velocity profile.
  • the porous plug diffuser 54 can be used to restore controlled, spatially uniform gas flow after mixing of constituent reactant gases in deposition processes involving two or more reactant gases. Plural reactant gases may be mixed before passing through the distributor 54 by a stirring apparatus 51 shown schematically in Fig. 3d.
  • the porous plug diffuser 54 can also be used to condition gas flow in a reactor where a plasma 51 is created between an upper electrode 52 and a lower electrode 53 in a plasma assisted CVD process as shown in Fig. 3e.
  • the temperature of the porous plug distributor is minimized in order to reduce premature heating and pyrolysis of the inlet reactant gases.
  • This cooling may be accomplished simply by the flow of the reactant gases over the porous plug distributor. Cooling may also be accomplished as depicted in Fig. 4.
  • an array of cooling coils 60 are embedded in the variable thickness porous plug distributor 54.
  • a gas, such as helium (not shown) is circulated through the coils by standard circulation apparatus, also not shown.
  • An outer surface 61 (Fig; 5) of the variable thickness porous distributor " -54 ' is treated with a coating 62 of an inert, noble metal such as gold, having high e issivity.
  • Table 1 shows a typical set of operating conditions for the production of gallium arsenide thin films.
  • Diameter of inlet 140 mm Diameter of susceptor 140 mm
  • FIG. 6 A representative computed streamline pattern 70. shown in Fig. 6 demonstrates the improved gas flow which can be achieved with this design. Gas recirculation is absent.
  • a representative steep temperature profile 80 shown in Fig. 7 demonstrates the improved temperature control possible with the apparatus of this invention. Most importantly, one can obtain extremely uniform spatial deposition rates (up to 0.06 m from the center of a wafer substrate for this particular computer simulation) with this reactor configuration as evidenced in the plot shown in Fig. 8. The actual numerical value of the deposition rates computed here is within the range normally encountered in many vapor phase processing applications in the semiconductor industry. It is possible that higher deposition rates may also be produced in this equipment, while maintaining wafer quality.
  • a uniform film is grown on a substrate by chemical vapor deposition where gas flow may be directed upward or downward with respect to the substrate position. It is particularly significant that this technique can be useful for the growth of superlattices where sharp interfaces between layers are required. This technique will allow for production of such multilayers by rapidly changing input gas composition in a continuous growth process. ⁇ '

Abstract

L'invention concerne un caisson de réaction (50) permettant la dilatation de minces films de haute qualité, uniformes dans l'espace en ce qui concerne à la fois l'épaisseur et la composition. Une chambre renferme le substrat (57) sur lequel les films sont déposés. Un distributeur poreux de gaz d'admission (54) achemine du gaz vers le substrat. La distance entre le distributeur poreux et le substrat est faible comparée à une dimension de la tranche, et elle est sélectionnée pour réduire au minimum la température du distributeur poreux, réduisant ainsi la dégradation thermique prématurée des gaz réactifs. On peut utiliser le distributeur pour conditionner l'écoulement de gaz dans une technique CVD (de dépôt en phase gazeuse par procédé chimique) assistée par du plasma. Cette conception élimine le recyclage du gaz, tout en maintenant des profils de température constants. Ces caractéristiques, ainsi qu'un très faible volume actif occupé par les gaz réactifs, permettent des changements très brusques de composition par interruption rapide des gaz réactifs, et permettent le dépôt continue de structures multi-couches.
PCT/US1990/000957 1989-02-24 1990-02-22 Appareil d'ecoulement a stagnation modifie pour le depot en phase vapeur par procede chimique, assurant une regulation excellente du depot WO1990010092A1 (fr)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US31555489A 1989-02-24 1989-02-24
US315,554 1989-02-24

Publications (1)

Publication Number Publication Date
WO1990010092A1 true WO1990010092A1 (fr) 1990-09-07

Family

ID=23224961

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US1990/000957 WO1990010092A1 (fr) 1989-02-24 1990-02-22 Appareil d'ecoulement a stagnation modifie pour le depot en phase vapeur par procede chimique, assurant une regulation excellente du depot

Country Status (1)

Country Link
WO (1) WO1990010092A1 (fr)

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5284519A (en) * 1990-05-16 1994-02-08 Simon Fraser University Inverted diffuser stagnation point flow reactor for vapor deposition of thin films
US5458689A (en) * 1992-01-07 1995-10-17 Fujitsu Limited Apparatus and method for growing semiconductor crystal
US5522933A (en) * 1994-05-19 1996-06-04 Geller; Anthony S. Particle-free microchip processing
FR2727693A1 (fr) * 1994-12-06 1996-06-07 Centre Nat Rech Scient Reacteur pour le depot de couches minces en phase vapeur (cvd)
WO1996028585A1 (fr) * 1995-03-10 1996-09-19 Advanced Technology Materials, Inc. Ensemble d'evacuation de type pomme de douche permettant l'apport d'une vapeur de reactif source a un substrat et procede de depot chimique en phase vapeur correspondant
US5728223A (en) * 1995-06-09 1998-03-17 Ebara Corporation Reactant gas ejector head and thin-film vapor deposition apparatus
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
WO2003071011A1 (fr) * 2002-02-22 2003-08-28 Aixtron Ag Procede et dispositif pour deposer des couches de semi-conducteur
EP1354981A2 (fr) * 2002-04-19 2003-10-22 Ulvac, Inc. Dispositif et procédé pour déposer des films
US7918938B2 (en) 2006-01-19 2011-04-05 Asm America, Inc. High temperature ALD inlet manifold
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
WO2018128902A1 (fr) * 2017-01-05 2018-07-12 Fuel Tech, Inc. Processus et appareil de génération de gaz ammoniac à la demande, compact, controlé
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
CN113818005A (zh) * 2020-06-19 2021-12-21 拓荆科技股份有限公司 一种薄膜制备设备及方法
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
US11830731B2 (en) 2019-10-22 2023-11-28 Asm Ip Holding B.V. Semiconductor deposition reactor manifolds

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3394390A (en) * 1965-03-31 1968-07-23 Texas Instruments Inc Method for making compond semiconductor materials
GB1189344A (en) * 1966-06-20 1970-04-22 Matsushita Electronics Corp Process and Apparatus for Depositing Refractory Metals
US3603284A (en) * 1970-01-02 1971-09-07 Ibm Vapor deposition apparatus
US3996025A (en) * 1974-08-14 1976-12-07 Siemens Aktiengesellschaft Apparatus for distributing flowing media from one flow cross section to a flow section different therefrom
US4051382A (en) * 1975-07-18 1977-09-27 Tokyo Shibaura Electric Co., Ltd. Activated gas reaction apparatus
US4313783A (en) * 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
US4365588A (en) * 1981-03-13 1982-12-28 Rca Corporation Fixture for VPE reactor
US4625678A (en) * 1982-05-28 1986-12-02 Fujitsu Limited Apparatus for plasma chemical vapor deposition
DE3635647A1 (de) * 1985-11-04 1987-05-07 Voest Alpine Ag Plasmareaktor zum aetzen von leiterplatten od. dgl.
WO1987007310A1 (fr) * 1986-05-19 1987-12-03 Novellus Systems, Inc. Appareil de depot
US4745088A (en) * 1985-02-20 1988-05-17 Hitachi, Ltd. Vapor phase growth on semiconductor wafers
US4747368A (en) * 1985-05-17 1988-05-31 Mitel Corp. Chemical vapor deposition apparatus with manifold enveloped by cooling means

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3394390A (en) * 1965-03-31 1968-07-23 Texas Instruments Inc Method for making compond semiconductor materials
GB1189344A (en) * 1966-06-20 1970-04-22 Matsushita Electronics Corp Process and Apparatus for Depositing Refractory Metals
US3603284A (en) * 1970-01-02 1971-09-07 Ibm Vapor deposition apparatus
US3996025A (en) * 1974-08-14 1976-12-07 Siemens Aktiengesellschaft Apparatus for distributing flowing media from one flow cross section to a flow section different therefrom
US4051382A (en) * 1975-07-18 1977-09-27 Tokyo Shibaura Electric Co., Ltd. Activated gas reaction apparatus
US4313783A (en) * 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
US4365588A (en) * 1981-03-13 1982-12-28 Rca Corporation Fixture for VPE reactor
US4625678A (en) * 1982-05-28 1986-12-02 Fujitsu Limited Apparatus for plasma chemical vapor deposition
US4745088A (en) * 1985-02-20 1988-05-17 Hitachi, Ltd. Vapor phase growth on semiconductor wafers
US4747368A (en) * 1985-05-17 1988-05-31 Mitel Corp. Chemical vapor deposition apparatus with manifold enveloped by cooling means
DE3635647A1 (de) * 1985-11-04 1987-05-07 Voest Alpine Ag Plasmareaktor zum aetzen von leiterplatten od. dgl.
WO1987007310A1 (fr) * 1986-05-19 1987-12-03 Novellus Systems, Inc. Appareil de depot

Cited By (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5284519A (en) * 1990-05-16 1994-02-08 Simon Fraser University Inverted diffuser stagnation point flow reactor for vapor deposition of thin films
US5772757A (en) * 1992-01-07 1998-06-30 Fujitsu Limited Apparatus and method for growing semiconductor crystal
US5458689A (en) * 1992-01-07 1995-10-17 Fujitsu Limited Apparatus and method for growing semiconductor crystal
US5522933A (en) * 1994-05-19 1996-06-04 Geller; Anthony S. Particle-free microchip processing
FR2727693A1 (fr) * 1994-12-06 1996-06-07 Centre Nat Rech Scient Reacteur pour le depot de couches minces en phase vapeur (cvd)
WO1996017973A1 (fr) * 1994-12-06 1996-06-13 Centre National De La Recherche Scientifique Reacteur pour le depot de couches minces en phase vapeur (cvd)
WO1996028585A1 (fr) * 1995-03-10 1996-09-19 Advanced Technology Materials, Inc. Ensemble d'evacuation de type pomme de douche permettant l'apport d'une vapeur de reactif source a un substrat et procede de depot chimique en phase vapeur correspondant
US5653806A (en) * 1995-03-10 1997-08-05 Advanced Technology Materials, Inc. Showerhead-type discharge assembly for delivery of source reagent vapor to a substrate, and CVD process utilizing same
US5728223A (en) * 1995-06-09 1998-03-17 Ebara Corporation Reactant gas ejector head and thin-film vapor deposition apparatus
US5741363A (en) * 1996-03-22 1998-04-21 Advanced Technology Materials, Inc. Interiorly partitioned vapor injector for delivery of source reagent vapor mixtures for chemical vapor deposition
US6010748A (en) * 1996-03-22 2000-01-04 Advanced Technology Materials, Inc. Method of delivering source reagent vapor mixtures for chemical vapor deposition using interiorly partitioned injector
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
WO2003071011A1 (fr) * 2002-02-22 2003-08-28 Aixtron Ag Procede et dispositif pour deposer des couches de semi-conducteur
EP1354981A2 (fr) * 2002-04-19 2003-10-22 Ulvac, Inc. Dispositif et procédé pour déposer des films
EP1354981A3 (fr) * 2002-04-19 2004-03-17 Ulvac, Inc. Dispositif et procédé pour déposer des films
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
US8465801B2 (en) 2003-08-29 2013-06-18 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
US8784563B2 (en) 2003-08-29 2014-07-22 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
US8372201B2 (en) 2006-01-19 2013-02-12 Asm America, Inc. High temperature ALD inlet manifold
US7918938B2 (en) 2006-01-19 2011-04-05 Asm America, Inc. High temperature ALD inlet manifold
US10370761B2 (en) 2011-10-28 2019-08-06 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US20170121818A1 (en) 2011-10-28 2017-05-04 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US11208722B2 (en) 2011-12-27 2021-12-28 Asm Ip Holding B.V. Vapor flow control apparatus for atomic layer deposition
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US11377737B2 (en) 2016-06-01 2022-07-05 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
WO2018128902A1 (fr) * 2017-01-05 2018-07-12 Fuel Tech, Inc. Processus et appareil de génération de gaz ammoniac à la demande, compact, controlé
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US11814727B2 (en) 2017-10-16 2023-11-14 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
US11830731B2 (en) 2019-10-22 2023-11-28 Asm Ip Holding B.V. Semiconductor deposition reactor manifolds
CN113818005A (zh) * 2020-06-19 2021-12-21 拓荆科技股份有限公司 一种薄膜制备设备及方法

Similar Documents

Publication Publication Date Title
WO1990010092A1 (fr) Appareil d'ecoulement a stagnation modifie pour le depot en phase vapeur par procede chimique, assurant une regulation excellente du depot
US11795545B2 (en) Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US6197121B1 (en) Chemical vapor deposition apparatus
US6113984A (en) Gas injection system for CVD reactors
US4421786A (en) Chemical vapor deposition reactor for silicon epitaxial processes
US6297522B1 (en) Highly uniform silicon carbide epitaxial layers
US5443647A (en) Method and apparatus for depositing a refractory thin film by chemical vapor deposition
EP0502209B1 (fr) Procede et appareil pour former par croissance des cristaux de composes semi-conducteurs
US5246500A (en) Vapor phase epitaxial growth apparatus
US5891251A (en) CVD reactor having heated process chamber within isolation chamber
US4082865A (en) Method for chemical vapor deposition
US5525157A (en) Gas injectors for reaction chambers in CVD systems
US6902622B2 (en) Systems and methods for epitaxially depositing films on a semiconductor substrate
US4800105A (en) Method of forming a thin film by chemical vapor deposition
US20030049372A1 (en) High rate deposition at low pressures in a small batch reactor
KR100803445B1 (ko) 박막 균일성을 제어하기 위한 방법 및 그 방법으로 제조된제품
EP0823491B1 (fr) Système d'injection de gaz pour réacteurs CVD
KR20070100120A (ko) 균일한 가스 전달을 반응기에 제공하기 위한 방법 및 장치
US5261960A (en) Reaction chambers for CVD systems
KR100767798B1 (ko) 화학증착장치 및 화학증착방법
US5096534A (en) Method for improving the reactant gas flow in a reaction chamber
WO1996030564A1 (fr) Procede et appareil de configuration d'un reacteur epitaxial permettant de reduire le temps de preparation et d'ameliorer la qualite des couches
EP0473067A1 (fr) Réacteur pour le traitement de plaquettes
US6013319A (en) Method and apparatus for increasing deposition quality of a chemical vapor deposition system
US5044315A (en) Apparatus for improving the reactant gas flow in a reaction chamber

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A1

Designated state(s): JP