US6375750B1 - Plasma enhanced chemical processing reactor and method - Google Patents

Plasma enhanced chemical processing reactor and method Download PDF

Info

Publication number
US6375750B1
US6375750B1 US09/575,217 US57521700A US6375750B1 US 6375750 B1 US6375750 B1 US 6375750B1 US 57521700 A US57521700 A US 57521700A US 6375750 B1 US6375750 B1 US 6375750B1
Authority
US
United States
Prior art keywords
substrate support
plasma
wafer
processing chamber
reactor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
US09/575,217
Inventor
Ron van Os
William J. Durbin
Richard H. Matthiesen
Dennis C. Fenske
Eric D. Ross
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US09/575,217 priority Critical patent/US6375750B1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WJ SEMICONDUCTOR EQUIPMENT GROUP, INC.
Priority to US09/994,008 priority patent/US20020078893A1/en
Application granted granted Critical
Publication of US6375750B1 publication Critical patent/US6375750B1/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating

Definitions

  • This invention relates to a reactor and method for processing semiconductor integrated circuits. More particularly, the invention relates to a plasma enhanced reactor and method capable of performing processing operations including depositing uniform films or layers on the surface of integrated circuits by plasma enhanced chemical vapor deposition (PECVD), film etchback, reactor self-clean, and simultaneous etch and deposit operations.
  • PECVD plasma enhanced chemical vapor deposition
  • film etchback film etchback
  • reactor self-clean reactor self-clean
  • simultaneous etch and deposit operations simultaneous etch and deposit operations.
  • the processing of semiconductor wafers and other integrated circuits includes critical manufacturing steps such as etching wafer surfaces and depositing layers of material on wafer surfaces to form device components, interconnecting lines, dielectrics, insulating barriers and the like.
  • Various systems have been employed to deposit layers of material and the like on the surface of integrated circuits, and often such layers are formed by chemical vapor deposition (CVD).
  • CVD chemical vapor deposition
  • a conventional thermal CVD process deposits a stable chemical compound on the surface of a wafer by thermal reaction of certain gaseous chemicals.
  • Various CVD reactors have been used in the art including low pressure CVD systems and atmospheric pressure CVD systems.
  • PECVD plasma enhanced CVD systems
  • PECVD systems generally operate by disassociation and ionization of gaseous chemicals.
  • the high electron temperatures associated with the plasma increase the density of the disassociated species available for deposition on the wafer surface. Accordingly, such systems are able to operate at lower temperatures than conventional thermal CVD systems.
  • Such lower temperature processes are desirable and minimize diffusion of shallow junctions and inter-diffusion of metals contained within the integrated circuits.
  • PECVD systems are suitable for forming multiple dielectric layers to be used to isolate stacked device features as device densities increase. When forming such multilayer dielectric layers it is desirable to provide a layer with good gap fill, isolation, stress and step coverage properties. These properties become more difficult to attain as device dimensions shrink.
  • the reactor In PECVD systems, the reactor is typically operated at low pressures during processing of the semiconductors. Such low pressures present particular gas flow dynamics considerations that must be addressed With low pressures, the collision rate of the active species is relatively low and the mean-free path of the species is relatively long. Accordingly, it is desirable to provide a reactor capable of uniform, controlled gas flow within the process chamber, across the wafer, and to the exhaust, thus providing uniform processing of the wafer. Moreover, other operating pressures may be used for various processes, and thus it is desirable for the reactor to be capable of operating over a large pressure range.
  • PECVD plasma enhanced chemical vapor deposition
  • Another object of this invention is to provide a reactor which is capable of operating over a wide pressure range.
  • Another object of this invention is to provide a reactor capable of depositing desired films and simultaneously etching such films.
  • Yet another object of the invention is to provide a reactor capable of self-cleaning.
  • a related object of this invention is to provide a reactor which improves the quality of films deposited on wafers.
  • the reactor herein disclosed generally comprising a plasma chamber communicating with a process chamber.
  • the plasma chamber includes a first gas injection manifold for receiving at least a first gas; and a source of electromagnetic energy which excites the gas to form a plasma.
  • the process chamber includes a wafer support for supporting a wafer to be processed, and a second gas manifold which encircles the wafer support and directs reactive gases toward the wafer support.
  • the plasma generated in the plasma chamber extends into the process chamber and interacts with the reactive gases to deposit a layer of material on the wafer.
  • a vacuum system communicates with the process chamber for exhausting the reactor.
  • the invention also includes a method of operating a reactor having a plasma chamber and a process chamber with a wafer support disposed within the process chamber, which includes the steps of generating a plasma within the plasma chamber, introducing at least one gaseous chemical into the process chamber proximate to the wafer support and applying an RF gradient to induce diffusion of the plasma to the area proximate the wafer support, whereby the plasma and the gaseous chemical interacts proximate the wafer support to form a layer of material on the surface of the wafer.
  • FIG. 1 is a partily broken away assembly view of the reactor according to one embodiment of the invention.
  • FIG. 2 is an enlarged partially broken away cross-sectional view of the plasma chamber and process chamber of the reactor as shown in FIG. 1 .
  • FIG. 3 a illustrates a cross-sectional view of a first gas injection manifold according to one embodiment of the invention.
  • FIG. 3 b is bottom plan view of the first gas injection manifold.
  • FIG. 3 c is an enlarged cross-sectional view of the holes in the manifold of FIG. 3 a.
  • FIG. 4 represents a front plan view, partially broken away, of one embodiment of a second gas injection manifold in accordance with the invention.
  • FIG. 5 a is a top plan view showing the substrate support mounted in the reactor.
  • FIG. 5 b depicts an alternate embodiment of the substrate support, partially broken away, mounted in the reactor in accordance with the invention.
  • FIG. 6 is a cross sectional view of an embodiment of a reactor illustrating the flow of gases within the system in response to the on-axis placement of the pump.
  • FIG. 7 is an enlarged side elevation showing the substrate support, partially broken away, mounted in the reactor in accordance with one embodiment of the invention.
  • FIG. 8 is a simplified block diagram illustrating a PECVD system with a plurality of reactors in accordance with an alternative embodiment of the invention.
  • FIG. 9 illustrates sputter rate as a function of substrate support bias power.
  • FIGS. 10 a and 10 b are cross-sectional views of surface topography of semiconductor wafers processed in the reactor of the invention.
  • FIG. 11 illustrates the deposition rate per silane flow as a function of the applied r.f. bias.
  • FIGS. 1 and 2 represent one embodiment of the reactor in accordance with this invention.
  • FIG. 1 illustrates an assembly view of the invention wherein reactor 10 generally comprises a plasma assembly 11 and a process chamber 16 .
  • the plasma assembly 11 which includes a plasma generating source 12 , the interior of such source 12 forms a plasma chamber 18 , and a first gas injection refold 15 forms the top of the chamber.
  • the first manifold 15 conveys at least one gaseous chemical to plasma chamber 18 .
  • the plasma assembly 11 is operatively attached to process chamber 16 .
  • Process chamber 16 generally includes a second gas injection manifold 17 , which is mounted to process chamber 16 , for receiving at least a second gaseous chemical via gas delivery lines (not shown).
  • the gas injection manifold 17 is mounted near the top of chamber 16 with an outer peripheral surface being mounted along the wall of process chamber 16 , thus forming a continuous ring.
  • a horizontal wafer support 20 (often referred to as a “chuck”) for supporting a wafer 24 .
  • wafer support 20 is attached to chamber 16 by arm member 21 such that the wafer support 20 is suspended within the process chamber 16 .
  • a wafer 24 is placed on the wafer support 20 whereby the surface of the wafer 24 is facing upwards.
  • the wafer support 20 may be biased by applying r.f energy from generator 23 via matching network 22 .
  • a vacuum system is provided for exhausting the reactor 10 .
  • a vacuum pump 26 is operatively coupled to the process chamber 16 , by port 25 .
  • vacuum pump 26 is substantially axially aligned with the process chamber 16 (referred to as an “on-axis pump”) which provides improved flow control of the gases and plasma within the reactor 10 .
  • the suspended wafer support 20 and the on-axis pumping form a unique gas distribution system which is designed to provide symmetrical flow of gases within the reactor 10 , and particularly to promote uniform deposition and/or etching across the wafer 24 .
  • the inventive reactor is adapted for performing various processing operations including deposition, film etchback, reactor self-clean and simultaneous etch and deposition steps.
  • silane and ariupture of oxygen and argon are conveyed into the process chamber 16 via second gas injection manifold 17 .
  • the first gas injection manifold may be inoperative, and in this configuration, oxygen and argon molecules migrate into the plasma chamber 18 from the process chamber 16 where they are originally injected, and are ionized in plasma chamber 18 .
  • the first gas injection manifold 15 may be operative whereby argon and oxygen are conveyed into the plasma chamber via first gas manifold 15 .
  • oxygen and argon are conveyed through both the first gas injection manifold 15 and the second gas injections manifold 17 .
  • a chemical such as CF 4 , C 2 F 4 or NH 3 is injected into the plasma chamber via first gas injection manifold 15 , whereby the gases are ionized and then flow through the reactor 10 to remove unwanted deposits on the surfaces of the chambers 16 and 18 and associated components.
  • the deaning chemicals may be injected into the reactor via second gas injection manifold 17 , or conveyed by both the first gas injection manifold 15 and the second gas injection manifold 17 .
  • the reactor is adapted for application of an r.f. and dc bias induced at the wafer support for inducing a film etch-back operation and for simultaneous etch/deposit operation. The reactor and methods are described in further detail below.
  • Plasma assembly 11 includes a source of electromagnetic energy 12 , commonly referred to as a “plasma source” for generating a plasma within the plasma chamber 18 .
  • the plasma source 12 is Qf xhe type classified in the art as inductively coupled plasma (ICP).
  • ICP inductively coupled plasma
  • the plasma source 12 is cylindrical and includes a helical coil 13 made of metal and a slotted electrostatic shield 19 made of a nonmagnetic material, said shield 19 being generally disposed within the coil 13 .
  • the coil 13 and shield 19 are housed within an enclosure having an inner 27 and outer 28 wall
  • the inner wall 27 is made of a low loss insulating material, such as quartz or ceramic, and the outer wall may be comprised of a metal. Plasm is generated in the plasma chamber 18 formed within the plasma source 12 . This preferred embodiment of plasma source 12 is more fully described in U.S. Pat. No. 5,234,529 which is incorporated herein by reference.
  • a plurality of longitudinally extending and circumferentially spaced slits 33 are formed in the shield 19 .
  • the shield 19 is used to decouple capacitive electric fields.
  • the shield 19 reduces the capacitive coupling between the coil 13 and the plasma chamber 18 where the plasma is generated.
  • the plasma source 12 and shield 19 attempts to fully shield all capacitive components.
  • the shield is grounded. Capacitively coupled fields couple very efficiently with the plasma, and produce large and generally uncontrollable r.f. plasma potentials.
  • a plasma is referred to as a “hot plasma.”
  • the hot plasma comprises very high plasma particulate energies, particularly high electron temperatures (T c ).
  • the resulting high plasma potential damages the reactor by the attack of high energy particles at the chamber walls and other components of the reactor. This reduces the life of the reactor and creates metal particulate contamination which often ends up in the deposited film, thereby destroying the wafer. Moreover, the high plasma potential may adversely affect the wafer being processed
  • the capacitive coupling is reduced to a desired amount, and by varying the slot openings 33 in the shield 19 , the amount of capacitive coupling can be varied depending upon the application. For example, during a clean operation where the reactor 10 is cleaned to remove unwanted deposition of material on the surfaces of the reactor 10 , greater capacitive coupling may be employed thereby creating a higher energy plasma to promote rapid cleaning.
  • At least one gas is delivered to the plasma chamber 18 by first gas injection manifold 15 .
  • the r.f. energy 14 is directed into plasma source 12 through coils 13 arranged around plasma chamber 18 which excites the gases in the plasma chamber 18 into a plasma state.
  • a large percentage of the gaseous molecules introduced are dissociated to form reactive species, including ionized atoms.
  • an ion density of greater than 10 11 ions/cm 3 is achieved, and is referred to as a high density plasma (HDP).
  • the frequency of the r.f. energy be 13.56 MHz, a commercial standard frequency.
  • Generator 14 typically operates at a standard 50 ohm impedance, and matching network 14 a , well known in the art, allows efficient coupling of the r.f. energy into the plasma source 12 .
  • gas is conveyed into the process chamber 16 via second gas injection manifold 17 , whereby the gas migrated into the plasma chamber 18 and is excited into a plasma state as described directly above.
  • first gas manifold 15 is illustrated as assembled on the plasma assembly. Further detail is appreciated with reference to FIG. 3 a , which depicts a cross-sectional view of said manifold 15 .
  • first. gas manifold 15 is substantially circular and is attached to the inner periphery surface of the plasma source assembly 12 .
  • the manifold 15 includes a plurality of gas inlet passages 31 a and 31 b formed in the fold base 30 .
  • gas delivery lines (not shown) are connected to each of the gas inlet passages vias gas feed connectors 31 a and 31 b .
  • two gas inlet passages are shown,. however additional gas inlet passages, or only one gas inlet passage may be used.
  • the gas inlet passages 31 a and 31 b individually lead to concentric circumferentially extending plenums 34 a and 34 b .
  • the plenums extend through the manifold base 30 and are enclosed by plate 37 mounted to manifold base 30 .
  • Disposed within each plenum 34 a and 34 b is a plurality of holes 36 , drilled in the cover plate 37 and extending the circumference of each plenum.
  • the plurality of holes 36 are generally disposed at the bottom of each plenum 34 a and 34 b and extend vertically through the cover plate 37 .
  • the holes 36 may be drilled at an angle through said cover plate 37 .
  • the configuration of the holes 36 are selected to provide optimum gas injection to plasma chamber 18 and the number, size, shape and spacing of the holes may vary.
  • concentric hole arrays may be drilled in cover plate 37 and extending the circumference of each plenum.
  • FIG. 3 b illustrates a bottom plan view of first gas injection manifold 15 .
  • the holes 36 generally form concentric circles in the bottom of first gas injection manifold 15 .
  • the plurality of holes associated with the inner plenum 34 b comprises five, and the plurality of holes associated with the outer plenum 34 a comprises ten.
  • FIG. 34 c is an enlarged view showing the preferred shape of hole 36 .
  • gas delivery lines convey gaseous chemicals to the manifold 15 via two gas feed connectors 31 a and 31 b .
  • Each gas is discretely conveyed through the manifold 15 by passages 32 a and 34 b , to circular plenums 34 a and 34 b , whereby the gases exit the manifold 15 through a plurality of holes 36 associated with each plenum, into the plasma chamber 18 .
  • the first gas manifold 15 employs a cooling system for cooling the manifold 15 during operation of the reactor 10 .
  • a cooling medium such as water is circulated through the manifold 15 to provide substantially uniform cooling. Maintaining uniform temperature during operation is important, as the reaction taking place at the surface of the wafer 24 is temperature dependent. Moreover, failure to maintain constant temperature may lead to flaking of deposits on the chamber walls and associated components, thereby creating particulates in the system.
  • the cooling medium is delivered through cooling feed connector 38 to a plurality of channels 42 .
  • the channels 42 extend through the manifold and are enclosed by a cover plate 43 mounted to the manifold base 30 .
  • the channels 42 extend across the manifold base 30 as shown in FIG. 3 b .
  • the cooling system may be configured differently.
  • a sight glass 39 is suitably disposed in the center of the gas injection manifold 15 for providing an optical interface to view the plasma dhhharge.
  • the sight glass is circular and is made of sapphire, which resists attack from the plasma and chemicals.
  • sight glass 39 allows line of sight access to the wafer plane to allow remote diagnostics to be employed such as a laser interferometer (visible) to observe film growth, and a laser interferometer (IR) to observe wafer temperature.
  • the manifold 15 has a substantially smooth, planar surface for minimizing the depositing of particulate thereon.
  • the manifold 15 is made from aluminum and has a near polished surface finish.
  • the reactor 10 includes a process chamber 16 which is attached to and communicates with plasma assembly 11 .
  • the process chamber 16 is cylindrical and is made of a material such as aluminm
  • the process chamber 16 preferably includes means for a circulating a cooling medium, such as water, such means formed within the process chamber 16 wall, or alternatively disposed on the outside of process chamber 16 , in order to maintain the process chamber 16 at a constant temperature.
  • a second gas injection manifold 17 is disposed within the process chamber 16 and generally extends along the surface of the chamber, forming a ring.
  • wafer support 20 which supports a wafer 24 to be processed.
  • the wafer support 20 is substantially aligned with the axis of the process chamber 16 , and thus, second gas manifold 17 encircles the wafer support 20 .
  • a valve (not shown), such as a gate valve, is disposed in a side wall of the process chamber 16 to allow access to the interior of the chamber 16 for transporting the wafer 24 to and from the wafer support 20 .
  • a pump 26 and isolation valve 25 Positioned beneath the wafer support 20 and substantially aially aligned with the axis of the process chamber 16 .
  • the second gas injection maiold 17 is shown more particularly in FIG. 4 .
  • Second gas injection manifold 17 is described in further detail in co-pending application, Ser. No. 08/499,861 Flehr, Hohbach, Test et al., which is incorp orated by reference hercin.
  • the rmafold 17 includes a plenum body 40 mountable to the process chamber 16 , a replaceable nozzle structure 70 removably mounted to the plenum body 40 and at least one plenum formed for receiving a gaseous chemical.
  • the plenum body is formed with at least one conduit which is coupled to the plenum for conveying the gaseous chemical to the plenumn
  • the nozzle structure 70 has a plurality of nozzles 44 a and 44 b coupled to the plenum and configured for injecting the gaseous substance from the plenum to the chamber.
  • the first gas m old 17 has an annular configuration with an outer peripheral surface being mounted to the process chamber 16 wall; however, other configurations are within the scope of the invention.
  • the plenum body 40 has two parallel, circumferentially extending channels 46 and 48 formed in the plenum body 40 .
  • the channels 46 and 48 partially define a pair of plenums for discretely receiving the gaseous cheicals employed in the processing of the wafer.
  • Channels 46 and 48 are each connected to a gas source 50 and 52 (not shown) through conduits 54 and 56 via supply lines 58 and 60 (not shown).
  • Supply lies 58 and 60 extend vertically to intersect the conduits 54 and 56 , and is referred to as “bottom feed” of the gases.
  • the supply lines 58 and 60 may be configured to extend horizontally through the process chamber 16 wall, as a “side feed.”
  • a baffle 62 formed with a plurality of openings (not shown) is mounted in each channel 46 and 48 as is known in the art.
  • Baffles 62 interrupt the flow of gas from the conduits 54 aind 56 to the nozzles 44 a and 44 b adjacent the nozzles to diffuse the gas and more uniformly distribute the flow of the gas around the circumference of the plenum body 40 .
  • the configuration of the baffles 62 is selected to provide optimum distribution of the gases and is subject to considerable variation.
  • the baffles 62 may be omitted if desired.
  • the nozzle structure 70 is removably mounted to the plenum body 40 , covering the channels 46 and 48 enclosing the plenums.
  • the nozzle structure 70 includes 2 plurality of first nozzles 44 a substantially aligned with the channel 46 and a plurality of second nozzles 44 b aligned with the channel 48 for injecting the gaseous substances retained in the plenums into the process chamber 16 .
  • the size, shape, spacing, angle and orientation of the nozzles may vary considerably.
  • the nozzles 44 a and 44 b are preferably configured to provide the layers formed on the surface of wafer 24 with a substantially flat profile.
  • Plenum body 40 and nozzle structure 70 include mating surfaces 80 and 81 which are formed to maximize the surface contact between the plenum body 40 and the nozzle structure 70 to couple the nozzle structure to ground.
  • the nozzle structure 70 is exposed to the plasma.
  • the gas injection manifold 17 is preferably grounded unless the nozzle structure 70 is formed of a dielectric material.
  • Manifold 17 is of particular advantage in high density plasma enhanced CVD processing because of the effects on the gas flow of factors such as the high density of the plasma) the low pressure of the reactor 10 of less than 3-4 mTorr, as cormared to more than 100 mTorr for conventional plasma enhanced systems, and the relatively high electron temperature T e . Because of the lower chamber pressure, the mean free path is large and causes quick dispersion of the gaseous chemical away from the injection point (i.e. the outlet of second gas injection manifold 17 ), thus the close proximity of the manifold 17 to the surface of the wafer 24 allows the efficient use of chemicals and promotes a uniform gas distribution across the wafer plane.
  • the wafer support 20 generally includes a support body 50 having a support surface 52 for retaining a wafer 24 , a volage source 74 coupled to the support body for electrostatically coupling the wafer to the support surface, and a cooling systerm 78 for cooling the wafer.
  • the cooling system includes a plurality of gas distribution grooves (not shown) formed in the support surface 52 for uniformly distributing a gaseous substance between the wafer 24 and the support surface 52 .
  • the cooling system includes a restriction mechanism (not shown) in the conduit between the gas source and the gas distribution grooves to substantially prevent catastrophic separation of the wafer 24 from the support surface 52 in the event a portion of the wafer becomes separated from the support surface 52 .
  • At least one arm member 21 extending from the support body 50 is mountable to the process chamber 16 with the support body 50 and the arm member 21 being separated from the bottom of the process chamber 16 . Referring to FIG. 7, in the present embodiment the arm member 21 is mounted to a carriage assembly 86 and 88 which in turn is releasably secured by plate 29 to the process chamber 16 .
  • the wafer 24 is lowered onto and raised from the support surface 52 by a lifting assembly (not shown).
  • the lifting assembly includes a plurality of lifting pins 84 which extend through apertures formed in the support surface 52 and an electrode assembly (not shown).
  • the lifting pins 84 are movably between an extended position whereby the pins retain the wafer 24 above the support surface 52 , and a retracted position.
  • the wafer support 20 employs a cooling system for cooling the wafer during processing.
  • a gaseous substance such as helium, argon, oxygen, hydrogen and the like, is distributed between the support surface 52 and the wafer 24 to provide substantially uniform cooling across the entire wafer 24 . Maintaining the entire wafer at a uniform teperature during processing significantly improves the uniformity of the layers formed on the wafer surface.
  • the wafer support 20 is particularly adapted for use with PECVD processing.
  • the electrode assembly (not shown) includes means for applying an r.f. bias to the support body 50 .
  • Electrode assembly includes a pair of electrical connectors (not shown) which couple inner and outer electrodes and, respectively, to an r.f. source 23 and a matching network 22 .
  • Applying an r.f. bias to the support surface 52 increases the floating potential of the plasma in the localized area of the support surface 52 .
  • the self-bias induced by applying the r.f. bias to the support surface 52 accelerates ions difflsing into the plasma sheath in the region of the wafer support 20 and towards the wafer 24 . This enhances sputter etching which is desirable in the formation of void-free layers of material on the surface of the wafer 24 .
  • the frequency of the r.f bias applied to the wafer support 20 is within the range of 1-60 MHz.
  • the ri frequency of the plasma source 12 is different from that of the wafer support 20 to minimize frequency beating.
  • the frequency of r.f. applied to the wafer support 20 is approximately 3.39 MHz, and the plasma source 12 operates at approximately 13.56 MHz
  • Plenum body 40 and nozzle structure 70 include mating surfaces 80 and 81 which are formed to maximize the surface contact between the plenum body 40 and the nozzle structure 70 to couple the nozzle structure to ground.
  • the wafer 24 is positioned on the support surface 52 , and particularly placed on lifter pins 54 , by a transport device known in the art (not shown).
  • the support body 50 includes two electrodes whereby positive voltage is applied to one electrode, and negative voltage is applied to the other electrode. After the wafer 24 is removed from the process chamber 16 , preferably the polarity of the electrodes is reversed for the next wafer.
  • the unique mounting of the wafer support 20 in the process chamber 16 is of particular advantage in processing the wafer 24 substantially due to the promotion of symmetrical gas flow.
  • at least one arm member 21 mounts the wafer supports 20 to the process chamber 16 such that the wafer support 20 is suspended with the process chamber 16 .
  • Suspending the wafer support 20 such that it is removed from the bottom of the process chamber 16 offers improved flow control during processing and increased flexibility in the design of the overall reactor 10 .
  • the vacuum system pump 26 is substantially axially aligned with the process chamber 16 , minimizing the footprint of the reactor 10 and improving the effectiveness of the pump during operation.
  • FIGS. 5 a and 5 b two embodiments of the wafer support 20 mounted in the process chamber 16 are shown.
  • two arm members 21 a and 21 b extending toward one wall of the process chamber 16 are employed as depicted in FIG. 5 b ; however, it is to be understood that the number of arm members 21 , and their position where attached to the process chamber 16 , may vary.
  • Arm members 21 a and 21 b are each formed with a longitudially extending bore 60 as illustrated in FIG. 5 b .
  • the bore of one arm member 21 a provides a conduit from the support body 50 for the electrical connectors 62 and 64 which couple the electrodes of the wafer support 20 to the voltage source 74 .
  • electrical connectors 66 and 68 couple the r.f. source 23 to the electrodes.
  • the gas source 76 and the fluid source 78 for the electrodes assembly are connected to the support body 50 through conduits 72 and 73 , respectively, which extend through the bore 60 of arm member 21 b .
  • FIG. 5 a illustrates the use of one arm member 21 mounted to process chamber w 1 16 whereby the fluid source 78 , gas source 76 , dc and r.f. sources 74 and 23 and their respective connections extend through the bore of arm member 21 to the wafer support 20 .
  • the vacuum system for an opening 27 , exhausting the reactor 10 .
  • the vacuum system includes a pump 26 and preferably a vacuum isolation valve 25 positioned beneath wafer support 20 and the bottom of the process chamber 16 .
  • the pump 26 and valve 25 are mounted substantially axially aligned with the process chamber 16 .
  • Such inventive “on-axis” pumping is of particular advantage, and promotes symmetrical flow of gases within the reactor 10 .
  • Pump 26 and valve 25 preferably are a turbo pump and a gate valve, respectively, as known in the art.
  • a particular advantage of the invention is the symmetrical flow of the gases within the reactor provided by the inventive design, and the corresponding reduction of interference with the symmetry of the pump flow in the region proximate the wafer 24 .
  • the symmetrical flow within the reactor 10 is represented by flow lines.
  • the placement of the side mounted substrate support 20 and the on-axis pumping form aunique gas distribution system that is designed to provide symmetrical flow of gases within the reactor 10 , and particularly to promote uniform deposition and/or etching across the wafer 24 .
  • FIG. 8 depicts an alternative embodiment of the invention, wherein a plurality of reactors 10 a-d are connected by a common transport module 75 known in the art, for processing a plurality of wafers.
  • Each reactor 10 a , 10 b , 10 c and 10 d may perform a separate processing step, or the same processing step may be performed in each reactor.
  • first gas injection manifold has a surface 41 which acts to reference the plasma to a voltage potential.
  • first gas injection manifold 15 preferably is grounded which induces the plasma to generate a slight positive chrge at the surface 41 of the manifold 15 (i.e. the plasma potential).
  • first gas injection mnaifold 15 may be held at some potential, instead of ground.
  • the plasma is referenced to a particular potential in the localized area of the surface 41 .
  • the plasma extends into the plasma chamber 16 , and ambipolar diffusion of the plasma will replenish any loss of charged particles in the process chamber 16 , providing for a steady supply of charged particles in the region where chemistry is taking place, i.e. at wafer support 20 .
  • the plasma generated is a “cold plasma,” i.e. the plasma potential is low.
  • the potential at the walls is very low, so the plasma is less likely to erode the walls of the chanber which minimizes metal contamination.
  • Plasma is cold substantially due to the electrostatic shield 19 which forces the pimary ionization mechanism to be inductive.
  • a self bias is induced at the wafer support 20 and wafer 24 .
  • Control of the self bias may be effected by considering the ratio of the area of the bias r.f. current return path and the area of the wafer.
  • the self bias accelerates ions from the plasma sheath in the reactor to the surface of the wafer 24 .
  • the ions sputter etch the layer of material as it is deposited thereby enhancing deposition of a void-free, dense good quality film.
  • the r.f. bias applied to the wafer support may range from 75 to 400 volts, and preferably is approximately 300 volts for an r.f. bias power of 1700 Watts.
  • the bias frequency such that it minimizes interference with the frequency of the plama source 12 (i.e. intermodulation), and yet is sufficiently high in frequency as to allow for the induction of the dc self bias at the wafer and to achieve such bias without excessive power requirements.
  • lower frequencies generate larger induced voltages at the cost of ripple on top of the induced voltage.
  • the sputter etch rate at the wafer 24 surface is proportional to the induced bias.
  • An acceptable compromise if found at frequencies greater than 2 MHz and less than or equal to 13.56 MHz.
  • the preferred embodiment employs a r.f.
  • bias frequency applied to the wafer support 20 of 3.39 MHz; whose first harmonic coincides with a Federal Communications Commission (FCC) 6.78 ISM frequency (which stands for the Instruments, Scientific and Medicai frequency band), and is sufficiently different from the rf plasma source 12 frequency to prevent intermodulation thereby minimizing control system instabilities.
  • FCC Federal Communications Commission
  • FIG. 9 The dependency of the sputter etch rate on the bias frequency is illustrated in FIG. 9.
  • a wafer 24 with a layer of oxide is placed on the wafer support 20 .
  • the reactor 10 pressure is approximately 1.8 mTorr, and argon gas at approximately 100 sccm is injected into the process chamber 16 .
  • Two different bias frequencies, 3.39 MHz and 13.56 MHz, are applied, and the sputter etch rate is plotted as a function of bias power applied to the wafer support 20 for the two frequencies.
  • Circulating r.f energy fields are present in the reactor 10 , and are of a particular concern when proximate to the wafer 24 in the process chamber 16 .
  • One particular advantage of the invention is the function of the second gas injection manifold 17 as a r.f. current return path for the r.f. currents generated by biasing the wafer support with r.f. energy. A substantial amount of the circulating r.f. currents find a return path through the Outsold 17 .
  • the second gas injection manifold 17 is well grounded through mating surfaces 80 and 81 which are preferably plated with a suitable material such as nickel to enhance the metal surface-to-surface contact between the plenum body 40 and the nozzle section 70 .
  • the interfacing surfaces of the metal are designed to promote low impedance contact and employs a special gasket material such as a spiral shield known in the art.
  • the manifold 17 is coupled to ground and the mating surfaces 80 and 81 provide the return path for the r.f. energy generated when an r.f. bias is applied to the wafer support 20 .
  • the r.f. currents travel along surfaces, not through the bulk of the metal; accordingly, the gasket material is placed close to the metal interfaces.
  • the placement of manifold 17 within the process chamber 16 is important; the manifold 17 is placed in close proximity to the wafer support 20 as compared to the proximity of the plasma source 12 and first gas injection manifold 15 to the wafer support 20 .
  • the reactor 10 of the invention is particularly suitable for providing stable, substantially repeatable operation by providing isolation of the r.f. currents and plasma potential of the source 12 and first manifold 15 , from the wafer support 20 .
  • Such isolation allows the plasma potential at the surface 41 of the first gas manifold 15 to be well defined and maintained. Without anwell defined plasma potential, the system may differ from day to day depending upon the amount of plasma contact with the surface 41 of the first gas mnif old 15 , causing the system to drift and adversely effect the repeatability of the deposition process.
  • the mechanical configuration of the second gas manifold 17 may vary considerably while achieving the same r.f. return function as described above, and that all such mechanical variations are within the scope of the invention.
  • a particular advantage of the invention is the symmetrical flow of the gases within the reactor provided by the inventive design and the on-axis pump in particular, which corresponds to a reduction of interference with the symmetry of the pump flow in the region proximate the wafer 24 .
  • the symmetrical flow within the reactor 10 is represented by flow lines, and shows desirable uniform radial flow at the wafer plane. At low pressures the mean free path of the gas is relatively long, providing fewer collisions between molecules. It is desirable for the gas density to be highly uniform in the area proximate to the wafer. This is enhanced by the reactor by providing equal effective pumping speed around the wafer plane at the wafer support 20 .
  • Equal effective pumping speed is accomplished by axially aligning the wafer and the pump with the process chamber, so that the geometric orientation (the spacing between the opening of the vacuum system and the wafer support and the size of the opening) promotes equal-distance flow around the wafer.
  • the flow of gas is symmetrical across the wafer which enhances uniform processing of the wafer.
  • gases are preferably injected through first gas injection manifold 15 and having the pump along the axis of symmetry aces uniform gas flow, and thus cleaning action, throughout the reactor 10 .
  • the inventive reactor 10 design promotes deposition of uniform films as illustrated by FIGS. 10 a and 10 b .
  • a wafer 24 is provided having a substrate 83 with a plurality of device features 85 a-c formed thereon.
  • the gap spacing between device features 85 a and 85 b 0.25 microns, and the gap spacing between device features 85 a and 85 c is 0.30 microns.
  • the aspect ratio is 2.5:1.
  • An oxide layer 82 is deposited on device features 85 and substrate 83 in the reactor of this invention. As shown the reactor 10 and method successfully deposit void-free layers filling the 0.25 and 0.30 micron gaps with excellent step coverage.
  • the deposition rate as a function of r.f. bias applied to the wafer support in the invention is illustrated.
  • the deposition rate is normalized and is represented as: the deposition rate per silane flow (in angstroms per minute per sccm) which is then plotted as a function of r.f. bias power (watts) applied to the wafer support.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

An apparatus for processing a substrate comprising a processing chamber and a substrate support system comprising an electrostatic chuck having a body portion and a substrate support surface and one or more arms extending from the body portion to mount the electrostatic chuck to a side wall portion of the processing chamber is provided.

Description

The present application is a continuation application of U.S. application Ser. No. 09/092,565, now U.S. Pat. No. 6,178,918, which was filed on Jun. 5, 1998, and issued on Jan. 30, 2001. Furthermore, the present application claims priority to U.S. patent application Ser. 08/909,580, which is now U.S Pat. No. 5,792,272, filed on Aug. 12, 1997, which is a continuation of U.S. patent application Ser. No. 08/500,493, filed Jul. 10, 1995 now abandoned.
BRIEF DESCRIPTION OF THE INVENTION
This invention relates to a reactor and method for processing semiconductor integrated circuits. More particularly, the invention relates to a plasma enhanced reactor and method capable of performing processing operations including depositing uniform films or layers on the surface of integrated circuits by plasma enhanced chemical vapor deposition (PECVD), film etchback, reactor self-clean, and simultaneous etch and deposit operations.
BACKGROUND OF THE INVENTION
The processing of semiconductor wafers and other integrated circuits (IC) includes critical manufacturing steps such as etching wafer surfaces and depositing layers of material on wafer surfaces to form device components, interconnecting lines, dielectrics, insulating barriers and the like. Various systems have been employed to deposit layers of material and the like on the surface of integrated circuits, and often such layers are formed by chemical vapor deposition (CVD). A conventional thermal CVD process deposits a stable chemical compound on the surface of a wafer by thermal reaction of certain gaseous chemicals. Various CVD reactors have been used in the art including low pressure CVD systems and atmospheric pressure CVD systems.
More recently, plasma enhanced (sometimes called plasma assisted) CVD systems (PECVD) have been developed. PECVD systems generally operate by disassociation and ionization of gaseous chemicals. The high electron temperatures associated with the plasma increase the density of the disassociated species available for deposition on the wafer surface. Accordingly, such systems are able to operate at lower temperatures than conventional thermal CVD systems. Such lower temperature processes are desirable and minimize diffusion of shallow junctions and inter-diffusion of metals contained within the integrated circuits. Moreover, PECVD systems are suitable for forming multiple dielectric layers to be used to isolate stacked device features as device densities increase. When forming such multilayer dielectric layers it is desirable to provide a layer with good gap fill, isolation, stress and step coverage properties. These properties become more difficult to attain as device dimensions shrink.
In PECVD systems, the reactor is typically operated at low pressures during processing of the semiconductors. Such low pressures present particular gas flow dynamics considerations that must be addressed With low pressures, the collision rate of the active species is relatively low and the mean-free path of the species is relatively long. Accordingly, it is desirable to provide a reactor capable of uniform, controlled gas flow within the process chamber, across the wafer, and to the exhaust, thus providing uniform processing of the wafer. Moreover, other operating pressures may be used for various processes, and thus it is desirable for the reactor to be capable of operating over a large pressure range.
Cleaning of the reactor plays an important role in the effective operation of a system. The highly reactive species deposit on the walls of the chamber, and the operating components, as well as on the surface of the substrate. Such deposits affect the operation of the system, may affect the plasma potentials within the system, and are a serious source of particulates which may end up contaminating the deposited film. Accordingly it is advantageous to provide a reactor design capable of self cleaning.
OBJECTS AND SUMMARY OF THE INVENTION
It is an object of this invention to provide a reactor for processing semiconductor wafers and integrated circuits.
More particularly, it is an object of this invention to provide an improved reactor for processing wafers by depositing films or layers on the surface of such wafers by plasma enhanced chemical vapor deposition (PECVD).
Another object of this invention is to provide a reactor which is capable of operating over a wide pressure range.
Another object of this invention is to provide a reactor capable of depositing desired films and simultaneously etching such films.
Yet another object of the invention is to provide a reactor capable of self-cleaning.
A related object of this invention is to provide a reactor which improves the quality of films deposited on wafers.
These and other objects are achieved by the reactor herein disclosed generally comprising a plasma chamber communicating with a process chamber. The plasma chamber includes a first gas injection manifold for receiving at least a first gas; and a source of electromagnetic energy which excites the gas to form a plasma. The process chamber includes a wafer support for supporting a wafer to be processed, and a second gas manifold which encircles the wafer support and directs reactive gases toward the wafer support. The plasma generated in the plasma chamber extends into the process chamber and interacts with the reactive gases to deposit a layer of material on the wafer. A vacuum system communicates with the process chamber for exhausting the reactor.
The invention also includes a method of operating a reactor having a plasma chamber and a process chamber with a wafer support disposed within the process chamber, which includes the steps of generating a plasma within the plasma chamber, introducing at least one gaseous chemical into the process chamber proximate to the wafer support and applying an RF gradient to induce diffusion of the plasma to the area proximate the wafer support, whereby the plasma and the gaseous chemical interacts proximate the wafer support to form a layer of material on the surface of the wafer.
BRIEF DESCRIPTION OF THE DRAWINGS
Other objects and advantages of the invention become apparent upon reading of the detailed description of the invention and the appended claims provided below, and upon reference to the drawings in which:
FIG. 1 is a partily broken away assembly view of the reactor according to one embodiment of the invention.
FIG. 2 is an enlarged partially broken away cross-sectional view of the plasma chamber and process chamber of the reactor as shown in FIG. 1.
FIG. 3a illustrates a cross-sectional view of a first gas injection manifold according to one embodiment of the invention.
FIG. 3b is bottom plan view of the first gas injection manifold.
FIG. 3c is an enlarged cross-sectional view of the holes in the manifold of FIG. 3a.
FIG. 4 represents a front plan view, partially broken away, of one embodiment of a second gas injection manifold in accordance with the invention.
FIG. 5a is a top plan view showing the substrate support mounted in the reactor.
FIG. 5b depicts an alternate embodiment of the substrate support, partially broken away, mounted in the reactor in accordance with the invention.
FIG. 6 is a cross sectional view of an embodiment of a reactor illustrating the flow of gases within the system in response to the on-axis placement of the pump.
FIG. 7 is an enlarged side elevation showing the substrate support, partially broken away, mounted in the reactor in accordance with one embodiment of the invention.
FIG. 8 is a simplified block diagram illustrating a PECVD system with a plurality of reactors in accordance with an alternative embodiment of the invention.
FIG. 9 illustrates sputter rate as a function of substrate support bias power.
FIGS. 10a and 10 b are cross-sectional views of surface topography of semiconductor wafers processed in the reactor of the invention.
FIG. 11 illustrates the deposition rate per silane flow as a function of the applied r.f. bias.
DETAILED DESCRIPTION OF THE INVENTION
A. Overview
Turning to the drawings, wherein like components are designated by like reference numbers in the figures, FIGS. 1 and 2 represent one embodiment of the reactor in accordance with this invention. FIG. 1 illustrates an assembly view of the invention wherein reactor 10 generally comprises a plasma assembly 11 and a process chamber 16. The plasma assembly 11 which includes a plasma generating source 12, the interior of such source 12 forms a plasma chamber 18, and a first gas injection refold 15 forms the top of the chamber. The first manifold 15 conveys at least one gaseous chemical to plasma chamber 18. The plasma assembly 11 is operatively attached to process chamber 16. Process chamber 16 generally includes a second gas injection manifold 17, which is mounted to process chamber 16, for receiving at least a second gaseous chemical via gas delivery lines (not shown). Preferably, the gas injection manifold 17 is mounted near the top of chamber 16 with an outer peripheral surface being mounted along the wall of process chamber 16, thus forming a continuous ring. Further, positioned within chamber 16 is a horizontal wafer support 20 (often referred to as a “chuck”) for supporting a wafer 24. Preferably, wafer support 20 is attached to chamber 16 by arm member 21 such that the wafer support 20 is suspended within the process chamber 16. A wafer 24 is placed on the wafer support 20 whereby the surface of the wafer 24 is facing upwards. The wafer support 20 may be biased by applying r.f energy from generator 23 via matching network 22.
A vacuum system is provided for exhausting the reactor 10. A vacuum pump 26 is operatively coupled to the process chamber 16, by port 25. Preferably, vacuum pump 26 is substantially axially aligned with the process chamber 16 (referred to as an “on-axis pump”) which provides improved flow control of the gases and plasma within the reactor 10. As discussed in detail below, the suspended wafer support 20 and the on-axis pumping form a unique gas distribution system which is designed to provide symmetrical flow of gases within the reactor 10, and particularly to promote uniform deposition and/or etching across the wafer 24.
The inventive reactor is adapted for performing various processing operations including deposition, film etchback, reactor self-clean and simultaneous etch and deposition steps. In an exemplary embodiment of the deposition operation, silane and ariupture of oxygen and argon are conveyed into the process chamber 16 via second gas injection manifold 17. During the deposition operation, the first gas injection manifold may be inoperative, and in this configuration, oxygen and argon molecules migrate into the plasma chamber 18 from the process chamber 16 where they are originally injected, and are ionized in plasma chamber 18. Alternatively, the first gas injection manifold 15 may be operative whereby argon and oxygen are conveyed into the plasma chamber via first gas manifold 15. Furthermore in yet another embodiment, oxygen and argon are conveyed through both the first gas injection manifold 15 and the second gas injections manifold 17.
During a reactor selfclean operation, a chemical such as CF4, C2F4 or NH3 is injected into the plasma chamber via first gas injection manifold 15, whereby the gases are ionized and then flow through the reactor 10 to remove unwanted deposits on the surfaces of the chambers 16 and 18 and associated components. Alternatively, the deaning chemicals may be injected into the reactor via second gas injection manifold 17, or conveyed by both the first gas injection manifold 15 and the second gas injection manifold 17. Moreover, the reactor is adapted for application of an r.f. and dc bias induced at the wafer support for inducing a film etch-back operation and for simultaneous etch/deposit operation. The reactor and methods are described in further detail below.
B. Plasma Chamber
The plasma assembly 11 can be appreciated in further detail with reference to FIG. 2. Plasma assembly 11 includes a source of electromagnetic energy 12, commonly referred to as a “plasma source” for generating a plasma within the plasma chamber 18. Preferably the plasma source 12 is Qf xhe type classified in the art as inductively coupled plasma (ICP). In the preferred embodiment as shown in FIG. 2, the plasma source 12 is cylindrical and includes a helical coil 13 made of metal and a slotted electrostatic shield 19 made of a nonmagnetic material, said shield 19 being generally disposed within the coil 13. The coil 13 and shield 19 are housed within an enclosure having an inner 27 and outer 28 wall Preferably, the inner wall 27 is made of a low loss insulating material, such as quartz or ceramic, and the outer wall may be comprised of a metal. Plasm is generated in the plasma chamber 18 formed within the plasma source 12. This preferred embodiment of plasma source 12 is more fully described in U.S. Pat. No. 5,234,529 which is incorporated herein by reference.
A plurality of longitudinally extending and circumferentially spaced slits 33 are formed in the shield 19. The shield 19 is used to decouple capacitive electric fields. The shield 19 reduces the capacitive coupling between the coil 13 and the plasma chamber 18 where the plasma is generated. In one embodiment, the plasma source 12 and shield 19 attempts to fully shield all capacitive components. Preferably, the shield is grounded. Capacitively coupled fields couple very efficiently with the plasma, and produce large and generally uncontrollable r.f. plasma potentials. Such a plasma is referred to as a “hot plasma.” The hot plasma comprises very high plasma particulate energies, particularly high electron temperatures (Tc). The resulting high plasma potential damages the reactor by the attack of high energy particles at the chamber walls and other components of the reactor. This reduces the life of the reactor and creates metal particulate contamination which often ends up in the deposited film, thereby destroying the wafer. Moreover, the high plasma potential may adversely affect the wafer being processed By employing the shield 19, the capacitive coupling is reduced to a desired amount, and by varying the slot openings 33 in the shield 19, the amount of capacitive coupling can be varied depending upon the application. For example, during a clean operation where the reactor 10 is cleaned to remove unwanted deposition of material on the surfaces of the reactor 10, greater capacitive coupling may be employed thereby creating a higher energy plasma to promote rapid cleaning.
To generate the plasma, according to one embodiment of the invention, at least one gas is delivered to the plasma chamber 18 by first gas injection manifold 15. The r.f. energy 14 is directed into plasma source 12 through coils 13 arranged around plasma chamber 18 which excites the gases in the plasma chamber 18 into a plasma state. In a plasma state a large percentage of the gaseous molecules introduced are dissociated to form reactive species, including ionized atoms. Preferably, an ion density of greater than 1011 ions/cm3 is achieved, and is referred to as a high density plasma (HDP). It is preferred that the frequency of the r.f. energy be 13.56 MHz, a commercial standard frequency. Generator 14 typically operates at a standard 50 ohm impedance, and matching network 14 a, well known in the art, allows efficient coupling of the r.f. energy into the plasma source 12. Alternatively, gas is conveyed into the process chamber 16 via second gas injection manifold 17, whereby the gas migrated into the plasma chamber 18 and is excited into a plasma state as described directly above.
Referring again to FIG. 2, the first gas manifold 15 is illustrated as assembled on the plasma assembly. Further detail is appreciated with reference to FIG. 3a, which depicts a cross-sectional view of said manifold 15. In this embodiment, first. gas manifold 15 is substantially circular and is attached to the inner periphery surface of the plasma source assembly 12. The manifold 15 includes a plurality of gas inlet passages 31 a and 31 b formed in the fold base 30. For delivery of gaseous chemicals to the manifold 15, gas delivery lines (not shown) are connected to each of the gas inlet passages vias gas feed connectors 31 a and 31 b. In this embodiment two gas inlet passages are shown,. however additional gas inlet passages, or only one gas inlet passage may be used.
The gas inlet passages 31 a and 31 b individually lead to concentric circumferentially extending plenums 34 a and 34 b. The plenums extend through the manifold base 30 and are enclosed by plate 37 mounted to manifold base 30. Disposed within each plenum 34 a and 34 b is a plurality of holes 36, drilled in the cover plate 37 and extending the circumference of each plenum. In one embodiment, the plurality of holes 36 are generally disposed at the bottom of each plenum 34 a and 34 b and extend vertically through the cover plate 37. Alternatively, the holes 36 may be drilled at an angle through said cover plate 37. The configuration of the holes 36 are selected to provide optimum gas injection to plasma chamber 18 and the number, size, shape and spacing of the holes may vary. Moreover, concentric hole arrays may be drilled in cover plate 37 and extending the circumference of each plenum.
FIG. 3b illustrates a bottom plan view of first gas injection manifold 15. As shown in the present embodiment, the holes 36 generally form concentric circles in the bottom of first gas injection manifold 15. Preferably, the plurality of holes associated with the inner plenum 34 b comprises five, and the plurality of holes associated with the outer plenum 34 a comprises ten. FIG. 34c is an enlarged view showing the preferred shape of hole 36.
Thus, in the present embodiment, gas delivery lines convey gaseous chemicals to the manifold 15 via two gas feed connectors 31 a and 31 b. Each gas is discretely conveyed through the manifold 15 by passages 32 a and 34 b, to circular plenums 34 a and 34 b, whereby the gases exit the manifold 15 through a plurality of holes 36 associated with each plenum, into the plasma chamber 18.
The first gas manifold 15 employs a cooling system for cooling the manifold 15 during operation of the reactor 10. A cooling medium such as water is circulated through the manifold 15 to provide substantially uniform cooling. Maintaining uniform temperature during operation is important, as the reaction taking place at the surface of the wafer 24 is temperature dependent. Moreover, failure to maintain constant temperature may lead to flaking of deposits on the chamber walls and associated components, thereby creating particulates in the system.
In the present embodiment, the cooling medium is delivered through cooling feed connector 38 to a plurality of channels 42. The channels 42 extend through the manifold and are enclosed by a cover plate 43 mounted to the manifold base 30. The channels 42 extend across the manifold base 30 as shown in FIG. 3b. In modifications to the invention, the cooling system may be configured differently.
A sight glass 39 is suitably disposed in the center of the gas injection manifold 15 for providing an optical interface to view the plasma dhhharge. Preferably, the sight glass is circular and is made of sapphire, which resists attack from the plasma and chemicals. Furthermore, sight glass 39 allows line of sight access to the wafer plane to allow remote diagnostics to be employed such as a laser interferometer (visible) to observe film growth, and a laser interferometer (IR) to observe wafer temperature.
Preferably, the manifold 15 has a substantially smooth, planar surface for minimizing the depositing of particulate thereon. In this embodiment the manifold 15 is made from aluminum and has a near polished surface finish.
C. Process Chamber
In order to process semiconductor wafers and other ICs, the reactor 10 includes a process chamber 16 which is attached to and communicates with plasma assembly 11. Referring again to FIGS. 1 and 2, the internal structure of the process chamber 16 is illustrated in further detail Preferably, the process chamber 16 is cylindrical and is made of a material such as aluminm The process chamber 16 preferably includes means for a circulating a cooling medium, such as water, such means formed within the process chamber 16 wall, or alternatively disposed on the outside of process chamber 16, in order to maintain the process chamber 16 at a constant temperature. A second gas injection manifold 17 is disposed within the process chamber 16 and generally extends along the surface of the chamber, forming a ring. Also positioned within the process chamber 16 is wafer support 20 which supports a wafer 24 to be processed. Preferably the wafer support 20 is substantially aligned with the axis of the process chamber 16, and thus, second gas manifold 17 encircles the wafer support 20. A valve (not shown), such as a gate valve, is disposed in a side wall of the process chamber 16 to allow access to the interior of the chamber 16 for transporting the wafer 24 to and from the wafer support 20. Positioned beneath the wafer support 20 and substantially aially aligned with the axis of the process chamber 16 is a pump 26 and isolation valve 25.
The second gas injection maiold 17 is shown more particularly in FIG. 4. Second gas injection manifold 17 is described in further detail in co-pending application, Ser. No. 08/499,861 Flehr, Hohbach, Test et al., which is incorp orated by reference hercin. Generally, the rmafold 17 includes a plenum body 40 mountable to the process chamber 16, a replaceable nozzle structure 70 removably mounted to the plenum body 40 and at least one plenum formed for receiving a gaseous chemical. The plenum body is formed with at least one conduit which is coupled to the plenum for conveying the gaseous chemical to the plenumn The nozzle structure 70 has a plurality of nozzles 44 a and 44 b coupled to the plenum and configured for injecting the gaseous substance from the plenum to the chamber. In the present embodiment, the first gas m old 17 has an annular configuration with an outer peripheral surface being mounted to the process chamber 16 wall; however, other configurations are within the scope of the invention.
As shown in FIG. 4, the preferred embodiment of the manifold 17, the plenum body 40 has two parallel, circumferentially extending channels 46 and 48 formed in the plenum body 40. The channels 46 and 48 partially define a pair of plenums for discretely receiving the gaseous cheicals employed in the processing of the wafer. Channels 46 and 48 are each connected to a gas source 50 and 52 (not shown) through conduits 54 and 56 via supply lines 58 and 60 (not shown). Supply lies 58 and 60 extend vertically to intersect the conduits 54 and 56, and is referred to as “bottom feed” of the gases. In an alternative embodiment, the supply lines 58 and 60 may be configured to extend horizontally through the process chamber 16 wall, as a “side feed.”
Preferably, a baffle 62 formed with a plurality of openings (not shown) is mounted in each channel 46 and 48 as is known in the art. Baffles 62 interrupt the flow of gas from the conduits 54 aind 56 to the nozzles 44 a and 44 b adjacent the nozzles to diffuse the gas and more uniformly distribute the flow of the gas around the circumference of the plenum body 40. The configuration of the baffles 62 is selected to provide optimum distribution of the gases and is subject to considerable variation. Moreover, the baffles 62 may be omitted if desired.
The nozzle structure 70 is removably mounted to the plenum body 40, covering the channels 46 and 48 enclosing the plenums. The nozzle structure 70 includes 2 plurality of first nozzles 44 a substantially aligned with the channel 46 and a plurality of second nozzles 44 b aligned with the channel 48 for injecting the gaseous substances retained in the plenums into the process chamber 16. The size, shape, spacing, angle and orientation of the nozzles may vary considerably. The nozzles 44 a and 44 b are preferably configured to provide the layers formed on the surface of wafer 24 with a substantially flat profile. Plenum body 40 and nozzle structure 70 include mating surfaces 80 and 81 which are formed to maximize the surface contact between the plenum body 40 and the nozzle structure 70 to couple the nozzle structure to ground.
During operation of the reactor 10, and particularly during PECVD processing of the wafer 24, the nozzle structure 70 is exposed to the plasma. The gas injection manifold 17 is preferably grounded unless the nozzle structure 70 is formed of a dielectric material.
Manifold 17 is of particular advantage in high density plasma enhanced CVD processing because of the effects on the gas flow of factors such as the high density of the plasma) the low pressure of the reactor 10 of less than 3-4 mTorr, as cormared to more than 100 mTorr for conventional plasma enhanced systems, and the relatively high electron temperature Te. Because of the lower chamber pressure, the mean free path is large and causes quick dispersion of the gaseous chemical away from the injection point (i.e. the outlet of second gas injection manifold 17), thus the close proximity of the manifold 17 to the surface of the wafer 24 allows the efficient use of chemicals and promotes a uniform gas distribution across the wafer plane.
As mentioned above, for securing the wafer 24 during processing, a wafer support 20 is provided in process chamber 16. The wafer support 20 is generally described below, however, further detail is provided in co-pending application, Ser. No. 08/500,480, Flehr, Hohbach, Test et al., which is incorporated by reference herein. Referring to FIGS. 2, 5 b and 7, the wafer support 20 generally includes a support body 50 having a support surface 52 for retaining a wafer 24, a volage source 74 coupled to the support body for electrostatically coupling the wafer to the support surface, and a cooling systerm 78 for cooling the wafer. The cooling system includes a plurality of gas distribution grooves (not shown) formed in the support surface 52 for uniformly distributing a gaseous substance between the wafer 24 and the support surface 52. The cooling system includes a restriction mechanism (not shown) in the conduit between the gas source and the gas distribution grooves to substantially prevent catastrophic separation of the wafer 24 from the support surface 52 in the event a portion of the wafer becomes separated from the support surface 52. At least one arm member 21 extending from the support body 50 is mountable to the process chamber 16 with the support body 50 and the arm member 21 being separated from the bottom of the process chamber 16. Referring to FIG. 7, in the present embodiment the arm member 21 is mounted to a carriage assembly 86 and 88 which in turn is releasably secured by plate 29 to the process chamber 16.
The wafer 24 is lowered onto and raised from the support surface 52 by a lifting assembly (not shown). The lifting assembly includes a plurality of lifting pins 84 which extend through apertures formed in the support surface 52 and an electrode assembly (not shown). The lifting pins 84 are movably between an extended position whereby the pins retain the wafer 24 above the support surface 52, and a retracted position.
The wafer support 20 employs a cooling system for cooling the wafer during processing. A gaseous substance such as helium, argon, oxygen, hydrogen and the like, is distributed between the support surface 52 and the wafer 24 to provide substantially uniform cooling across the entire wafer 24. Maintaining the entire wafer at a uniform teperature during processing significantly improves the uniformity of the layers formed on the wafer surface.
In the present embodiment, the wafer support 20 is particularly adapted for use with PECVD processing. The electrode assembly (not shown) includes means for applying an r.f. bias to the support body 50. Electrode assembly includes a pair of electrical connectors (not shown) which couple inner and outer electrodes and, respectively, to an r.f. source 23 and a matching network 22. Applying an r.f. bias to the support surface 52 increases the floating potential of the plasma in the localized area of the support surface 52. The self-bias induced by applying the r.f. bias to the support surface 52 accelerates ions difflsing into the plasma sheath in the region of the wafer support 20 and towards the wafer 24. This enhances sputter etching which is desirable in the formation of void-free layers of material on the surface of the wafer 24.
The frequency of the r.f bias applied to the wafer support 20 is within the range of 1-60 MHz. Preferably, the ri frequency of the plasma source 12 is different from that of the wafer support 20 to minimize frequency beating. Preferably, the frequency of r.f. applied to the wafer support 20 is approximately 3.39 MHz, and the plasma source 12 operates at approximately 13.56 MHz Plenum body 40 and nozzle structure 70 include mating surfaces 80 and 81 which are formed to maximize the surface contact between the plenum body 40 and the nozzle structure 70 to couple the nozzle structure to ground. During processing, the wafer 24 is positioned on the support surface 52, and particularly placed on lifter pins 54, by a transport device known in the art (not shown). DC voltage is applied to the at least one electrode of the wafer support 20, to electrostatically attract and securely retain the wafer to the support surface 52. After processing the wafer 24, the electrode is substantially grounded in order to sufficiently deactivate the electrostatic charge for release of the wafer 24 from the support surface 52. Preferably, the support body 50 includes two electrodes whereby positive voltage is applied to one electrode, and negative voltage is applied to the other electrode. After the wafer 24 is removed from the process chamber 16, preferably the polarity of the electrodes is reversed for the next wafer.
The unique mounting of the wafer support 20 in the process chamber 16 is of particular advantage in processing the wafer 24 substantially due to the promotion of symmetrical gas flow. Referring again to FIG. 2, at least one arm member 21 mounts the wafer supports 20 to the process chamber 16 such that the wafer support 20 is suspended with the process chamber 16. Suspending the wafer support 20 such that it is removed from the bottom of the process chamber 16, unlike prior art systems, offers improved flow control during processing and increased flexibility in the design of the overall reactor 10. In the preferred embodiment, the vacuum system pump 26 is substantially axially aligned with the process chamber 16, minimizing the footprint of the reactor 10 and improving the effectiveness of the pump during operation.
Turning to FIGS. 5a and 5 b, two embodiments of the wafer support 20 mounted in the process chamber 16 are shown. Preferably, two arm members 21 a and 21 b extending toward one wall of the process chamber 16 are employed as depicted in FIG. 5b; however, it is to be understood that the number of arm members 21, and their position where attached to the process chamber 16, may vary.
Arm members 21 a and 21 b are each formed with a longitudially extending bore 60 as illustrated in FIG. 5b. The bore of one arm member 21 a provides a conduit from the support body 50 for the electrical connectors 62 and 64 which couple the electrodes of the wafer support 20 to the voltage source 74. Further, electrical connectors 66 and 68 couple the r.f. source 23 to the electrodes. The gas source 76 and the fluid source 78 for the electrodes assembly are connected to the support body 50 through conduits 72 and 73, respectively, which extend through the bore 60 of arm member 21 b. Alternatively, FIG. 5a illustrates the use of one arm member 21 mounted to process chamber w1 16 whereby the fluid source 78, gas source 76, dc and r.f. sources 74 and 23 and their respective connections extend through the bore of arm member 21 to the wafer support 20.
Operatively attached to the process chamber 16 is a vacuum system for an opening 27, exhausting the reactor 10. Referring again to FIG. 1, the vacuum system includes a pump 26 and preferably a vacuum isolation valve 25 positioned beneath wafer support 20 and the bottom of the process chamber 16. Preferably, the pump 26 and valve 25 are mounted substantially axially aligned with the process chamber 16. Such inventive “on-axis” pumping is of particular advantage, and promotes symmetrical flow of gases within the reactor 10. Pump 26 and valve 25 preferably are a turbo pump and a gate valve, respectively, as known in the art.
A particular advantage of the invention is the symmetrical flow of the gases within the reactor provided by the inventive design, and the corresponding reduction of interference with the symmetry of the pump flow in the region proximate the wafer 24. Referring to FIG. 6, the symmetrical flow within the reactor 10 is represented by flow lines.
According to the inventive reactor described herein, the placement of the side mounted substrate support 20 and the on-axis pumping form aunique gas distribution system that is designed to provide symmetrical flow of gases within the reactor 10, and particularly to promote uniform deposition and/or etching across the wafer 24.
FIG. 8 depicts an alternative embodiment of the invention, wherein a plurality of reactors 10 a-d are connected by a common transport module 75 known in the art, for processing a plurality of wafers. Each reactor 10 a, 10 b, 10 c and 10 d may perform a separate processing step, or the same processing step may be performed in each reactor.
D. Operation of the Reactor
To promote extension of the plasma into the process chamber 16, the inventive reactor induces a potential gradient causing diffusion of the plasma. Plasma is generated close to coil 13 aid will diffuses out in any direction. Referring aga to FIG. 3a, first gas injection manifold has a surface 41 which acts to reference the plasma to a voltage potential. To direct the plasma, first gas injection manifold 15 preferably is grounded which induces the plasma to generate a slight positive chrge at the surface 41 of the manifold 15 (i.e. the plasma potential). Alternatively, first gas injection mnaifold 15 may be held at some potential, instead of ground. Thus, the plasma is referenced to a particular potential in the localized area of the surface 41. The plasma extends into the plasma chamber 16, and ambipolar diffusion of the plasma will replenish any loss of charged particles in the process chamber 16, providing for a steady supply of charged particles in the region where chemistry is taking place, i.e. at wafer support 20. Moreover, the plasma generated is a “cold plasma,” i.e. the plasma potential is low. Thus the potential at the walls is very low, so the plasma is less likely to erode the walls of the chanber which minimizes metal contamination. Plasma is cold substantially due to the electrostatic shield 19 which forces the pimary ionization mechanism to be inductive.
Upon application of r.f. bias, a self bias is induced at the wafer support 20 and wafer 24. Control of the self bias may be effected by considering the ratio of the area of the bias r.f. current return path and the area of the wafer. In one embodiment durng the deposition operation, the self bias accelerates ions from the plasma sheath in the reactor to the surface of the wafer 24. The ions sputter etch the layer of material as it is deposited thereby enhancing deposition of a void-free, dense good quality film. The r.f. bias applied to the wafer support may range from 75 to 400 volts, and preferably is approximately 300 volts for an r.f. bias power of 1700 Watts.
It is desirable to choose the bias frequency such that it minimizes interference with the frequency of the plama source 12 (i.e. intermodulation), and yet is sufficiently high in frequency as to allow for the induction of the dc self bias at the wafer and to achieve such bias without excessive power requirements. Generally, lower frequencies generate larger induced voltages at the cost of ripple on top of the induced voltage. The sputter etch rate at the wafer 24 surface is proportional to the induced bias. An acceptable compromise if found at frequencies greater than 2 MHz and less than or equal to 13.56 MHz. The preferred embodiment employs a r.f. bias frequency applied to the wafer support 20 of 3.39 MHz; whose first harmonic coincides with a Federal Communications Commission (FCC) 6.78 ISM frequency ( which stands for the Instruments, Scientific and Medicai frequency band), and is sufficiently different from the rf plasma source 12 frequency to prevent intermodulation thereby minimizing control system instabilities.
The dependency of the sputter etch rate on the bias frequency is illustrated in FIG. 9. A wafer 24 with a layer of oxide is placed on the wafer support 20. The reactor 10 pressure is approximately 1.8 mTorr, and argon gas at approximately 100 sccm is injected into the process chamber 16. Two different bias frequencies, 3.39 MHz and 13.56 MHz, are applied, and the sputter etch rate is plotted as a function of bias power applied to the wafer support 20 for the two frequencies.
Circulating r.f energy fields are present in the reactor 10, and are of a particular concern when proximate to the wafer 24 in the process chamber 16. One particular advantage of the invention is the function of the second gas injection manifold 17 as a r.f. current return path for the r.f. currents generated by biasing the wafer support with r.f. energy. A substantial amount of the circulating r.f. currents find a return path through the Outsold 17. Referring again to FIG. 4, the second gas injection manifold 17 is well grounded through mating surfaces 80 and 81 which are preferably plated with a suitable material such as nickel to enhance the metal surface-to-surface contact between the plenum body 40 and the nozzle section 70. The interfacing surfaces of the metal are designed to promote low impedance contact and employs a special gasket material such as a spiral shield known in the art. The manifold 17 is coupled to ground and the mating surfaces 80 and 81 provide the return path for the r.f. energy generated when an r.f. bias is applied to the wafer support 20. The r.f. currents travel along surfaces, not through the bulk of the metal; accordingly, the gasket material is placed close to the metal interfaces. Moreover, the placement of manifold 17 within the process chamber 16 is important; the manifold 17 is placed in close proximity to the wafer support 20 as compared to the proximity of the plasma source 12 and first gas injection manifold 15 to the wafer support 20. The circulating r.f. currents generally encounter the second gas injection manifold 17 and are removed before encountering the other components. In the event the r.f. currents were to return through the plasma source 12, unlike in the present invention, the resonance in the plasma source 12 could be adversely affected. Also, as described above, the frequencies are sufficiently different to prevent such occurrences.
The reactor 10 of the invention is particularly suitable for providing stable, substantially repeatable operation by providing isolation of the r.f. currents and plasma potential of the source 12 and first manifold 15, from the wafer support 20. Such isolation allows the plasma potential at the surface 41 of the first gas manifold 15 to be well defined and maintained. Without anwell defined plasma potential, the system may differ from day to day depending upon the amount of plasma contact with the surface 41 of the first gas mnif old 15, causing the system to drift and adversely effect the repeatability of the deposition process. It is important to note that the mechanical configuration of the second gas manifold 17 may vary considerably while achieving the same r.f. return function as described above, and that all such mechanical variations are within the scope of the invention.
As mentioned above a particular advantage of the invention is the symmetrical flow of the gases within the reactor provided by the inventive design and the on-axis pump in particular, which corresponds to a reduction of interference with the symmetry of the pump flow in the region proximate the wafer 24. Referring again to FIG. 6, the symmetrical flow within the reactor 10 is represented by flow lines, and shows desirable uniform radial flow at the wafer plane. At low pressures the mean free path of the gas is relatively long, providing fewer collisions between molecules. It is desirable for the gas density to be highly uniform in the area proximate to the wafer. This is enhanced by the reactor by providing equal effective pumping speed around the wafer plane at the wafer support 20. Equal effective pumping speed is accomplished by axially aligning the wafer and the pump with the process chamber, so that the geometric orientation (the spacing between the opening of the vacuum system and the wafer support and the size of the opening) promotes equal-distance flow around the wafer. Thus, the flow of gas is symmetrical across the wafer which enhances uniform processing of the wafer. Moreover, during the reactor self-clean operation, gases are preferably injected through first gas injection manifold 15 and having the pump along the axis of symmetry aces uniform gas flow, and thus cleaning action, throughout the reactor 10.
The inventive reactor 10 design promotes deposition of uniform films as illustrated by FIGS. 10a and 10 b. A wafer 24 is provided having a substrate 83 with a plurality of device features 85 a-c formed thereon. The gap spacing between device features 85 a and 85 b 0.25 microns, and the gap spacing between device features 85 a and 85 c is 0.30 microns. The aspect ratio is 2.5:1. An oxide layer 82 is deposited on device features 85 and substrate 83 in the reactor of this invention. As shown the reactor 10 and method successfully deposit void-free layers filling the 0.25 and 0.30 micron gaps with excellent step coverage.
Referring to FIG. 11, the deposition rate as a function of r.f. bias applied to the wafer support in the invention is illustrated. The deposition rate is normalized and is represented as: the deposition rate per silane flow (in angstroms per minute per sccm) which is then plotted as a function of r.f. bias power (watts) applied to the wafer support.
The foregoing description ofspecific embodiments of the invention have been presented for the purpose of illustration and description. They are not intended to be exhaustive or to limit the invention to the precise forms disclosed, and obviously many modifications, embodiments, and variations are possible in light of the above teaching. It is intended that the scope of the invention be defined by the claims appended hereto and their equivalents.

Claims (15)

What is claimed is:
1. An apparatus for holding a substrate in a processing chamber, comprising:
a substrate support member having a body portion and a substrate support surface; and
one or more arms extending from the body portion to connect the substrate support member to a base, the base being rigidly mounted to a side wall of the processing chamber.
2. The apparatus of claim 1, wherein the substrate support surface substantially conforms to the side wall of the processing chamber.
3. The apparatus of claim 1, wherein the one or more arms radially extend from the body portion to the base of the processing chamber.
4. The apparatus of claim 1, wherein the substrate support surface further comprises an electrostatic chuck.
5. The apparatus of claim 1, wherein the one or more arms comprises one or more conduits providing one or more passageways to connect at least one of a fluid source, a gas source, a DC source and an RF source.
6. The apparatus of claim 1, wherein the side wall portion comprises a movable wall.
7. The apparatus of claim 6, further comprising:
a carriage assembly attached to the movable wall, wherein the carriage assembly is adapted to move the substrate support member between a processing position inside the processing chamber and a cleaning position outside of the processing chamber.
8. An apparatus for processing a substrate, comprising:
a processing chamber;
a substrate support member having a body portion and a substrate support surface; and
one or more arms extending from the body portion to fixedly mount the substrate support member to a carriage assembly attached to a side wall portion of the processing chamber for processing.
9. The apparatus of claim 8, wherein a peripheral edge of the substrate support member substantially conforms to an adjacent side wall portion.
10. The apparatus of claim 8, wherein the one or more arms extend in a substantially lateral direction between the body portion and the side wall portion of processing chamber.
11. The apparatus of claim 8, wherein the substrate support surface further comprises an electrostatic chuck.
12. The apparatus of claim 8, wherein the one or more arms comprises one or more conduits providing one or more passageways to connect at least one of a fluid source, a gas source, a DC source and an RF source.
13. The apparatus of claim 8, wherein the carriage assembly is adapted to move the substrate support member disposed on the movable wall between a processing position inside the processing chamber and a cleaning position outside of the processing chamber.
14. The apparatus of claim 8, wherein the processing chamber includes:
one or more gas inlets disposed above the substrate support member; and
an exhaust system disposed substantially axially below the substrate support member.
15. The apparatus of claim 14, wherein the side wall of the processing chamber and the substrate support memberdefine a substantially axial gas flow from the one more gas inlets to the exhaust system.
US09/575,217 1995-07-10 2000-05-18 Plasma enhanced chemical processing reactor and method Expired - Fee Related US6375750B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US09/575,217 US6375750B1 (en) 1995-07-10 2000-05-18 Plasma enhanced chemical processing reactor and method
US09/994,008 US20020078893A1 (en) 2000-05-18 2001-11-16 Plasma enhanced chemical processing reactor and method

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US50049395A 1995-07-10 1995-07-10
US08/909,580 US5792272A (en) 1995-07-10 1997-08-12 Plasma enhanced chemical processing reactor and method
US09/092,565 US6178918B1 (en) 1995-07-10 1998-06-05 Plasma enhanced chemical processing reactor
US09/575,217 US6375750B1 (en) 1995-07-10 2000-05-18 Plasma enhanced chemical processing reactor and method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/092,565 Continuation US6178918B1 (en) 1995-07-10 1998-06-05 Plasma enhanced chemical processing reactor

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US09/994,008 Continuation US20020078893A1 (en) 2000-05-18 2001-11-16 Plasma enhanced chemical processing reactor and method

Publications (1)

Publication Number Publication Date
US6375750B1 true US6375750B1 (en) 2002-04-23

Family

ID=23989658

Family Applications (4)

Application Number Title Priority Date Filing Date
US08/804,212 Expired - Lifetime US6001267A (en) 1995-07-10 1997-02-21 Plasma enchanced chemical method
US08/909,580 Expired - Lifetime US5792272A (en) 1995-07-10 1997-08-12 Plasma enhanced chemical processing reactor and method
US09/092,565 Expired - Lifetime US6178918B1 (en) 1995-07-10 1998-06-05 Plasma enhanced chemical processing reactor
US09/575,217 Expired - Fee Related US6375750B1 (en) 1995-07-10 2000-05-18 Plasma enhanced chemical processing reactor and method

Family Applications Before (3)

Application Number Title Priority Date Filing Date
US08/804,212 Expired - Lifetime US6001267A (en) 1995-07-10 1997-02-21 Plasma enchanced chemical method
US08/909,580 Expired - Lifetime US5792272A (en) 1995-07-10 1997-08-12 Plasma enhanced chemical processing reactor and method
US09/092,565 Expired - Lifetime US6178918B1 (en) 1995-07-10 1998-06-05 Plasma enhanced chemical processing reactor

Country Status (9)

Country Link
US (4) US6001267A (en)
EP (1) EP0839217B1 (en)
JP (1) JP3701390B2 (en)
KR (1) KR100241171B1 (en)
CN (1) CN1160479C (en)
AT (1) ATE331053T1 (en)
DE (1) DE69636286T2 (en)
TW (1) TW283250B (en)
WO (1) WO1997003224A1 (en)

Cited By (335)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020000198A1 (en) * 1997-05-29 2002-01-03 Applied Materials, Inc. The dome: shape and temperature controlled surfaces
US20020078893A1 (en) * 2000-05-18 2002-06-27 Applied Materials , Inc. Plasma enhanced chemical processing reactor and method
US20020092840A1 (en) * 2001-01-15 2002-07-18 Alfred Kersch Reaction chamber for processing a substrate wafer, and method for operating the chamber
US20030015291A1 (en) * 2001-07-18 2003-01-23 Jusung Engineering Co., Ltd. Semiconductor device fabrication apparatus having multi-hole angled gas injection system
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20040263819A1 (en) * 2003-06-26 2004-12-30 Sumitomo Heavy Industries, Ltd. Airtight processing apparatus, airtight processing method, and electron beam processing apparatus
US20060060143A1 (en) * 2004-09-23 2006-03-23 Min-Woo Lee Method and apparatus for forming a thin layer
US20070193688A1 (en) * 2006-02-21 2007-08-23 Lam Research Corporation Process tuning gas injection from the substrate edge
USRE40195E1 (en) * 1998-12-30 2008-04-01 Tokyo Electron Limited Large area plasma source
US20090165713A1 (en) * 2007-12-26 2009-07-02 Samsung Electro-Mechanics Co, Ltd. Chemical vapor deposition apparatus
US20090221149A1 (en) * 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system
US20090260572A1 (en) * 2008-04-18 2009-10-22 Samsung Electro-Mechanics Co., Ltd. Chemical vapor deposition apparatus
US20090260569A1 (en) * 2008-04-18 2009-10-22 Samsung Electro-Mechanics Co., Ltd. Chemical vapor deposition apparatus
US20100294431A1 (en) * 2007-11-21 2010-11-25 Philippe Maquin Equipment for producing semiconductors, corresponding pumping device and substrate holder
US20110095689A1 (en) * 2009-10-27 2011-04-28 Tyco Healthcare Group Lp Inductively-Coupled Plasma Device
US20110203735A1 (en) * 2010-02-23 2011-08-25 Seo Seongsul Gas injection system for etching profile control
US20110223334A1 (en) * 2010-03-12 2011-09-15 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US20110259432A1 (en) * 2006-11-21 2011-10-27 David Keith Carlson Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature cvd systems
US20110308458A1 (en) * 2010-06-21 2011-12-22 Semes Co., Ltd. Thin Film Deposition Apparatus
US20120111271A1 (en) * 2007-10-11 2012-05-10 Begarney Michael J Chemical vapor deposition reactor
US8575843B2 (en) 2008-05-30 2013-11-05 Colorado State University Research Foundation System, method and apparatus for generating plasma
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
US9028656B2 (en) 2008-05-30 2015-05-12 Colorado State University Research Foundation Liquid-gas interface plasma device
US20150240359A1 (en) * 2014-02-25 2015-08-27 Asm Ip Holding B.V. Gas Supply Manifold And Method Of Supplying Gases To Chamber Using Same
US9272359B2 (en) 2008-05-30 2016-03-01 Colorado State University Research Foundation Liquid-gas interface plasma device
US9288886B2 (en) 2008-05-30 2016-03-15 Colorado State University Research Foundation Plasma-based chemical source device and method of use thereof
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
US20170350011A1 (en) * 2016-06-01 2017-12-07 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10113232B2 (en) * 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10208380B2 (en) * 2015-12-04 2019-02-19 Applied Materials, Inc. Advanced coating method and materials to prevent HDP-CVD chamber arcing
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10370761B2 (en) 2011-10-28 2019-08-06 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11830731B2 (en) 2019-10-22 2023-11-28 Asm Ip Holding B.V. Semiconductor deposition reactor manifolds
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US12027365B2 (en) 2021-11-19 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices

Families Citing this family (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6193878B1 (en) * 1995-01-25 2001-02-27 Zpm, Inc. Multi-modal method and apparatus for treating a solution
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5820723A (en) * 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US5948704A (en) * 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5862223A (en) 1996-07-24 1999-01-19 Walker Asset Management Limited Partnership Method and apparatus for a cryptographically-assisted commercial network system designed to facilitate and support expert-based commerce
JP3640478B2 (en) * 1996-09-20 2005-04-20 アネルバ株式会社 Plasma processing equipment
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6749717B1 (en) 1997-02-04 2004-06-15 Micron Technology, Inc. Device for in-situ cleaning of an inductively-coupled plasma chambers
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6083344A (en) * 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6109206A (en) * 1997-05-29 2000-08-29 Applied Materials, Inc. Remote plasma source for chamber cleaning
US5994662A (en) * 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
JPH1167675A (en) * 1997-08-21 1999-03-09 Toshiba Ceramics Co Ltd High-speed rotary vapor phase thin-film forming device and high-speed rotary vapor phase thin-film forming method using the device
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
US5903106A (en) * 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
US6041735A (en) * 1998-03-02 2000-03-28 Ball Semiconductor, Inc. Inductively coupled plasma powder vaporization for fabricating integrated circuits
JPH11193468A (en) * 1997-12-30 1999-07-21 Shimadzu Corp Thin film forming device
KR100524204B1 (en) * 1998-01-07 2006-01-27 동경 엘렉트론 주식회사 Gas processor
KR100370440B1 (en) * 1998-03-05 2003-02-05 동경 엘렉트론 주식회사 Plasma processing apparatus and plasma processing method
JPH11274137A (en) * 1998-03-18 1999-10-08 Kenichi Nanbu Etching method
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
JP2000183037A (en) 1998-12-11 2000-06-30 Tokyo Electron Ltd Vacuum processing apparatus
US7077159B1 (en) * 1998-12-23 2006-07-18 Applied Materials, Inc. Processing apparatus having integrated pumping system
US6263829B1 (en) * 1999-01-22 2001-07-24 Applied Materials, Inc. Process chamber having improved gas distributor and method of manufacture
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6394109B1 (en) * 1999-04-13 2002-05-28 Applied Materials, Inc. Method and apparatus for removing carbon contamination in a sub-atmospheric charged particle beam lithography system
EP1187183A4 (en) * 1999-04-16 2009-01-14 Tokyo Electron Ltd Method of manufacturing semiconductor device and manufacturing line thereof
US6402848B1 (en) 1999-04-23 2002-06-11 Tokyo Electron Limited Single-substrate-treating apparatus for semiconductor processing system
KR100880767B1 (en) * 1999-05-06 2009-02-02 도쿄엘렉트론가부시키가이샤 Plasma etching apparatus
US6395095B1 (en) * 1999-06-15 2002-05-28 Tokyo Electron Limited Process apparatus and method for improved plasma processing of a substrate
US6143144A (en) * 1999-07-30 2000-11-07 Tokyo Electronlimited Method for etch rate enhancement by background oxygen control in a soft etch system
US6225745B1 (en) * 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
WO2001046492A1 (en) * 1999-12-22 2001-06-28 Tokyo Electron Limited Method and system for reducing damage to substrates during plasma processing with a resonator source
US6426280B2 (en) 2000-01-26 2002-07-30 Ball Semiconductor, Inc. Method for doping spherical semiconductors
JP2001323376A (en) * 2000-03-06 2001-11-22 Canon Inc Equipment for depositing film
EP1139402A1 (en) * 2000-03-27 2001-10-04 Infineon Technologies AG Method and arrangement for depositing a dielectric layer
US6592709B1 (en) * 2000-04-05 2003-07-15 Applied Materials Inc. Method and apparatus for plasma processing
US6709522B1 (en) 2000-07-11 2004-03-23 Nordson Corporation Material handling system and methods for a multichamber plasma treatment system
US6481447B1 (en) * 2000-09-27 2002-11-19 Lam Research Corporation Fluid delivery ring and methods for making and implementing the same
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
JP4791637B2 (en) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 CVD apparatus and processing method using the same
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6673199B1 (en) 2001-03-07 2004-01-06 Applied Materials, Inc. Shaping a plasma with a magnetic field to control etch rate uniformity
US7378127B2 (en) * 2001-03-13 2008-05-27 Micron Technology, Inc. Chemical vapor deposition methods
US20020129768A1 (en) * 2001-03-15 2002-09-19 Carpenter Craig M. Chemical vapor deposition apparatuses and deposition methods
KR100443905B1 (en) * 2001-03-23 2004-08-09 삼성전자주식회사 A chemical vapor deposition apparatus
US6974523B2 (en) * 2001-05-16 2005-12-13 Lam Research Corporation Hollow anode plasma reactor and method
CN101866806B (en) * 2001-06-01 2012-04-25 东京毅力科创株式会社 Plasma processing device
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US20060191637A1 (en) * 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
US6677250B2 (en) * 2001-08-17 2004-01-13 Micron Technology, Inc. CVD apparatuses and methods of forming a layer over a semiconductor substrate
US6926926B2 (en) * 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US6461436B1 (en) * 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
KR100446619B1 (en) * 2001-12-14 2004-09-04 삼성전자주식회사 Inductively coupled plasma system
JP2003201566A (en) * 2002-01-08 2003-07-18 Mitsubishi Electric Corp Chemical vapor deposit apparatus
WO2003060973A1 (en) * 2002-01-10 2003-07-24 Tokyo Electron Limited Processing device
US7229666B2 (en) * 2002-01-22 2007-06-12 Micron Technology, Inc. Chemical vapor deposition method
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US7351291B2 (en) * 2002-02-20 2008-04-01 Tokyo Electron Limited Semiconductor processing system
US6787185B2 (en) * 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
KR100483886B1 (en) * 2002-05-17 2005-04-20 (주)엔피씨 Plasma reaction apparatus
US7468104B2 (en) * 2002-05-17 2008-12-23 Micron Technology, Inc. Chemical vapor deposition apparatus and deposition method
AU2003253689A1 (en) * 2002-07-31 2004-02-16 Tokyo Electron Limited Reduced volume, high conductance process chamber
JP3861036B2 (en) * 2002-08-09 2006-12-20 三菱重工業株式会社 Plasma CVD equipment
US6887521B2 (en) * 2002-08-15 2005-05-03 Micron Technology, Inc. Gas delivery system for pulsed-type deposition processes used in the manufacturing of micro-devices
US20030047536A1 (en) * 2002-10-02 2003-03-13 Johnson Wayne L. Method and apparatus for distributing gas within high density plasma process chamber to ensure uniform plasma
AU2003275437A1 (en) * 2002-10-03 2004-04-23 Genus, Inc. Systems and methods for improved gas delivery
US7097716B2 (en) * 2002-10-17 2006-08-29 Applied Materials, Inc. Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect
KR100862658B1 (en) * 2002-11-15 2008-10-10 삼성전자주식회사 Gas injection apparatus for semiconductor processing system
JP4588329B2 (en) * 2003-02-14 2010-12-01 東京エレクトロン株式会社 Plasma generator and remote plasma processing apparatus
JP4673290B2 (en) * 2003-02-14 2011-04-20 アプライド マテリアルズ インコーポレイテッド Cleaning native oxides with hydrogen-containing radicals
US6818249B2 (en) * 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7045014B2 (en) * 2003-04-24 2006-05-16 Applied Materials, Inc. Substrate support assembly
JP4179041B2 (en) * 2003-04-30 2008-11-12 株式会社島津製作所 Deposition device for organic EL protective film, manufacturing method, and organic EL element
CN100508117C (en) * 2003-05-02 2009-07-01 东京毅力科创株式会社 Plasma processing device
KR100756095B1 (en) * 2003-05-02 2007-09-05 동경 엘렉트론 주식회사 Process gas introducing mechanism and plasma processing device
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
CN1313640C (en) * 2003-09-18 2007-05-02 中芯国际集成电路制造(上海)有限公司 Process for plasma strengthening type chemical vapour phase deposition treatment
KR101025323B1 (en) * 2004-01-13 2011-03-29 가부시키가이샤 아루박 Etching apparatus and etching method
US7431772B2 (en) * 2004-03-09 2008-10-07 Applied Materials, Inc. Gas distributor having directed gas flow and cleaning method
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7780791B2 (en) * 2004-06-30 2010-08-24 Lam Research Corporation Apparatus for an optimized plasma chamber top piece
US8540843B2 (en) 2004-06-30 2013-09-24 Lam Research Corporation Plasma chamber top piece assembly
KR100614648B1 (en) * 2004-07-15 2006-08-23 삼성전자주식회사 Apparatus for treating substrates used in manufacturing semiconductor devices
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
CN100369201C (en) * 2004-11-17 2008-02-13 上海华虹Nec电子有限公司 Chemical meteorological depositer with high-density plasma
KR101332739B1 (en) * 2005-01-18 2013-11-25 에이에스엠 아메리카, 인코포레이티드 Reaction system for growing a thin film
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
CN100462300C (en) * 2005-07-29 2009-02-18 鸿富锦精密工业(深圳)有限公司 Growing device of carbon nano-tube
US7651587B2 (en) 2005-08-11 2010-01-26 Applied Materials, Inc. Two-piece dome with separate RF coils for inductively coupled plasma reactors
US20070084407A1 (en) * 2005-10-14 2007-04-19 Hon Hai Precision Industry Co., Ltd. Apparatus and method for manufacturing carbon nanotubes
CN101150909B (en) * 2006-09-22 2010-05-12 中微半导体设备(上海)有限公司 Plasm restraint device
KR100725108B1 (en) * 2005-10-18 2007-06-04 삼성전자주식회사 Apparatus for supplying gas and apparatus for manufacturing a substrate having the same
CN101448977B (en) 2005-11-04 2010-12-15 应用材料股份有限公司 Apparatus and process for plasma-enhanced atomic layer deposition
GB0616131D0 (en) * 2006-08-14 2006-09-20 Oxford Instr Plasma Technology Surface processing apparatus
US20080118663A1 (en) * 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
JP5074741B2 (en) * 2006-11-10 2012-11-14 株式会社日立ハイテクノロジーズ Vacuum processing equipment
JP5426811B2 (en) 2006-11-22 2014-02-26 パール工業株式会社 High frequency power supply
US20080206987A1 (en) 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US8715455B2 (en) * 2007-02-06 2014-05-06 Tokyo Electron Limited Multi-zone gas distribution system for a treatment system
US20080190364A1 (en) * 2007-02-13 2008-08-14 Applied Materials, Inc. Substrate support assembly
JP5179476B2 (en) * 2007-04-17 2013-04-10 株式会社アルバック Deposition equipment
WO2009009499A1 (en) * 2007-07-07 2009-01-15 Xunlight Corporation Hybrid chemical vapor deposition process combining hot-wire cvd and plasma-enhanced cvd
KR100892249B1 (en) * 2007-11-21 2009-04-09 주식회사 디엠에스 A plasma chemical reactor
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
KR101161407B1 (en) * 2007-12-26 2012-07-09 삼성엘이디 주식회사 Chemical Vapor Deposition Apparatus
US7879183B2 (en) * 2008-02-27 2011-02-01 Applied Materials, Inc. Apparatus and method for front side protection during backside cleaning
US20100206229A1 (en) * 2008-05-30 2010-08-19 Alta Devices, Inc. Vapor deposition reactor system
US20100212591A1 (en) * 2008-05-30 2010-08-26 Alta Devices, Inc. Reactor lid assembly for vapor deposition
US8852696B2 (en) * 2008-05-30 2014-10-07 Alta Devices, Inc. Method for vapor deposition
JP5520455B2 (en) * 2008-06-11 2014-06-11 東京エレクトロン株式会社 Plasma processing equipment
US9121096B2 (en) * 2008-10-10 2015-09-01 Alta Devices, Inc. Concentric showerhead for vapor deposition
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
KR200475462Y1 (en) * 2009-03-27 2014-12-03 램 리써치 코포레이션 Replaceable upper chamber section of plasma processing apparatus
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
JP5558035B2 (en) 2009-06-18 2014-07-23 三菱重工業株式会社 Plasma processing apparatus and method
JP5634037B2 (en) 2009-06-18 2014-12-03 三菱重工業株式会社 Exhaust structure, plasma processing apparatus and method
JP3178295U (en) * 2009-09-10 2012-09-13 ラム リサーチ コーポレーション Replaceable upper chamber parts for plasma processing equipment
US9127364B2 (en) 2009-10-28 2015-09-08 Alta Devices, Inc. Reactor clean
WO2012026241A1 (en) * 2010-08-26 2012-03-01 株式会社日立国際電気 Method for manufacturing semiconductor device, and substrate treatment device
DE102010056021B3 (en) * 2010-12-23 2012-04-19 Centrotherm Sitec Gmbh Nozzle assembly useful in a chemical vapor deposition reactor, comprises a nozzle body having an inlet, an outlet and a flow space between the inlet and outlet, and a control unit having an adjusting member and a fixing part
US9380693B2 (en) 2011-02-03 2016-06-28 Tekna Plasma Systems Inc. High performance induction plasma torch
SG10201602785TA (en) * 2011-04-11 2016-05-30 Lam Res Corp E-beam enhanced decoupled source for semiconductor processing
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
JP5902896B2 (en) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 Substrate processing equipment
US10066297B2 (en) 2011-08-31 2018-09-04 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9212422B2 (en) 2011-08-31 2015-12-15 Alta Devices, Inc. CVD reactor with gas flow virtual walls
US9175393B1 (en) 2011-08-31 2015-11-03 Alta Devices, Inc. Tiled showerhead for a semiconductor chemical vapor deposition reactor
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
US9162236B2 (en) * 2012-04-26 2015-10-20 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
US9279722B2 (en) 2012-04-30 2016-03-08 Agilent Technologies, Inc. Optical emission system including dichroic beam combiner
US9267205B1 (en) 2012-05-30 2016-02-23 Alta Devices, Inc. Fastener system for supporting a liner plate in a gas showerhead reactor
JP5940375B2 (en) * 2012-06-01 2016-06-29 シャープ株式会社 Vapor growth apparatus and method for manufacturing nitride semiconductor light emitting device
US10170279B2 (en) 2012-07-20 2019-01-01 Applied Materials, Inc. Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US10249470B2 (en) 2012-07-20 2019-04-02 Applied Materials, Inc. Symmetrical inductively coupled plasma source with coaxial RF feed and coaxial shielding
US9896769B2 (en) 2012-07-20 2018-02-20 Applied Materials, Inc. Inductively coupled plasma source with multiple dielectric windows and window-supporting structure
US9928987B2 (en) 2012-07-20 2018-03-27 Applied Materials, Inc. Inductively coupled plasma source with symmetrical RF feed
US20140187045A1 (en) * 2013-01-02 2014-07-03 Applied Materials, Inc. Silicon nitride gapfill implementing high density plasma
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN107424901B (en) * 2013-03-12 2019-06-11 应用材料公司 Multizone gas fill assembly with azimuth and radial distribution control
US9957601B2 (en) * 2013-03-15 2018-05-01 Applied Materials, Inc. Apparatus for gas injection in a physical vapor deposition chamber
CN104782234B (en) 2013-03-15 2017-07-14 应用材料公司 The plasma reactor injected with high degree of symmetry quadruple formula gas
US20160010207A1 (en) * 2013-04-03 2016-01-14 Dongjun Wang Plasma-Enhanced Atomic-Layer Deposition System and Method
KR102156795B1 (en) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Deposition apparatus
US20150294843A1 (en) * 2014-04-09 2015-10-15 Applied Materials, Inc. Methods for extending chamber component life for plasma processing semiconductor applications
CN105431924B (en) * 2014-04-09 2020-11-17 应用材料公司 Symmetric chamber body design architecture for addressing variable processing volumes with improved flow uniformity/gas conductance
US20150345019A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber
JP5837962B1 (en) * 2014-07-08 2015-12-24 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and gas rectifier
US10883168B2 (en) 2014-09-11 2021-01-05 Massachusetts Institute Of Technology Processing system for small substrates
US10297457B2 (en) * 2015-03-19 2019-05-21 Mattson Technology, Inc. Controlling azimuthal uniformity of etch process in plasma processing chamber
CN106876299B (en) * 2015-12-11 2019-08-23 北京北方华创微电子装备有限公司 Semiconductor processing equipment
US10825659B2 (en) * 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
EP3513410A4 (en) * 2016-09-09 2020-05-27 Christian Assoun Pert space debris remediation, mining, and refining
US10934620B2 (en) * 2016-11-29 2021-03-02 Applied Materials, Inc. Integration of dual remote plasmas sources for flowable CVD
CN107093545B (en) * 2017-06-19 2019-05-31 北京北方华创微电子装备有限公司 The bottom electrode mechanism and reaction chamber of reaction chamber
US11077410B2 (en) 2017-10-09 2021-08-03 Applied Materials, Inc. Gas injector with baffle
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US11694879B2 (en) * 2018-12-07 2023-07-04 Applied Materials, Inc. Component, method of manufacturing the component, and method of cleaning the component
CN111613508A (en) * 2019-02-25 2020-09-01 北京北方华创微电子装备有限公司 Air inlet device and reaction chamber
IT201900004609A1 (en) * 2019-03-27 2020-09-27 Afros Spa High pressure mixing device with sensorized self-cleaning delivery duct.
JP7285152B2 (en) * 2019-07-08 2023-06-01 東京エレクトロン株式会社 Plasma processing equipment
FI129609B (en) * 2020-01-10 2022-05-31 Picosun Oy Substrate processing apparatus
CN111341698B (en) * 2020-03-09 2022-07-26 苏州能讯高能半导体有限公司 Etching equipment
CN111501024A (en) * 2020-05-08 2020-08-07 Tcl华星光电技术有限公司 Vapor deposition apparatus
US11674227B2 (en) * 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US12012653B2 (en) * 2021-03-23 2024-06-18 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers
US12018372B2 (en) * 2021-05-11 2024-06-25 Applied Materials, Inc. Gas injector for epitaxy and CVD chamber
DE102022102768A1 (en) * 2022-02-07 2023-08-10 Stephan Wege Symmetrical process reactor

Citations (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3634740A (en) 1970-04-20 1972-01-11 Addressograph Multigraph Electrostatic holddown
US3916270A (en) 1974-05-02 1975-10-28 Tektronix Inc Electrostatic holddown apparatus
US4184188A (en) 1978-01-16 1980-01-15 Veeco Instruments Inc. Substrate clamping technique in IC fabrication processes
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4292153A (en) 1979-03-19 1981-09-29 Fujitsu Limited Method for processing substrate materials by means of plasma treatment
US4313783A (en) 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
US4324611A (en) 1980-06-26 1982-04-13 Branson International Plasma Corporation Process and gas mixture for etching silicon dioxide and silicon nitride
US4365588A (en) * 1981-03-13 1982-12-28 Rca Corporation Fixture for VPE reactor
US4384918A (en) 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
US4399016A (en) 1981-03-12 1983-08-16 Anelva Corporation Plasma device comprising an intermediate electrode out of contact with a high frequency electrode to induce electrostatic attraction
US4431473A (en) 1981-07-17 1984-02-14 Tokyo Shibaura Denki Kabushiki Kaisha RIE Apparatus utilizing a shielded magnetron to enhance etching
US4512391A (en) 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4514636A (en) 1979-09-14 1985-04-30 Eaton Corporation Ion treatment apparatus
JPS6164124A (en) 1984-09-06 1986-04-02 Anelva Corp Thin film manufacturing equipment
US4680061A (en) 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4705951A (en) 1986-04-17 1987-11-10 Varian Associates, Inc. Wafer processing system
US4760244A (en) * 1985-11-11 1988-07-26 Jiri Hokynar Apparatus for the treatment of semiconductor materials
US4771730A (en) 1986-09-12 1988-09-20 Kabushiki Kaisha Tokuda Seisakusho Vacuum processing apparatus wherein temperature can be controlled
JPS63292625A (en) 1987-05-26 1988-11-29 Sumitomo Metal Ind Ltd Controlling method for plasma
US4808258A (en) 1983-10-19 1989-02-28 Hitachi, Ltd. Plasma processing method and apparatus for carrying out the same
JPH01276736A (en) 1988-04-28 1989-11-07 Tokyo Electron Ltd Etching equipment
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4968374A (en) 1988-06-09 1990-11-06 Anelva Corporation Plasma etching apparatus with dielectrically isolated electrodes
JPH02271626A (en) 1989-04-13 1990-11-06 Sumitomo Metal Ind Ltd Plasma apparatus
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
JPH0376112A (en) 1989-08-17 1991-04-02 Nippon Sanso Kk Vapor deposition device
US5099571A (en) 1990-09-07 1992-03-31 International Business Machines Corporation Method for fabricating a split-ring electrostatic chuck
US5221450A (en) 1990-08-07 1993-06-22 Kabushiki Kaisha Toshiba Electrostatic chucking method
US5234529A (en) 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
US5325261A (en) 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US5330610A (en) * 1993-05-28 1994-07-19 Martin Marietta Energy Systems, Inc. Method of digital epilaxy by externally controlled closed-loop feedback
JPH06280000A (en) 1993-03-24 1994-10-04 Japan Steel Works Ltd:The Plasma surface treatment method and device
US5365057A (en) 1993-07-02 1994-11-15 Litton Systems, Inc. Light-weight night vision device
US5382311A (en) 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JPH07161695A (en) 1993-12-02 1995-06-23 Tokyo Electron Ltd Plasma process method
EP0660499A1 (en) 1993-12-20 1995-06-28 International Business Machines Corporation Guard ring electrostatic chuck
US5433812A (en) 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
EP0668608A1 (en) 1994-02-22 1995-08-23 Applied Materials, Inc. Electrostatic chuck with erosion-resistant electrode connection
US5452177A (en) 1990-06-08 1995-09-19 Varian Associates, Inc. Electrostatic wafer clamp
US5452510A (en) 1993-12-20 1995-09-26 International Business Machines Corporation Method of making an electrostatic chuck with oxide insulator
US5460684A (en) 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5467249A (en) 1993-12-20 1995-11-14 International Business Machines Corporation Electrostatic chuck with reference electrode
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5522131A (en) 1993-07-20 1996-06-04 Applied Materials, Inc. Electrostatic chuck having a grooved surface
US5525159A (en) 1993-12-17 1996-06-11 Tokyo Electron Limited Plasma process apparatus
US5539609A (en) 1992-12-02 1996-07-23 Applied Materials, Inc. Electrostatic chuck usable in high density plasma
WO1997003224A1 (en) 1995-07-10 1997-01-30 Watkins Johnson Company A plasma enhanced chemical processing reactor and method
US5688357A (en) 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5767628A (en) 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
US5824607A (en) 1997-02-06 1998-10-20 Applied Materials, Inc. Plasma confinement for an inductively coupled plasma reactor
US5958134A (en) * 1995-06-07 1999-09-28 Tokyo Electron Limited Process equipment with simultaneous or sequential deposition and etching capabilities
US6027601A (en) 1997-07-01 2000-02-22 Applied Materials, Inc Automatic frequency tuning of an RF plasma source of an inductively coupled plasma reactor

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3656454A (en) * 1970-11-23 1972-04-18 Air Reduction Vacuum coating apparatus
US4512283A (en) * 1982-02-01 1985-04-23 Texas Instruments Incorporated Plasma reactor sidewall shield
JPS59186955A (en) * 1983-04-06 1984-10-23 Toyo Kasei Kogyo Kk Production of beta-mercaptopropionic acid ester
JPS6060060A (en) * 1983-09-12 1985-04-06 株式会社日立製作所 Switchgear for door of railway rolling stock
US4558388A (en) * 1983-11-02 1985-12-10 Varian Associates, Inc. Substrate and substrate holder
GB2162207B (en) * 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
US4949671A (en) * 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
JPH0691020B2 (en) * 1986-02-14 1994-11-14 日本電信電話株式会社 Vapor growth method and apparatus
US4724621A (en) * 1986-04-17 1988-02-16 Varian Associates, Inc. Wafer processing chuck using slanted clamping pins
US4828369A (en) * 1986-05-28 1989-05-09 Minolta Camera Kabushiki Kaisha Electrochromic device
KR900007687B1 (en) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 Method and device for plasma processing
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
KR960015609B1 (en) * 1987-01-19 1996-11-18 미쓰다 가쓰시게 Plasma operation apparatus
US5376628A (en) * 1988-06-30 1994-12-27 Anelva Corporation Method of improving or producing oxide superconductor
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
EP0395415B1 (en) * 1989-04-27 1995-03-15 Fujitsu Limited Apparatus for and method of processing a semiconductor device using microwave-generated plasma
JPH0791645B2 (en) * 1989-04-28 1995-10-04 株式会社日立製作所 Thin film forming equipment
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5091049A (en) * 1989-06-13 1992-02-25 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5314845A (en) * 1989-09-28 1994-05-24 Applied Materials, Inc. Two step process for forming void-free oxide layer over stepped surface of semiconductor wafer
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5223457A (en) * 1989-10-03 1993-06-29 Applied Materials, Inc. High-frequency semiconductor wafer processing method using a negative self-bias
FR2653633B1 (en) * 1989-10-19 1991-12-20 Commissariat Energie Atomique CHEMICAL TREATMENT DEVICE ASSISTED BY A DIFFUSION PLASMA.
JP3381916B2 (en) * 1990-01-04 2003-03-04 マトソン テクノロジー,インコーポレイテッド Low frequency induction type high frequency plasma reactor
JPH0740569B2 (en) * 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション ECR plasma deposition method
US5707692A (en) * 1990-10-23 1998-01-13 Canon Kabushiki Kaisha Apparatus and method for processing a base substance using plasma and a magnetic field
US5200232A (en) * 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
JP3042127B2 (en) * 1991-09-02 2000-05-15 富士電機株式会社 Method and apparatus for manufacturing silicon oxide film
EP0578047B1 (en) * 1992-06-23 1998-05-13 Nippon Telegraph And Telephone Corporation Plasma processing apparatus
JP3259380B2 (en) * 1992-12-04 2002-02-25 ソニー株式会社 Method for manufacturing semiconductor device
US5545591A (en) * 1993-01-29 1996-08-13 Nec Corporation Method for forming an aluminum film used as an interconnect in a semiconductor device
TW249313B (en) * 1993-03-06 1995-06-11 Tokyo Electron Co
US5537004A (en) * 1993-03-06 1996-07-16 Tokyo Electron Limited Low frequency electron cyclotron resonance plasma processor
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5449432A (en) * 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication
US5522937A (en) * 1994-05-03 1996-06-04 Applied Materials, Inc. Welded susceptor assembly
JP2630257B2 (en) * 1994-06-03 1997-07-16 日本電気株式会社 Method for manufacturing semiconductor device
US5540800A (en) * 1994-06-23 1996-07-30 Applied Materials, Inc. Inductively coupled high density plasma reactor for plasma assisted materials processing
US5597439A (en) * 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
JP3424867B2 (en) * 1994-12-06 2003-07-07 富士通株式会社 Plasma processing apparatus and plasma processing method

Patent Citations (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3634740A (en) 1970-04-20 1972-01-11 Addressograph Multigraph Electrostatic holddown
US3916270A (en) 1974-05-02 1975-10-28 Tektronix Inc Electrostatic holddown apparatus
US4184188A (en) 1978-01-16 1980-01-15 Veeco Instruments Inc. Substrate clamping technique in IC fabrication processes
US4292153A (en) 1979-03-19 1981-09-29 Fujitsu Limited Method for processing substrate materials by means of plasma treatment
US4514636A (en) 1979-09-14 1985-04-30 Eaton Corporation Ion treatment apparatus
US4514636B1 (en) 1979-09-14 1989-11-14
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4680061A (en) 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4313783A (en) 1980-05-19 1982-02-02 Branson International Plasma Corporation Computer controlled system for processing semiconductor wafers
US4324611A (en) 1980-06-26 1982-04-13 Branson International Plasma Corporation Process and gas mixture for etching silicon dioxide and silicon nitride
US4384918A (en) 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein
US4399016A (en) 1981-03-12 1983-08-16 Anelva Corporation Plasma device comprising an intermediate electrode out of contact with a high frequency electrode to induce electrostatic attraction
US4365588A (en) * 1981-03-13 1982-12-28 Rca Corporation Fixture for VPE reactor
US4431473A (en) 1981-07-17 1984-02-14 Tokyo Shibaura Denki Kabushiki Kaisha RIE Apparatus utilizing a shielded magnetron to enhance etching
US4512391A (en) 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4808258A (en) 1983-10-19 1989-02-28 Hitachi, Ltd. Plasma processing method and apparatus for carrying out the same
JPS6164124A (en) 1984-09-06 1986-04-02 Anelva Corp Thin film manufacturing equipment
US4760244A (en) * 1985-11-11 1988-07-26 Jiri Hokynar Apparatus for the treatment of semiconductor materials
US4705951A (en) 1986-04-17 1987-11-10 Varian Associates, Inc. Wafer processing system
US4771730A (en) 1986-09-12 1988-09-20 Kabushiki Kaisha Tokuda Seisakusho Vacuum processing apparatus wherein temperature can be controlled
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
JPS63292625A (en) 1987-05-26 1988-11-29 Sumitomo Metal Ind Ltd Controlling method for plasma
JPH01276736A (en) 1988-04-28 1989-11-07 Tokyo Electron Ltd Etching equipment
US4968374A (en) 1988-06-09 1990-11-06 Anelva Corporation Plasma etching apparatus with dielectrically isolated electrodes
JPH02271626A (en) 1989-04-13 1990-11-06 Sumitomo Metal Ind Ltd Plasma apparatus
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
JPH0376112A (en) 1989-08-17 1991-04-02 Nippon Sanso Kk Vapor deposition device
US5452177A (en) 1990-06-08 1995-09-19 Varian Associates, Inc. Electrostatic wafer clamp
US5221450A (en) 1990-08-07 1993-06-22 Kabushiki Kaisha Toshiba Electrostatic chucking method
US5099571A (en) 1990-09-07 1992-03-31 International Business Machines Corporation Method for fabricating a split-ring electrostatic chuck
US5325261A (en) 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US5234529A (en) 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
US5539609A (en) 1992-12-02 1996-07-23 Applied Materials, Inc. Electrostatic chuck usable in high density plasma
US5460684A (en) 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5382311A (en) 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5433812A (en) 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
JPH06280000A (en) 1993-03-24 1994-10-04 Japan Steel Works Ltd:The Plasma surface treatment method and device
US5330610A (en) * 1993-05-28 1994-07-19 Martin Marietta Energy Systems, Inc. Method of digital epilaxy by externally controlled closed-loop feedback
US5365057A (en) 1993-07-02 1994-11-15 Litton Systems, Inc. Light-weight night vision device
US5522131A (en) 1993-07-20 1996-06-04 Applied Materials, Inc. Electrostatic chuck having a grooved surface
JPH07161695A (en) 1993-12-02 1995-06-23 Tokyo Electron Ltd Plasma process method
US5525159A (en) 1993-12-17 1996-06-11 Tokyo Electron Limited Plasma process apparatus
US5792261A (en) 1993-12-17 1998-08-11 Tokyo Electron Limited Plasma process apparatus
US5467249A (en) 1993-12-20 1995-11-14 International Business Machines Corporation Electrostatic chuck with reference electrode
US5452510A (en) 1993-12-20 1995-09-26 International Business Machines Corporation Method of making an electrostatic chuck with oxide insulator
EP0660499A1 (en) 1993-12-20 1995-06-28 International Business Machines Corporation Guard ring electrostatic chuck
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
EP0668608A1 (en) 1994-02-22 1995-08-23 Applied Materials, Inc. Electrostatic chuck with erosion-resistant electrode connection
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5688357A (en) 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5958134A (en) * 1995-06-07 1999-09-28 Tokyo Electron Limited Process equipment with simultaneous or sequential deposition and etching capabilities
WO1997003224A1 (en) 1995-07-10 1997-01-30 Watkins Johnson Company A plasma enhanced chemical processing reactor and method
US5792272A (en) 1995-07-10 1998-08-11 Watkins-Johnson Company Plasma enhanced chemical processing reactor and method
US6178918B1 (en) * 1995-07-10 2001-01-30 Applied Materials, Inc. Plasma enhanced chemical processing reactor
US5767628A (en) 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
US5824607A (en) 1997-02-06 1998-10-20 Applied Materials, Inc. Plasma confinement for an inductively coupled plasma reactor
US6027601A (en) 1997-07-01 2000-02-22 Applied Materials, Inc Automatic frequency tuning of an RF plasma source of an inductively coupled plasma reactor

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
International Search Report, EP 97/305257, dated Apr. 14, 1998, 5 pages.
International Search Report, EP 97/305257, dated Nov. 21, 1997, 4 pages.
Lucovsky, G., "Deposition of silicon dioxide and silicon nitride by remote plasma enhanced chemical vapor deposition", Journal of Vacuum Science & Technology, vol. 4, No. 3, May-Jun. 1986.

Cited By (435)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020000198A1 (en) * 1997-05-29 2002-01-03 Applied Materials, Inc. The dome: shape and temperature controlled surfaces
USRE40195E1 (en) * 1998-12-30 2008-04-01 Tokyo Electron Limited Large area plasma source
US20020078893A1 (en) * 2000-05-18 2002-06-27 Applied Materials , Inc. Plasma enhanced chemical processing reactor and method
US20020092840A1 (en) * 2001-01-15 2002-07-18 Alfred Kersch Reaction chamber for processing a substrate wafer, and method for operating the chamber
US6716748B2 (en) * 2001-01-15 2004-04-06 Infineon Technologies Ag Reaction chamber for processing a substrate wafer, and method for processing a substrate using the chamber
US20030015291A1 (en) * 2001-07-18 2003-01-23 Jusung Engineering Co., Ltd. Semiconductor device fabrication apparatus having multi-hole angled gas injection system
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20040263819A1 (en) * 2003-06-26 2004-12-30 Sumitomo Heavy Industries, Ltd. Airtight processing apparatus, airtight processing method, and electron beam processing apparatus
US6965115B2 (en) * 2003-06-26 2005-11-15 Sumitomo Heavy Industries, Ltd. Airtight processing apparatus, airtight processing method, and electron beam processing apparatus
US20060060143A1 (en) * 2004-09-23 2006-03-23 Min-Woo Lee Method and apparatus for forming a thin layer
US8097120B2 (en) * 2006-02-21 2012-01-17 Lam Research Corporation Process tuning gas injection from the substrate edge
US20070193688A1 (en) * 2006-02-21 2007-08-23 Lam Research Corporation Process tuning gas injection from the substrate edge
US8663390B2 (en) * 2006-11-21 2014-03-04 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US20110259432A1 (en) * 2006-11-21 2011-10-27 David Keith Carlson Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature cvd systems
US8778079B2 (en) * 2007-10-11 2014-07-15 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US20120111271A1 (en) * 2007-10-11 2012-05-10 Begarney Michael J Chemical vapor deposition reactor
US20100294431A1 (en) * 2007-11-21 2010-11-25 Philippe Maquin Equipment for producing semiconductors, corresponding pumping device and substrate holder
US20090165713A1 (en) * 2007-12-26 2009-07-02 Samsung Electro-Mechanics Co, Ltd. Chemical vapor deposition apparatus
US8298338B2 (en) 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US20090221149A1 (en) * 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system
US8277561B2 (en) 2008-04-18 2012-10-02 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
US20090260569A1 (en) * 2008-04-18 2009-10-22 Samsung Electro-Mechanics Co., Ltd. Chemical vapor deposition apparatus
US20090260572A1 (en) * 2008-04-18 2009-10-22 Samsung Electro-Mechanics Co., Ltd. Chemical vapor deposition apparatus
US9287091B2 (en) 2008-05-30 2016-03-15 Colorado State University Research Foundation System and methods for plasma application
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
US9288886B2 (en) 2008-05-30 2016-03-15 Colorado State University Research Foundation Plasma-based chemical source device and method of use thereof
US8575843B2 (en) 2008-05-30 2013-11-05 Colorado State University Research Foundation System, method and apparatus for generating plasma
US9272359B2 (en) 2008-05-30 2016-03-01 Colorado State University Research Foundation Liquid-gas interface plasma device
US9028656B2 (en) 2008-05-30 2015-05-12 Colorado State University Research Foundation Liquid-gas interface plasma device
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10480072B2 (en) 2009-04-06 2019-11-19 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8222822B2 (en) 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
US20110095689A1 (en) * 2009-10-27 2011-04-28 Tyco Healthcare Group Lp Inductively-Coupled Plasma Device
US8878434B2 (en) 2009-10-27 2014-11-04 Covidien Lp Inductively-coupled plasma device
US20110203735A1 (en) * 2010-02-23 2011-08-25 Seo Seongsul Gas injection system for etching profile control
US9175394B2 (en) * 2010-03-12 2015-11-03 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US20110223334A1 (en) * 2010-03-12 2011-09-15 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
US20110308458A1 (en) * 2010-06-21 2011-12-22 Semes Co., Ltd. Thin Film Deposition Apparatus
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10370761B2 (en) 2011-10-28 2019-08-06 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10566223B2 (en) 2012-08-28 2020-02-18 Asm Ip Holdings B.V. Systems and methods for dynamic semiconductor process scheduling
US10023960B2 (en) 2012-09-12 2018-07-17 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US10524848B2 (en) 2013-03-06 2020-01-07 Covidien Lp System and method for sinus surgery
US10366864B2 (en) 2013-03-08 2019-07-30 Asm Ip Holding B.V. Method and system for in-situ formation of intermediate reactive species
US10340125B2 (en) 2013-03-08 2019-07-02 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
US10361201B2 (en) 2013-09-27 2019-07-23 Asm Ip Holding B.V. Semiconductor structure and device formed using selective epitaxial process
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US20150240359A1 (en) * 2014-02-25 2015-08-27 Asm Ip Holding B.V. Gas Supply Manifold And Method Of Supplying Gases To Chamber Using Same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10113232B2 (en) * 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10561975B2 (en) 2014-10-07 2020-02-18 Asm Ip Holdings B.V. Variable conductance gas distribution apparatus and method
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
US10438965B2 (en) 2014-12-22 2019-10-08 Asm Ip Holding B.V. Semiconductor device and manufacturing method thereof
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10312129B2 (en) 2015-09-29 2019-06-04 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10208380B2 (en) * 2015-12-04 2019-02-19 Applied Materials, Inc. Advanced coating method and materials to prevent HDP-CVD chamber arcing
US10655223B2 (en) * 2015-12-04 2020-05-19 Applied Materials, Inc. Advanced coating method and materials to prevent HDP-CVD chamber arcing
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US10262859B2 (en) 2016-03-24 2019-04-16 Asm Ip Holding B.V. Process for forming a film on a substrate using multi-port injection assemblies
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10249577B2 (en) 2016-05-17 2019-04-02 Asm Ip Holding B.V. Method of forming metal interconnection and method of fabricating semiconductor apparatus using the method
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20170350011A1 (en) * 2016-06-01 2017-12-07 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US11377737B2 (en) 2016-06-01 2022-07-05 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10662527B2 (en) * 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10541173B2 (en) 2016-07-08 2020-01-21 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10644025B2 (en) 2016-11-07 2020-05-05 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10622375B2 (en) 2016-11-07 2020-04-14 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US11396702B2 (en) 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11222772B2 (en) 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US12000042B2 (en) 2016-12-15 2024-06-04 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468262B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by a cyclical deposition and related semiconductor device structures
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US12020938B2 (en) 2018-03-27 2024-06-25 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US12025484B2 (en) 2019-04-29 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11996309B2 (en) 2019-05-16 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11996304B2 (en) 2019-07-16 2024-05-28 Asm Ip Holding B.V. Substrate processing device
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US12006572B2 (en) 2019-10-08 2024-06-11 Asm Ip Holding B.V. Reactor system including a gas distribution assembly for use with activated species and method of using same
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11830731B2 (en) 2019-10-22 2023-11-28 Asm Ip Holding B.V. Semiconductor deposition reactor manifolds
US11996292B2 (en) 2019-10-25 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US12020934B2 (en) 2020-07-08 2024-06-25 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US12033885B2 (en) 2021-01-04 2024-07-09 Asm Ip Holding B.V. Channeled lift pin
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US12033861B2 (en) 2021-06-07 2024-07-09 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US12027365B2 (en) 2021-11-19 2024-07-02 Asm Ip Holding B.V. Methods for filling a gap and related systems and devices
US12033849B2 (en) 2022-12-08 2024-07-09 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by PEALD using bis(diethylamino)silane

Also Published As

Publication number Publication date
EP0839217A4 (en) 2001-04-04
KR100241171B1 (en) 2000-02-01
EP0839217A1 (en) 1998-05-06
KR970008401A (en) 1997-02-24
US6178918B1 (en) 2001-01-30
US6001267A (en) 1999-12-14
TW283250B (en) 1996-08-11
JPH09167762A (en) 1997-06-24
ATE331053T1 (en) 2006-07-15
DE69636286T2 (en) 2007-04-12
DE69636286D1 (en) 2006-08-03
US5792272A (en) 1998-08-11
WO1997003224A1 (en) 1997-01-30
EP0839217B1 (en) 2006-06-21
JP3701390B2 (en) 2005-09-28
CN1189859A (en) 1998-08-05
CN1160479C (en) 2004-08-04

Similar Documents

Publication Publication Date Title
US6375750B1 (en) Plasma enhanced chemical processing reactor and method
US20020078893A1 (en) Plasma enhanced chemical processing reactor and method
US11315760B2 (en) Symmetric plasma process chamber
US11929251B2 (en) Substrate processing apparatus having electrostatic chuck and substrate processing method
TWI383468B (en) Rf power delivery system in a semiconductor apparatus
US7849815B2 (en) Plasma processing apparatus
US20080105660A1 (en) Apparatus and method to confine plasma and reduce flow resistance in a plasma reactor
US5556474A (en) Plasma processing apparatus
US20010004478A1 (en) Plasma treatment of titanium nitride formed by chemical vapor deposition
US6016765A (en) Plasma processing apparatus
US11887824B2 (en) Method of cleaning plasma processing apparatus and plasma processing apparatus
US20030037879A1 (en) Top gas feed lid for semiconductor processing chamber

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:WJ SEMICONDUCTOR EQUIPMENT GROUP, INC.;REEL/FRAME:010828/0108

Effective date: 19990329

CC Certificate of correction
FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20140423