US20190311940A1 - Substrate supporting apparatus, substrate processing apparatus including the same, and substrate processing method - Google Patents

Substrate supporting apparatus, substrate processing apparatus including the same, and substrate processing method Download PDF

Info

Publication number
US20190311940A1
US20190311940A1 US16/252,569 US201916252569A US2019311940A1 US 20190311940 A1 US20190311940 A1 US 20190311940A1 US 201916252569 A US201916252569 A US 201916252569A US 2019311940 A1 US2019311940 A1 US 2019311940A1
Authority
US
United States
Prior art keywords
substrate
channel
sub
space
rim
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US16/252,569
Other languages
English (en)
Inventor
Seung Woo Choi
Seung Hwan Lee
Ju Hyuk Park
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of US20190311940A1 publication Critical patent/US20190311940A1/en
Assigned to ASM IP HOLDING B.V. reassignment ASM IP HOLDING B.V. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHOI, SEUNGWOO, LEE, SEUNGHWAN, PARK, Juhyuk
Priority to US17/510,239 priority Critical patent/US20220044956A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Definitions

  • One or more embodiments relate to a substrate supporting apparatus (e.g., susceptor), a substrate processing apparatus including the substrate supporting apparatus, and a substrate processing method, and more particularly, to a substrate supporting apparatus capable of preventing deposition on a rear surface of a substrate to be processed, a substrate processing apparatus including the substrate supporting apparatus, and a substrate processing method.
  • a substrate supporting apparatus e.g., susceptor
  • a substrate processing apparatus including the substrate supporting apparatus e.g., substrate processing apparatus
  • a substrate processing method e.g., a substrate processing apparatus capable of preventing deposition on a rear surface of a substrate to be processed
  • a substrate processing apparatus including the substrate supporting apparatus, and a substrate processing method.
  • a thin film on a rear surface of the substrate exerts bad influence on subsequent processes due to generation of contaminants such as particles, etc., and degrades yield and device characteristics of a semiconductor device.
  • ESC electrostatic chuck
  • a processing gas may infiltrate into a gap between the deformed susceptor and the substrate or between the deformed substrate and the susceptor, thereby generating an undesired thin film on the rear surface of the substrate.
  • ECS edge contact susceptor
  • One or more embodiments include a substrate supporting apparatus capable of preventing a substrate from escaping the substrate supporting apparatus due to a variation in pressure of a reactor during depositing of a thin film in order to prevent a thin film from being deposited on a rear surface of the substrate, a substrate processing apparatus including the substrate supporting apparatus, and a substrate processing method using the substrate processing apparatus.
  • a substrate supporting apparatus includes: a susceptor main body including an inner portion, a periphery portion, and a concave portion between the inner portion and the periphery portion; and a rim arranged in the concave portion, wherein, when a substrate is mounted on the rim, the rim contacts the substrate within an edge exclusion zone of the substrate, an upper surface of the inner portion is lower than an upper surface of the rim to make a rear surface of the substrate be separate from the inner portion, a first space is formed between the rear surface of the substrate and the inner portion, a second space is formed above the substrate, and one or more channels are formed in at least one of the susceptor main body and the rim, the one or more channels connecting the first space to the second space separately or together with each other.
  • the one or more channels may be provided between an inner wall and an outer wall of the rim, and the one or more channels may be arranged along a circumference that is spaced apart from a center of the substrate supporting apparatus by a first distance.
  • the first distance may be greater than a radius of the substrate.
  • the one or more channels may be symmetrically arranged about a center axis of the substrate supporting apparatus.
  • the one or more channels may include a first sub-channel and a second sub-channel, the first sub-channel may be a through hole which contacts an upper surface of the rim and thus communicates with the second space and extends toward a lower portion of the rim by penetrating through the rim, and the substrate may not be in contact with the first sub-channel.
  • the second sub-channel of the one or more channels may communicate with the first space, and the second sub-channel may have a structure tapered towards the first space.
  • the second sub-channel of the one or more channels may communicate with the first space, and the second sub-channel may be provided in a circumferential direction.
  • a contact portion between the edge exclusion zone of the substrate and the rim may have a ring shape that is continuously provided along a circumference that is spaced apart from a center of the substrate supporting apparatus by a predetermined distance.
  • a portion where the one or more channels and the first space meet each other may be spaced apart from a rear surface of the substrate.
  • a substrate processing apparatus includes: a reactor wall; a substrate supporting apparatus; a heater block; a gas inlet; a gas supply unit; and an exhaust unit, wherein the substrate supporting apparatus includes a susceptor main body and a rim, the susceptor main body includes an inner portion, a periphery portion, and a concave portion between the inner portion and the periphery portion, and the rim is arranged on the concave portion, when a substrate is mounted on the rim, the rim contacts the substrate within an edge exclusion zone of the substrate, the reactor wall and the periphery portion of the substrate supporting apparatus form a reaction space through face-contact, a separate space is formed between the inner portion and the substrate, and the reaction space and the separate space communicate with each other through one or more channels.
  • the one or more channels may include a first sub-channel and a second sub-channel, the first sub-channel may be provided in a surface or an inner portion of the reactor wall to communicate with the reaction space above the substrate, the second sub-channel may be provided in a surface or an inner portion of at least one of the susceptor main body and the rim to communicate with the separate space, and the first sub-channel may communicate with the separate space via the second sub-channel.
  • Each of the first sub-channel and the second sub-channel may include a through hole or a groove.
  • the one or more channels may include a first sub-channel and a second sub-channel, the first sub-channel may be provided in a surface or an inner portion of the rim to communicate with the reaction space, the second sub-channel may be provided in at least one of the susceptor main body and the rim to communicate with the separate space, and the first sub-channel may communicate with the separate space via the second sub-channel.
  • the first sub-channel may include one or more first through holes penetrating through at least a part of the rim, the one or more first through holes may be spaced apart from one another along a first circumference having a first radius on an upper surface of the rim, and the first radius may be greater than a radius of the substrate.
  • the one or more channels may have a structure tapered towards the separate space.
  • An inert gas may be introduced from the reaction space to the separate space through the one or more channels before performing a thin film deposition process, and the inert gas introduced before the thin film deposition process may prevent pressure imbalance between the reaction space and the separate space during the deposition of the thin film.
  • a substrate processing method includes: supplying an inert gas; and depositing a thin film by sequentially and repeatedly supplying a source gas, supplying a reaction gas, and activating the reaction gas, wherein a center portion of a substrate and a center portion of a susceptor are spaced apart from each other to form a separate space, the reaction space above the substrate and the separate space communicate with each other via one or more channels, the inert gas is introduced to the separate space through the one or more channels during the supplying of the inert gas, and the introduced inert gas prevents pressure imbalance between the separate space and the reaction space during the deposition of the thin film.
  • a thickness of a film deposited on a rear surface of the substrate during the depositing of the thin film may be controlled by controlling a flow rate of the inert gas introduced into the separate space.
  • a purge gas may be supplied during the deposition of the thin film, and a flow rate of the purge gas supplied during the deposition of the thin film may be adjusted to make a pressure in the separate space and a pressure in the reaction space be equal to each other.
  • the introduced inert gas may prevent the source gas and the reaction gas from being introduced into the separate space during the deposition of the thin film.
  • FIG. 1A is a schematic diagram of a substrate supporting apparatus (e.g., a susceptor main body) according to an embodiment
  • FIG. 1B is a cross-sectional view of the substrate supporting apparatus taken along a line A-A′ of FIG. 1A ;
  • FIG. 2A is a schematic diagram showing a state in which a susceptor main body and a rim are isolated according to an embodiment
  • FIG. 2B is a diagram showing a coupled state of the susceptor main body and the rim of FIG. 2A ;
  • FIG. 2C is a cross-sectional view of a substrate supporting apparatus taken along a line B-B′ of FIG. 2B ;
  • FIG. 2D is a diagram of a substrate mounted on a rim according to an embodiment
  • FIG. 2E is a diagram of a rim according to an embodiment
  • FIG. 3 is a schematic cross-sectional view of a substrate processing apparatus including a substrate supporting apparatus according to an embodiment
  • FIG. 4 is a schematic diagram of a substrate including an edge exclusion zone
  • FIG. 5A is a diagram of a rim including one or more grooves according to an embodiment
  • FIG. 5B is a cross-sectional view of a substrate supporting apparatus taken along a line C-C′ of FIG. 5A ;
  • FIG. 5C is a partially enlarged view of a substrate processing apparatus including the substrate supporting apparatus of FIGS. 5A and 5B ;
  • FIG. 6A is a diagram of a substrate supporting apparatus including one or more grooves according to an embodiment
  • FIG. 6B is a cross-sectional view of the substrate supporting apparatus taken along a line D-D′ of FIG. 6A ;
  • FIG. 6C is a partially enlarged view of a substrate processing apparatus including the substrate supporting apparatus of FIGS. 6A and 6B ;
  • FIGS. 7A to 7E are diagrams of a substrate supporting apparatus including a through hole according to an embodiment
  • FIG. 8 is a diagram of a substrate supporting apparatus and a reactor wall including a through hole according to an embodiment
  • FIG. 9 is a diagram of a substrate supporting apparatus according to an embodiment.
  • FIG. 10 is a schematic diagram for describing a substrate processing method using a substrate processing apparatus according to an embodiment
  • FIG. 11 is a diagram showing a thickness of a SiO 2 layer on a rear surface of a substrate when processes are performed by using the substrate supporting apparatus of FIGS. 5A to 5C ;
  • FIG. 12 is a schematic diagram of a substrate used in processes illustrated in FIG. 11 .
  • Embodiments of the present disclosure may, however, be embodied in many different forms and should not be construed as limited to the exemplary embodiments set forth herein. Rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the scope of the present disclosure to one of ordinary skill in the art.
  • first and second are used herein to describe various members, regions, and/or portions, these members, regions, and/or portions should not be limited by these terms. The terms do not mean a particular order, up and down, or superiority, and are used only for distinguishing one member, component, region, laver, or portion from another member, component, region, layer, or portion. Thus, a first member, component, region, layer, or portion which will be described may also refer to a second member, component, region, layer, or portion, without departing from the scope of the present disclosure.
  • FIG. 1A is a schematic diagram of a substrate supporting apparatus according to an embodiment.
  • FIG. 1B is a cross-sectional view of the substrate supporting apparatus taken along a line A-A′ of FIG. 1A .
  • the substrate supporting apparatus may include a susceptor main body 13 .
  • the susceptor main body 13 includes an inner portion 1 , a periphery portion 3 , and a concave portion 2 provided between the inner portion 1 and the periphery portion 3 .
  • a rim may be arranged on the concave portion 2 .
  • the inner portion 1 and the concave portion 2 form a first stepped portion 10 .
  • the first stepped portion 10 may be provided between the inner portion 1 and the concave portion 2 .
  • the periphery portion 3 and the concave portion 2 form a second stepped portion 20 .
  • the second stepped portion 20 may be provided between the periphery portion 3 and the concave portion 2 .
  • the rim may be arranged between the first stepped portion 10 and the second stepped portion 20 .
  • the susceptor main body 13 includes one continuous component, and may generally have a circular and a disc shape.
  • the shape of the susceptor main body 13 is not limited thereto, and the susceptor main body 13 may have a shape corresponding to a shape of a substrate to be processed.
  • the susceptor main body 13 may have a square shape so as to accommodate the square substrate.
  • the susceptor main body 13 may be adjusted and configured to a size capable of accommodating a semiconductor substrate having an arbitrary diameter, for example, a substrate of 150 mm, 200 mm, and 300 mm diameters. Also, the susceptor main body 13 may include a metal material such as aluminum or an alloy, or a material having high thermal conductivity, in order to sufficiently transfer heat to the substrate from a heater block (not shown) supporting the susceptor main body 13 .
  • the inner portion 1 may include at least one substrate supporting pin hole 22 for loading and supporting the substrate. Also, the inner portion 1 may include at least one susceptor main body fixing pin hole 23 in order to fix the susceptor main body 13 to the heater block (not shown).
  • the periphery portion 3 may have a flat surface in order to form a reaction space through face-contact and face-sealing with a reactor wall of a reactor.
  • the inner portion 1 may have a flat surface in order to evenly transfer the heat from the heater block (not shown) to the substrate.
  • a structure of the susceptor main body 13 is not limited to the example shown in FIGS. 1A and 1B .
  • the concave portion 2 is shown to have a flat surface, but the concave portion 2 may have a rounded surface.
  • the inner portion 1 may have a concave surface.
  • the substrate to be processed may have a predetermined curvature, and then, a curvature of the concave surface in the inner portion 1 may correspond to the curvature of the substrate that is deformed through the high-temperature process. Accordingly, the heat may be evenly transferred to the substrate.
  • FIG. 2A is a schematic diagram showing a state in which the susceptor main body 13 and a rim 4 are separated from each other according to an embodiment.
  • FIG. 2B is a diagram showing a coupled state of the susceptor main body 13 and the rim 4 of FIG. 2A .
  • FIG. 2C is a cross-sectional view of a substrate supporting apparatus taken along a line B-B′ of FIG. 2B .
  • FIG. 2D is a diagram of a substrate mounted on the rim 4 according to an embodiment.
  • FIG. 2E is a diagram of the rim 4 according to an embodiment.
  • the substrate supporting apparatus may include the susceptor main body 13 and the rim 4 for supporting a substrate. As shown in FIGS. 2B and 2C , the rim 4 may be mounted on the concave portion 2 . A substrate to be processed may be mounted on the rim 4 .
  • the rim 4 may be arranged between the inner portion 1 and the periphery portion 3 of the susceptor main body 13 .
  • the rim 4 may be spaced apart from the inner portion 1 , so that the susceptor main body 13 may maintain its shape even when the inner portion 1 or the rim 4 thermally expands in a horizontal direction at high temperature.
  • the first stepped portion 10 and the rim 4 may be separated from each other by a distance W.
  • the susceptor main body 13 and the rim 4 may include different materials from each other.
  • the susceptor main body 13 may include a metal material such as aluminum or an alloy, or a material having high thermal conductivity in order to sufficiently transfer heat to the substrate.
  • the rim 4 may include an insulator.
  • the rim 4 may include a material having a low thermal expansion rate (e.g., ceramic) in order to stably support the substrate even at high temperature.
  • the rim 4 may have a donut shape having a rectangular cross-section, but is not limited thereto.
  • the concave portion 2 has a round concave surface
  • the rim 4 may have a convex bottom surface.
  • the rim 4 may have a third stepped portion S formed toward the inner portion 1 on an inner side of an upper surface of the rim 4 .
  • a substrate 5 may be mounted on the inner side of the third stepped portion S.
  • the third stepped portion S may further include a pad P, and the substrate 5 may be mounted on the pad P.
  • An edge portion of the substrate 5 e.g., edge exclusion zone (Z of FIG. 4 )
  • the third stepped portion S may prevent sliding or escaping of the substrate 5 when loading the substrate 5 .
  • the susceptor main body 13 and/or the rim 4 may be adjusted and configured to a size capable of accommodating a semiconductor substrate having an arbitrary diameter, for example, a substrate of 150 mm, 200 mm, and 300 mm diameters.
  • the rim 4 may be detachable from the susceptor main body 13 .
  • an outer circumferential surface of the rim 4 and an inner circumferential surface of the concave portion in the susceptor main body 13 are mechanically coupled to each other (e.g., via a frictional force between the outer circumferential surface and the inner circumferential surface), and then, the rim 4 may be loaded on the susceptor main body 13 .
  • the rim 4 may be replaced with another rim having a different width and/or a different height.
  • FIGS. 2A to 2C show that the susceptor main body 13 and the rim 4 are separable, but may be integrally provided.
  • a height of the inner portion 1 (that is, a height a of the first stepped portion 10 ) may be less than a height b of the rim 4 . That is, an upper surface of the inner portion 1 may be lower than an upper surface of the rim 4 .
  • the above structure makes a rear surface of the substrate 5 and the inner portion 1 be spaced apart from each other, when the substrate 5 is mounted on the rim 4 , as shown in FIG. 2D .
  • a distance (b ⁇ a) between the substrate 5 and the inner portion 1 may be, for example, 0.1 mm to 0.5 mm, so that thermal radiation from a heater block 32 (see FIG. 3 ) to the substrate 5 may be sufficiently performed.
  • the distance (b ⁇ a) may be about 0.3 mm.
  • a height of the periphery portion 3 of the susceptor main body 13 may be less than the height b of the rim 4 .
  • a contamination source e.g., contamination particles
  • backflow of a contamination source generated when a processing gas infiltrates into a contact surface between a reactor wall 39 (see FIG. 3 ) and the periphery portion 3 or particles remaining on the contact surface into the reaction space (reference numeral R of FIG. 3 ) may be prevented.
  • FIG. 4 is a schematic diagram of the substrate 5 including an edge exclusion zone Z.
  • the substrate 5 in FIG. 2E may include an edge exclusion zone Z at an edge thereof, and the edge exclusion zone Z may be distinguished from the other regions of the substrate 5 in that uniform deposition is not necessary because the edge exclusion zone Z is not used as a die (a device forming region).
  • the edge exclusion zone Z is provided within 2 mm to 3 mm from the edge of a substrate. In the present disclosure, it is assumed that the edge exclusion zone Z of the substrate 5 has a width M.
  • FIG. 2D shows that the substrate 5 of FIG. 4 is mounted on the rim 4 according to the embodiment.
  • the susceptor main body 13 (see FIG. 2A ) and the rim 4 respectively include materials having different heat conduction rates from each other, and the substrate 5 and the inner portion 1 are spaced apart from each other.
  • the substrate 5 may have different temperatures at a portion contacting the rim 4 and a portion not contacting the rim 4 . Since a deposition process is generally sensitive to the temperature of the substrate 5 , the unevenness in the temperature may affect the deposition process. Accordingly, as shown in FIG. 2D , when the substrate 5 is mounted on the rim 4 , the rim 4 may contact the substrate 5 only within the edge exclusion zone Z. As such, temperature uniformity may be ensured within entire area of the substrate 5 , except for the edge exclusion zone Z.
  • Korean Patent Application No. 10-2017-0066979 discloses a detailed embodiment of an edge contact susceptor (ECS) including the susceptor main body 13 and the rim 4 .
  • ECS edge contact susceptor
  • FIG. 3 is a schematic cross-sectional view of a substrate processing apparatus including a substrate supporting apparatus according to an embodiment.
  • Examples of the substrate processing apparatus described with reference to the present embodiment may include a semiconductor or display substrate deposition apparatus, but are not limited thereto.
  • the substrate processing apparatus may be any kind of apparatus that is necessary for performing deposition of a material for forming a thin film, or may be an apparatus for evenly supplying a raw material for etching or polishing a material.
  • the substrate processing apparatus is a semiconductor deposition apparatus.
  • the substrate processing apparatus includes the reactor 38 , the reactor wall 39 , a substrate supporting apparatus including the susceptor main body 13 and the rim 4 , a heater block 32 , a gas inlet 33 , gas supply units 34 and 35 , and an exhaust portion 31 .
  • the substrate supporting apparatus is provided in the reactor 38 .
  • the substrate supporting apparatus may be, for example, the substrate supporting apparatus illustrated with reference to FIGS. 2A to 2D .
  • the susceptor main body 13 includes the inner portion 1 , the periphery portion 3 , and the concave portion 2 provided between the inner portion 1 and the periphery portion 3 , and the rim 4 is arranged on the concave portion 2 .
  • the upper surface of the inner portion 1 is lower than that of the rim 4 , and as such, the rear surface of the substrate 5 is spaced apart from the inner portion 1 . Accordingly, a separate space G is provided between the rear surface of the substrate 5 and the inner portion 1 .
  • the reactor 38 is a reactor in which an atomic layer deposition (ALD) or a chemical vapor deposition (CVD) process or the like is performed.
  • the reactor wall 39 and the periphery portion 3 of the substrate supporting apparatus form a reaction space R through face-contact and face-sealing.
  • the rim 4 may have a height that is greater than that of the periphery portion 3 in order to prevent backflow of a contamination source that is generated when a processing gas infiltrates into a contact surface between the reactor wall 39 and the periphery portion 3 into the reaction space R.
  • the susceptor main body 13 and the heater block 32 may be configured to be connected to a device (not shown) provided at a side of the heater block 32 , so as to be moved together for loading/unloading of the substrate 5 .
  • the susceptor main body 13 and the heater block 32 may be connected to a device capable of elevating/descending the susceptor main body 13 and the heater block 32 , and then, may generate an entrance, through which the substrate 5 may be loaded or unloaded, between the reactor wall 39 and the susceptor main body 13 .
  • the substrate 5 is loaded on the rim 4 .
  • the reactor 38 may have an upward exhaust structure, but is not limited thereto.
  • the heater block 32 includes a hot wire or element, and supplies heat to the susceptor main body 13 and the substrate 5 .
  • the gas supply unit ( 34 , 35 ) may include a gas channel 34 , a gas supply plate 35 , and a gas flow channel 36 .
  • the gas flow channel 36 may be provided between the gas channel 34 and the gas supply plate 35 .
  • the processing gas introduced through the gas inlet 33 may be supplied to the reaction space R and the substrate 5 via the gas flow channel 36 and the gas supply plate 35 .
  • the gas supply plate 35 may be a showerhead, and a base of the shower head may include a plurality of gas supply holes provided to supply the processing gas (e.g., in a vertical direction).
  • the processing gas supplied onto the substrate 5 may react chemically with the substrate 5 or with gases, and then, may form a thin film on the substrate 5 or may etch the thin film.
  • the exhaust portion may include an exhaust channel 31 and an exhaust port 37 .
  • a remaining gas or an unreacted gas after the chemical reaction with the substrate 5 may be exhausted to the outside through the exhaust channel 31 provided in the reactor wall 39 , the exhaust port 37 , and an exhaust pump (not shown).
  • the exhaust channel 31 may be continuously provided along with the reactor wall 39 in the reactor wall 39 .
  • An upper portion of the exhaust channel 31 may be partially connected to the exhaust port 37 .
  • the gas channel 34 and the gas supply plate 35 may be made of a metal material, and they are mechanically connected to each other via a coupling unit such as a screw to perform as an electrode during a plasma process.
  • a radio frequency (RF) power source may be electrically connected to the showerhead functioning as one electrode.
  • an RF load 40 connected to the RF power source may penetrate through the reactor wall 39 to be connected to the gas channel 34 .
  • the susceptor main body 13 may function as an opposite electrode.
  • an insulator (not shown) may be inserted between the RF load 40 and the reactor wall 39 and/or between the gas channel 34 and the reactor wall 39 to form a stack structure, and thus, leakage of the plasma power may be prevented and efficiency of the plasma process may be improved.
  • Korean Patent Application No. 10-2016-0152239 discloses in detail a detailed embodiment of the gas inlet and a gas outlet of the reactor.
  • the gas introduced into the reaction space R via the gas supply plate 35 has a fluctuated flow rate according to stages of the substrate processing operation, and accordingly, pressure varies.
  • a pressure difference of about 3 Torr to about 10 Torr is generated between the reaction space R and the separate space G based on the substrate 5 during the substrate processing operation, because of frequent exchange of gases. Due to the pressure difference, the substrate 5 may be unloaded from the substrate supporting apparatus or may be dislocated from an original position during the process.
  • a gap may be generated between the substrate 5 and the rim 4 , and the processing gas infiltrates into the gap and causes an undesired thin film on a rear surface of the substrate 5 .
  • the film formed on the rear surface of the substrate 5 may not only act as a contamination source in the reactor, but also contaminates the apparatus in post-processes. Thus, yield and device characteristics of the semiconductor device may degrade. Therefore, a method of removing the pressure difference between the reaction space R and the separate space G is necessary.
  • the present disclosure introduces a channel connecting the reaction space and the separate space to each other.
  • Such above channel may be provided in or on a surface of at least one of the susceptor main body 13 , the rim 4 , or the reactor wall 39 .
  • a substrate supporting apparatus and a substrate processing apparatus according to embodiments of the present disclosure will be described below with reference to FIGS. 5A to 9 .
  • a substrate supporting apparatus and a substrate processing method capable of maintaining a constant pressure in a separate space by injecting an inert gas into the separate space will be described below.
  • FIG. 5A is a diagram of the rim 4 including one or more grooves according to an embodiment.
  • One or more channels may be provided on a surface of the rim 4 and/or between an inner wall W I and an outer wall W O of the rim 4 .
  • the one or more channels may include a first sub-channel 50 and a second sub-channel 51 .
  • the first sub-channel 50 is a groove.
  • the first groove 50 may be provided in the outer wall W O of the rim 4 .
  • the first groove 50 may extend from an upper surface U to a lower surface L of the rim 4 in the outer wall W O of the rim 4 .
  • a plurality of first grooves 50 may be arranged to be spaced apart from one another along a circumferential direction of the outer wall W O of the rim 4 .
  • the plurality of first grooves 50 may be symmetrically arranged about a center axis of the rim 4 or a center axis of the substrate supporting apparatus.
  • a second sub-channel 51 may be provided on a surface contacting the concave portion of the susceptor main body (that is, the lower surface L of the rim 4 ).
  • the second sub-channel 51 is a groove.
  • the second groove 51 may extend from the outer wall W O to the inner wall W I of the rim 4 in the lower surface L of the rim 4 .
  • a plurality of second grooves 51 may be arranged to be spaced apart from one another along a circumferential direction of the lower surface L of the rim 4 .
  • the plurality of second grooves 51 may be symmetrically arranged about a center axis of the rim 4 or a center axis of the substrate supporting apparatus. Owing to the symmetric arrangement, the gas in the reaction space R may be evenly introduced to the separate space G via the first grooves 50 and the second grooves 51 , as will be described later.
  • the first groove 50 and the second groove 51 may be engaged to be connected to each other.
  • FIG. 5B is a cross-sectional view of the rim 4 of FIG. 5A loaded in the susceptor main body 13 , taken along a line C-C′ of FIG. 5A .
  • the substrate supporting apparatus may include the susceptor main body 13 and the rim 4 for supporting the substrate 5 .
  • the rim 4 may be mounted in the concave portion.
  • the substrate 5 may be mounted on the rim 4 .
  • An upper surface of the inner portion 1 may be lower than an upper surface of the rim 4 .
  • the above structure makes a rear surface of the substrate 5 be spaced apart from the inner portion 1 when the substrate 5 is mounted on the rim 4 . Accordingly, a first space G 1 is generated between the rear surface of the substrate 5 and the inner portion 1 .
  • a second space R 1 is generated on an upper portion of the substrate 5 .
  • One or more channels may be provided on a surface of the rim 4 .
  • the one or more channels may connect the first space G 1 to the second space R 1 separately or together with one another.
  • the one or more channels may include the first sub-channel 50 and the second sub-channel 51 .
  • the first sub-channel 50 may extend from the upper surface to the lower surface of the rim 4 in the outer wall W O of the rim 4 , and may communicate with the second space R 1 .
  • the second sub-channel 51 extends from the outer wall W O to the inner wall W I of the rim 4 in the lower surface of the rim 4 , and may communicate with the first space G 1 .
  • the first sub-channel 50 may communicate with the second sub-channel 51 .
  • the first sub-channel 50 may communicate with the first space G 1 via the second sub-channel 51 . As such, the first sub-channel 50 connects the first space G 1 to the second space R 1 , together with the second sub-channel 51 .
  • a flow rate of the gas introduced from the second space R 1 to the first space G 1 may be adjusted.
  • a width h 1 of the first sub-channel 50 and a width h 2 of the second sub-channel 51 may be less than an interval between the substrate 5 and the inner portion 1 .
  • an inert gas supplied before the thin film deposition process is introduced into the first space G 1 through the above first and second sub-channels 50 and 51 , and the inert gas in the first space G 1 is not substantially discharged to the second space R 1 , but remains in the first space G 1 .
  • the remaining inert gas may prevent pressure imbalance between the first space G 1 and the second space R 1 during the deposition of the thin film.
  • FIG. 5C is a partially enlarged view of a substrate processing apparatus including the substrate supporting apparatus of FIGS. 5A and 5B .
  • the reactor wall 39 and the periphery portion 3 of the substrate supporting apparatus generates the reaction space R through face-contact and face-sealing.
  • the rear surface of the substrate 5 loaded on the rim 4 of the substrate supporting apparatus is spaced apart from the inner portion 1 , and accordingly, the separate space G is generated between the rear surface of the substrate 5 and the inner portion 1 .
  • One or more channels may be provided between the rim 4 and the susceptor main body 13 and between the rim 4 and the reactor wall 39 .
  • the one or more channels connect the separate space G to the reaction space R.
  • the one or more channels may be grooves.
  • the one or more channels may include the first sub-channel 50 and the second sub-channel 51 .
  • the first sub-channel 50 may be provided between the rim 4 and the reactor wall 39 , and may communicate with the reaction space R.
  • the second sub-channel 51 may be provided between the rim 4 and the susceptor main body 13 , and may communicate with the separate space G.
  • the reaction space R may communicate with the separate space G via the first sub-channel 50 and the second sub-channel 51 .
  • FIG. 6A is a diagram of a substrate supporting apparatus including one or more grooves according to an embodiment.
  • the substrate supporting apparatus according to the embodiment may be a modified example of the substrate supporting apparatus according to the above-described embodiments.
  • FIG. 6B is a cross-sectional view of the substrate supporting apparatus taken along a line D-D′ of FIG. 6A , and in FIG. 6B , a substrate is mounted on a rim.
  • one or more channels connecting the first space G 1 and the second space R 1 may be provided in the susceptor main body 13 .
  • the one or more channels may include a first sub-channel 60 and a second sub-channel 61 .
  • the first sub-channel 60 and the second sub-channel 61 are grooves.
  • the first sub-channel 60 may be provided in an internal wall of the periphery portion 3 in the susceptor main body 13 , that is, between the rim 4 and the periphery portion 3 .
  • the first sub-channel 60 may extend from an upper surface of the periphery portion 3 to an upper surface of the concave portion 2 along the internal wall of the periphery portion 3 .
  • the first sub-channel 60 may communicate with the second space R 1 .
  • a plurality of first sub-channels 60 may be arranged to be spaced apart from one another in the internal wall of the periphery portion 3 along a circumferential direction.
  • the first sub-channels 60 may be symmetrically arranged about a center axis of the substrate supporting apparatus.
  • the second sub-channel 61 may be provided on an upper surface of the concave portion 2 of the susceptor main body, that is, between the rim 4 and the concave portion 2 .
  • the second sub-channel 61 may extend from the internal wall of the periphery portion 3 i.e. the second stepped portion 20 to the first stepped portion 10 on the upper surface of the concave portion 2 .
  • a plurality of second sub-channels 61 may be arranged to be spaced apart from one another on the upper surface of the concave portion 2 along a circumferential direction.
  • the second sub-channels 61 may be symmetrically arranged about a center axis of the substrate supporting apparatus.
  • the second sub-channel 61 may communicate with the first space G 1 .
  • the first sub-channel 60 and the second sub-channel 61 may be engaged with each other.
  • the first sub-channel 60 may communicate with the first space G 1 via the second sub-channel 61 .
  • the first sub-channel 60 connects the first space G 1 to the second space R 1 , together with the second sub-channel 61 .
  • a width h 3 of the first sub-channel 60 and a width h 4 of the second sub-channel 61 may be much less than an interval between the substrate 5 and the inner portion 1 .
  • FIG. 6C is a partially enlarged view of a substrate processing apparatus including the substrate supporting apparatus of FIGS. 6A and 6B .
  • the reactor wall 39 and the periphery portion 3 of the substrate supporting apparatus generate the reaction space R through face-contact and face-sealing.
  • the rear surface of the substrate 5 loaded on the rim 4 of the substrate supporting apparatus is spaced apart from the inner portion 1 , and accordingly, the separate space G is generated between the rear surface of the substrate 5 and the inner portion 1 .
  • One or more channels may be provided between the rim 4 and the susceptor main body 13 and between the rim 4 and the reactor wall 39 .
  • the one or more channels connect the separate space G to the reaction space R.
  • the one or more channels may be grooves.
  • the first sub-channel 60 and the second sub-channel 61 may be provided between the rim 4 and the susceptor main body 13 .
  • the second sub-channel 61 may communicate with the separate space G, and may also communicate with the first sub-channel 60 .
  • a third sub-channel 62 may be provided between the rim 4 and the reactor wall 39 .
  • the third sub-channel 62 may be a groove formed on the surface of the reactor wall 39 .
  • the third sub-channel 62 may communicate with the reaction space R and the first sub-channel 60 .
  • the first sub-channel 60 , the second sub-channel 61 , and the third sub-channel 62 may connect the reaction space R and the separate space G to each other.
  • the first sub-channel 60 , the second sub-channel 61 , and the third sub-channel 62 are grooves.
  • FIGS. 7A to 7E are diagrams of a substrate supporting apparatus including a through hole according to an embodiment.
  • the substrate supporting apparatus according to the embodiment may be a modified example of the substrate supporting apparatus according to the above-described embodiments.
  • FIG. 7A is a top view of the rim 4 including a channel.
  • FIGS. 7B to 7E are diagrams of modified examples of the substrate supporting apparatus of FIG. 7A .
  • the substrate 5 is mounted on the rim 4 .
  • one or more channels connecting the first space G 1 to the second space R 1 may be provided between the inner wall W I and the outer wall W O of the rim 4 .
  • the plurality of channels may be arranged to be spaced apart from one another on an upper surface of the rim 4 , along a circumference that is distant from a center of the substrate supporting apparatus by a first distance (in this case, D 1 ).
  • the first distance D 1 may be greater than a radius of the substrate 5 .
  • the one or more channels may be symmetrically arranged about a center axis of the substrate supporting apparatus. Unlike the substrate supporting apparatus described above, in the example of FIG. 7A , the one or more channels are through holes that penetrate through at least a part of the rim 4 .
  • FIG. 7B shows an example of the substrate supporting apparatus of FIG. 7A , that is, a cross-sectional view of the substrate supporting apparatus taken along a line E-E′ of FIG. 7A .
  • the channels of FIG. 7A may be provided between the inner wall W I and the outer wall W O of the rim 4 .
  • the one or more channels may include a first sub-channel 70 and a second sub-channel 71 .
  • the first sub-channel 70 and the second sub-channel 71 are through holes.
  • the first sub-channel 70 may be provided between the inner wall W I and the outer wall W O of the rim 4 .
  • the first sub-channel 70 communicates with the second space R 1 while contacting the upper surface of the rim 4 , and may extend downward by penetrating through the rim 4 .
  • a plurality of first sub-channels 70 may be arranged along a circumference spaced a first distance D 1 from a center of the substrate supporting apparatus.
  • the first sub-channels 70 may be symmetrically arranged about a center axis of the substrate supporting apparatus.
  • the first distance D 1 may be greater than a radius of the substrate, and thus, the substrate 5 may not contact the first sub-channels 70 when the substrate 5 is mounted on the rim 4 .
  • the gas introduced from the reaction space R 1 via the first sub-channels 70 may be directly deposited on a rear surface of the substrate 5 .
  • the rim 4 may only contact the substrate 5 within the edge exclusion zone Z of the substrate 5 . Therefore, in order for the substrate 5 not to contact the first sub-channels 70 , as shown in FIG. 7B , a second distance dl from the inner wall W of the rim 4 to the first sub-channel 70 is greater than the width M of the edge exclusion zone Z.
  • the substrate 5 in order to prevent the processing gas in the reaction space R 1 from infiltrating into a rear portion of the substrate 5 , the substrate 5 may not contact the first sub-channel 70 .
  • a contact portion between the edge exclusion zone of the substrate 5 and the rim 4 may be continuously provided.
  • the contact portion between the edge exclusion zone of the substrate 5 and the rim 4 may be continuously formed along a circumference that is distant from a center of the substrate supporting apparatus by a predetermined distance.
  • the contact portion would configure a contact surface of a ring shape having a predetermined width along the upper surface of the rim 4 .
  • the contact surface may function as a wall preventing the processing gas in the reaction space R 1 from directly infiltrating to the rear surface of the substrate 5 .
  • the second sub-channel 71 may communicate with the first sub-channel 70 , and may extend in a lateral direction of the rim 4 towards the first space G 1 .
  • the second sub-channel 71 communicates with the first space G 1 from the inner wall W I of the rim 4 , and may extend in a lateral direction of the rim 4 by penetrating through the rim 4 .
  • the first sub-channel 70 may communicate with the first space G 1 via the second sub-channel 71 .
  • a plurality of second sub-channels 71 may be arranged along a circumference spaced apart from a center of the substrate supporting apparatus.
  • the second sub-channels 71 may be continuously provided in a circumferential direction along the circumference spaced apart from the center of the substrate supporting apparatus. As such, the gas may be evenly introduced into the first space G 1 via the first sub-channel 70 and the second sub-channel 71 .
  • the second sub-channel 71 extends along the lower surface of the rim 4 . Accordingly, the second sub-channel 71 may contact the first space G 1 at a lowermost part of the rim 4 .
  • the second sub-channel 71 may be provided separate from the lower surface of the rim 4 .
  • the first sub-channel 70 may penetrate through at least a part of the rim 4 .
  • a portion where the second sub-channel 71 and the first space G 1 meet each other (X 1 of FIG. 7C ) may be separate from the rear surface of the substrate 5 so that the second sub-channel 71 does not contact the substrate 5 .
  • the channel may have a long length and/or have a complicated structure, and thus, the gas introduced to the first space G 1 through the channel may not be discharged to the second space R 1 through the channel. Therefore, the substrate supporting apparatus of FIG. 7B having a longer channel than that of the substrate supporting apparatus of FIG. 7C may be widely used.
  • the second sub-channel 71 may have a tapering structure (X 2 of FIG. 7D ) towards the first space G 1 , so that the gas introduced into the first space G 1 through the channel may not be introduced to the channel again.
  • a width h 5 of the first sub-channel 70 and a width h 6 of the second sub-channel 71 may be much less than a separate distance between the substrate 5 and the inner portion 1 .
  • the inert gas introduced in the first space G 1 before a substrate treatment process may prevent pressure imbalance between the first space G 1 and the second space R 1 during the deposition of a thin film.
  • the first sub-channel 70 and the second sub-channel 71 are shown as through holes that penetrate through the rim 4 , but the first sub-channel 70 and/or the second sub-channel 71 may have different shapes.
  • the first sub-channel 70 may be a through hole penetrating through the rim 4
  • the second sub-channel 71 may be a groove formed in the lower surface of the rim 4 .
  • FIG. 7E shows an example of the substrate supporting apparatus of FIG. 7A , that is, a cross-sectional view of the substrate supporting apparatus taken along a line E-E′ of FIG. 7A .
  • channels connecting the first space G 1 and the second space R 1 may include a first sub-channel 72 and a second sub-channel 73 .
  • the first sub-channel 72 and the second sub-channel 73 are through holes.
  • the first sub-channel 72 may be provided between the inner wall W I and the outer wall W O of the rim 4 .
  • the first sub-channel 72 contacts the upper surface of the rim 4 and thus communicates with the second space R 1 , and may extend to the lower surface of the rim 4 by penetrating through the rim 4 .
  • the second sub-channel 73 may be a through hole that communicates with the first sub-channel 72 and communicates with the first space G 1 by penetrating through the concave portion of the susceptor main body.
  • the rim 4 may be separated from the first stepped portion 10 by a distance W.
  • the concave portion 2 may include a portion X 4 contacting the rim 4 and a portion X 5 not contacting the rim 4 .
  • the portion X 5 that does not contact the rim 4 may contact the first space G 1 .
  • the second sub-channel 73 communicates with the first sub-channel 72 at the portion X 4 contacting the rim 4 , and may extend to the portion X 5 not contacting the rim 4 by penetrating through the concave portion 2 of the susceptor main body to communicate with the first space G 1 .
  • the first sub-channel 72 and the second sub-channel 73 may connect the first space G 1 to the second space R 1 together.
  • the channel may have a long length and/or have a complicated structure, and thus, the gas introduced to the first space G 1 through the channel may not be discharged to the second space R 1 through the channel. Therefore, the substrate supporting apparatus of FIG. 7E having a longer channel and a more complicated channel structure than those of the substrate supporting apparatuses shown in FIGS. 7B and 7C may be widely used.
  • FIG. 8 is a diagram of a substrate supporting apparatus and the reactor wall 39 including a through hole according to an embodiment.
  • the substrate supporting apparatus and the substrate processing apparatus according to the embodiment may be a modified example of the substrate supporting apparatus and the substrate processing apparatus according to the above-described embodiments.
  • descriptions about the elements described above will be omitted.
  • one or more channels may be provided on surfaces and/or in inner portion of the susceptor main body 13 and the reactor wall 39 .
  • the one or more channels may be grooves and through holes.
  • the one or more channels may include a first sub-channel 80 and a second sub-channel 81 .
  • the first sub-channel 80 may be provided in the reactor wall 39 .
  • the reactor wall 39 may include a portion X 6 contacting the rim 4 and a portion X 7 not contacting the rim 4 .
  • the portion X 7 not contacting the rim 4 is located on an upper portion of the rim 4 .
  • the first sub-channel 80 communicates with the reaction space R by penetrating through the reactor wall 39 in a lateral direction at a location higher than the upper surface of the rim 4 (that is, the portion X 7 not contacting the rim 4 ), and may extend to the upper surface of the periphery portion 3 by penetrating through the reactor wall 39 downward.
  • the second sub-channel 81 may be provided in the susceptor main body 13 .
  • the second sub-channel 81 is a through hole provided in the periphery portion 3 and the concave portion 2 of the susceptor main body 13 .
  • the second sub-channel 81 communicates with the first sub-channel 80 at the upper surface of the periphery portion 3 .
  • the second sub-channel 81 may extend to the portion X 3 of the concave portion 2 , where the portion X 3 does not contact the rim 4 , by penetrating through the periphery portion 3 and the concave portion 2 of the susceptor main body 13 , and may communicate with the separate space G.
  • the reaction space R may communicate with the separate space G via the first sub-channel 80 and the second sub-channel 81 .
  • the channel may have a long length and/or have a complicated structure, and thus, the gas introduced to the first space G 1 through the channel may not be discharged to the second space R 1 through the channel. Therefore, the substrate processing apparatus of FIG. 8 having a longer channel and a more complicated channel structure than those of the substrate processing apparatuses described with reference to above embodiments may be widely used.
  • the first sub-channel 80 and the second sub-channel 81 are shown as through holes that penetrate through the reactor wall 39 and the susceptor main body 13 , but the first sub-channel 80 and/or the second sub-channel 81 may have different shapes.
  • the first sub-channel 80 may be a through hole penetrating through the reactor wall 39
  • the second sub-channel 81 may be a groove provided in the surface of the susceptor main body 13 .
  • FIG. 9 is a diagram of a substrate supporting apparatus according to an embodiment.
  • the substrate supporting apparatus and the substrate processing apparatus according to the embodiment may be a modified example of the substrate supporting apparatus and the substrate processing apparatus according to the above-described embodiments.
  • descriptions about the elements described above will be omitted.
  • the substrate supporting apparatus illustrated in FIG. 9 does not include a channel for connecting the first space G 1 to the second space R 2 , unlike the substrate supporting apparatus and the substrate processing apparatus described above. Instead, in order to prevent deposition on a rear surface of the substrate 5 and/or in order to supply a gas (e.g., inert gas) to the first space G 1 for balancing a pressure with the second space R 1 , a gas supply unit 90 may be provided.
  • a gas supply channel 92 that is a passage for supplying the gas from the gas supply unit 90 to the first space G 1 is provided penetrating through the inner portion 1 of a susceptor main body, and may be connected to the gas supply unit 90 .
  • the gas supply channel 92 may be arranged around a center of the inner portion 1 in order to evenly supply the gas to the first space G 1 .
  • an exhaust channel 93 for exhausting the gas in the first space G 1 may be provided in order to prevent the deposition on the rear surface of the substrate and/or to balance pressures between the first and second spaces G 1 and R 1 .
  • the exhaust channel 93 may be provided in the susceptor main body to communicate with the first space G 1 . In the present embodiment, the exhaust channel 93 may penetrate through the inner portion 1 of the susceptor main body to be connected to an exhaust unit 91 .
  • the gas supply unit 90 and the exhaust unit 91 may adjust the gas amount in the first space G 1 in order to maintain balance between the pressures of the first space G 1 and the second space R 1 .
  • a flow controller (not shown) may be respectively added between the gas supply unit 90 and the gas supply channel 92 and between the exhaust unit 91 and the exhaust channel 93 , and the flow controller may control a flow rate of the gas supplied to the first space G 1 and the pressure in the first space G 1 while communicating with a pressure gauge connected to the second space R 1 in real-time.
  • the above disclosure provides a plurality of example embodiments and a plurality of representative advantages of the substrate supporting apparatus (e.g., susceptor) and the substrate processing apparatus.
  • the substrate supporting apparatus e.g., susceptor
  • the substrate processing apparatus e.g., substrate processing apparatus.
  • a limited number of combinations of related characteristics are only described here.
  • a characteristic of an arbitrary example may be combined with a characteristic of another example.
  • the advantages are non-restrictive, and a certain advantage may not be or required to be a characteristic of a certain embodiment.
  • FIG. 10 is a schematic diagram for describing a substrate processing method by using a substrate processing apparatus according to an embodiment.
  • the substrate processing method according to the embodiment may be performed by using the substrate supporting apparatus and the substrate processing apparatus according to the above-described embodiments.
  • the substrate processing method is performed in a state where a reaction space and a separate space are connected to each other via at least one channel.
  • descriptions about the elements described above will be omitted.
  • an example in which the substrate processing apparatus of FIG. 5C is used will be described for convenience of description.
  • the substrate processing method may include a substrate loading process ( 1000 ), an inert gas supplying process ( 1010 ), a source gas supplying process ( 1020 ), a reaction gas supplying process ( 1040 ), a reaction gas activating process ( 1050 ), and a substrate unloading process ( 1080 ).
  • the source gas supplying process ( 1020 ), the reaction gas supplying process ( 1040 ), and the reaction gas activating process ( 1050 ) are sequentially and repeatedly performed to deposit a thin film of a desired thickness.
  • the substrate 5 is loaded onto the substrate supporting apparatus in a reactor by using a substrate conveying arm (not shown).
  • the edge exclusion zone Z (see FIG. 4 ) of the substrate 5 is mounted on the rim 4 , and a center portion of the substrate and the inner portion 1 of the susceptor main body are spaced apart from each other to form a separate space G.
  • the substrate supporting apparatus may be moved downward by an elevating device (not shown) that is provided at a side of the substrate supporting apparatus for loading the substrate 5 , and an entrance through which the substrate 5 may be loaded or unloaded may be provided between the reactor wall 39 and the substrate supporting apparatus.
  • the substrate 5 may be carried into the substrate processing apparatus through the entrance.
  • the substrate supporting apparatus is moved upward by the elevating device, and may surface-contact the reactor wall 39 to form the reaction space R.
  • Operation 1010 is a pre-process performed before a thin film deposition process, and supplies an inert gas into the reaction space R.
  • the inert gas may be Ar or N 2 .
  • the inert gas supplied into the reaction space R may be introduced to the separate space G that is a lower space of the substrate 5 via at least one channel (in this case, the first groove 50 and the second groove 51 ).
  • the inert gas may be sufficiently introduced until a pressure in the separate space G reaches a desired level.
  • a flow rate of the inert gas may be increased/reduced, or a time for supplying the inert gas may be increased/decreased.
  • the time for supplying inert gas may be 60 seconds.
  • the amount of inert gas introduced into the separate space G may be controlled, and accordingly, a thickness of a film deposited on the rear surface of the substrate 5 during the deposition of the thin film may be controlled.
  • the introduced inert gas may prevent pressure imbalance between the separate space G and the reaction space R during the deposition of the thin film, and may prevent a source gas and a reaction gas from being introduced to the separate space during the deposition of the thin film.
  • a pre-heating of the substrate may be also performed so that a temperature of the substrate 5 reaches a processing temperature before the thin film deposition process.
  • the source gas may be supplied to the reaction space R.
  • the source gas may be supplied into the reactor by a carrier gas (e.g., Ar).
  • a Si source contains silane groups.
  • the Si source may be at least one of TSA, (SiH3)3N; DSO, (SiH3)2; DSMA, (SiH3)2NMe; DSEA, (SiH3)2NEt; DSIPA, (SiH3)2N(iPr); DSTBA, (SiH3)2N(tBu); DEAS, SiH3NEt2; DIPAS, SiH3N(iPr)2; DTBAS, SiH3N(tBu)2; BDEAS, SiH2(NEt2)2; BDMAS, SiH2(NMe2)2; BTBAS, SiH2(NHtBu)2; BITS, SiH2(NHSiMe3)2; and BEMAS, SiH2[N(Et)(Me)]
  • a flow rate of the source gas may be appropriately adjusted according to a desired thin film uniformity.
  • a purge gas may be supplied in operation 1020 .
  • pressures in the reaction space R and the separate space G may be balanced by adjusting the flow rate of the source gas and/or the purge gas supplied during operation 1020 .
  • a reaction gas may be supplied to the reaction space R via the gas inlet 33 and the gas supply units 34 and 35 .
  • the reaction gas may include oxygen, and may be at least one of O 2 , N 2 O, and NO 2 , or a mixture thereof.
  • a purge gas may be supplied in operation 1040 .
  • pressures in the reaction space R and the separate space G may be balanced by adjusting the flow rate of the reaction gas and/or the purge gas supplied during operation 1040 .
  • the substrate processing method may further include a purging process 1030 between the source gas supplying process 1020 and the reaction gas supplying process 1040 to purge the source gas.
  • the substrate processing method may further include a purging process 1060 after the reaction gas activating process 1050 , in order to purge remaining gas. That is, in order for raw materials (source gas and reaction gas) not to meet each other in a gas phase, once one raw material is supplied, remaining raw material is completely removed from the reactor, and then, another raw material is supplied to the reactor.
  • the purge gas may be temporarily supplied to the reaction space R (see FIG. 5C ) in operation 1030 and/or operation 1060 .
  • the purge gas may be continuously supplied to the reaction space R during the source gas supplying process 1020 , the reaction gas supplying process 1040 , and the reaction gas activating process 1050 .
  • a flow rate of the purge gas supplied to the reaction space R may be adjusted so that a pressure in the separate space G (see FIG. 5C ) and a pressure in the reaction space R may be equal to each other. As such, a difference between the pressures in the space above the substrate 5 and the space under the substrate 5 during the process may be reduced, dislocation of the substrate 5 in the reaction space R may be prevented, and deposition on the rear surface of the substrate 5 may be reduced.
  • plasma may be supplied.
  • a thin film having a high density may be obtained, reactivity among sources is improved and a range of selecting sources increases, and properties of the thin film may be improved so that the thin film may be obtained at low temperature.
  • the separate space G under the substrate 5 may be filled with the inert gas (e.g., Ar) while the thin film deposition process of operation 1020 to operation 1060 is performed. Therefore, even when the pressure in the reaction space R above the substrate 5 varies depending on supply/exhaust and exchange of the source gas and/or the reaction gas, the pressure difference between the reaction space R and the separate space G may be reduced, and the dislocation of the substrate 5 from the substrate supporting apparatus due to the pressure difference may be prevented. Thus, deposition on the rear surface of the substrate 5 may be reduced.
  • the inert gas e.g., Ar
  • the source gas and/or the reaction gas may be rarely introduced into the separate space G through the channel, but may only be supplied to the reaction space R above the substrate 5 . That is, the inert gas introduced in the separate space G may prevent the source gas and the reaction gas from being introduced into the separate space G during the deposition of the thin film. As such, the deposition on the rear surface of the substrate 5 may be reduced.
  • the separate space G may be filled with the inert gas when the thin film deposition process, that is, operation 1020 to operation 1060 , is performed.
  • the pre-process may be performed as described above.
  • the channel may be large in length and/or may have a complicated structure. As the channel length increases and/or the channel has complicated structure, it is difficult for the gas introduced in the separate space G to be discharged back to the reaction space R.
  • the channel may have a tapering structure (X 2 of FIG. 7D ) towards the separate space G, so that the gas introduced in the separate space G through the channel may not be introduced back again into the reaction space R.
  • operation 1020 to operation 1060 are repeatedly performed, and when a thin film having a desired thickness is obtained (‘Yes’ to operation 1070 ), the thin film deposition process is terminated, and in operation 1080 , the substrate 5 is unloaded from the substrate supporting apparatus.
  • the substrate supporting apparatus is descended by the elevating device, and the substrate conveying arm (not shown) may unload the substrate 5 from the reactor through a gap or entrance formed between the reactor wall 39 and the substrate supporting apparatus.
  • the pre-process is performed to fill the inert gas in the space under the rear surface of the substrate 5 through one or more channels (e.g., grooves or through holes) of the ECS, and the pressure difference between the spaces above and under the substrate 5 may be reduced during the process.
  • the substrate loaded on the substrate supporting apparatus may be stably loaded regardless of the variation in the pressure of the reaction space, and the deposition on the rear surface of the substrate may be reduced.
  • FIG. 11 shows a comparison between thicknesses of SiO 2 layers formed on a rear surface of a substrate due to a processing gas infiltrating to the rear surface of the substrate, when the substrate processing method of FIG. 10 is performed by using the substrate processing apparatus of FIGS. 5A to 5C (in a case where there is a groove connecting the reaction space to the separate space) and when the substrate processing method of FIG. 10 is performed by using a substrate processing apparatus according to the related art (in a case where there is no channel connecting the reaction space to the separate space).
  • a thickness of a thin film deposited on a region Z 1 from an edge to 1 mm inward on the rear surface of FIG. 12 was measured.
  • a transverse axis denotes whether there is a groove.
  • “With groove” denotes the case of using the substrate processing apparatus of FIGS. 5A to 5C .
  • No groove denotes the case of using a substrate processing apparatus according to the related art.
  • a longitudinal axis of a graph denotes a thickness of thin film formed on the rear surface of the substrate.
  • the deposition on the rear surface is greatly reduced compared to a case where the substrate processing apparatus according to the related art is used, when the substrate processing apparatus according to the present disclosure is used (in this case, reduced by about 70%). This is because the inert gas is filled in the space under the rear surface of the substrate to reduce the pressure difference between the spaces above and under the substrate during the process, and thus the dislocation of the substrate from the reaction space is prevented.
  • the substrate supporting apparatus may be used to support other kinds of substrates such as a glass substrate that undergoes such treatments as CVD, physical vapor deposition (PVD), etching, annealing, impurity dispersion, photolithography, etc.
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • etching etching
  • annealing impurity dispersion
  • photolithography etc.
  • the inner portion of the substrate supporting apparatus and the substrate are spaced apart by a predetermined distance from each other to form a separate space, and the inert gas is introduced to the separate space before the thin film deposition process.
  • the pressure difference between the reaction space and the separate space may be reduced to perform the processes stably.
  • a pressure imbalance between the reaction space and the separate space is reduced, and thus, the substrate may be stably loaded on the substrate supporting apparatus, and deposition on the rear surface of the substrate due to the infiltration of the processing gas may be prevented.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
US16/252,569 2018-04-09 2019-01-18 Substrate supporting apparatus, substrate processing apparatus including the same, and substrate processing method Abandoned US20190311940A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US17/510,239 US20220044956A1 (en) 2018-04-09 2021-10-25 Substrate supporting apparatus, substrate processing apparatus including the same, and substrate processing method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2018-0041247 2018-04-09
KR1020180041247A KR102600229B1 (ko) 2018-04-09 2018-04-09 기판 지지 장치, 이를 포함하는 기판 처리 장치 및 기판 처리 방법

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/510,239 Continuation US20220044956A1 (en) 2018-04-09 2021-10-25 Substrate supporting apparatus, substrate processing apparatus including the same, and substrate processing method

Publications (1)

Publication Number Publication Date
US20190311940A1 true US20190311940A1 (en) 2019-10-10

Family

ID=68099015

Family Applications (2)

Application Number Title Priority Date Filing Date
US16/252,569 Abandoned US20190311940A1 (en) 2018-04-09 2019-01-18 Substrate supporting apparatus, substrate processing apparatus including the same, and substrate processing method
US17/510,239 Pending US20220044956A1 (en) 2018-04-09 2021-10-25 Substrate supporting apparatus, substrate processing apparatus including the same, and substrate processing method

Family Applications After (1)

Application Number Title Priority Date Filing Date
US17/510,239 Pending US20220044956A1 (en) 2018-04-09 2021-10-25 Substrate supporting apparatus, substrate processing apparatus including the same, and substrate processing method

Country Status (4)

Country Link
US (2) US20190311940A1 (ko)
KR (1) KR102600229B1 (ko)
CN (1) CN110364409B (ko)
TW (1) TWI680531B (ko)

Cited By (254)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11222772B2 (en) * 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11996289B2 (en) 2021-01-05 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0758041A (ja) * 1993-08-20 1995-03-03 Toshiba Ceramics Co Ltd サセプタ
JPH09213772A (ja) * 1996-01-30 1997-08-15 Dainippon Screen Mfg Co Ltd 基板保持装置
JP2001313329A (ja) * 2000-04-28 2001-11-09 Applied Materials Inc 半導体製造装置におけるウェハ支持装置
US20080110401A1 (en) * 2004-05-18 2008-05-15 Sumco Corporation Susceptor For Vapor-Phase Growth Reactor
CN101477945B (zh) * 2008-12-30 2011-01-19 中微半导体设备(上海)有限公司 防止/减少基片背面聚合物沉积的方法和装置
DE102011080635A1 (de) * 2011-08-09 2013-02-14 Carl Zeiss Smt Gmbh Verfahren zum Verbinden von Komponenten und Verbundstruktur
SG11201610304SA (en) * 2014-07-10 2017-01-27 Applied Materials Inc Design of susceptor in chemical vapor deposition reactor
CN106653647A (zh) * 2015-10-29 2017-05-10 沈阳拓荆科技有限公司 一种带有陶瓷衬套的可控温盘面结构
TWI734770B (zh) * 2016-04-24 2021-08-01 美商應用材料股份有限公司 用於防止空間ald處理腔室中之背側沉積的設備
JP6986872B2 (ja) * 2017-06-23 2021-12-22 昭和電工株式会社 ウェハ支持台、化学気相成長装置、及び、SiCエピタキシャルウェハの製造方法

Cited By (316)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10844486B2 (en) 2009-04-06 2020-11-24 Asm Ip Holding B.V. Semiconductor processing reactor and components thereof
US10804098B2 (en) 2009-08-14 2020-10-13 Asm Ip Holding B.V. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10707106B2 (en) 2011-06-06 2020-07-07 Asm Ip Holding B.V. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US11725277B2 (en) 2011-07-20 2023-08-15 Asm Ip Holding B.V. Pressure transmitter for a semiconductor processing environment
US10832903B2 (en) 2011-10-28 2020-11-10 Asm Ip Holding B.V. Process feed management for semiconductor substrate processing
US11501956B2 (en) 2012-10-12 2022-11-15 Asm Ip Holding B.V. Semiconductor reaction chamber showerhead
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11967488B2 (en) 2013-02-01 2024-04-23 Asm Ip Holding B.V. Method for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10604847B2 (en) 2014-03-18 2020-03-31 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10787741B2 (en) 2014-08-21 2020-09-29 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US11795545B2 (en) 2014-10-07 2023-10-24 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US11742189B2 (en) 2015-03-12 2023-08-29 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11242598B2 (en) 2015-06-26 2022-02-08 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US11233133B2 (en) 2015-10-21 2022-01-25 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11956977B2 (en) 2015-12-29 2024-04-09 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US11676812B2 (en) 2016-02-19 2023-06-13 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top/bottom portions
US10720322B2 (en) 2016-02-19 2020-07-21 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on top surface
US10851456B2 (en) 2016-04-21 2020-12-01 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US11101370B2 (en) 2016-05-02 2021-08-24 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10665452B2 (en) 2016-05-02 2020-05-26 Asm Ip Holdings B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US11749562B2 (en) 2016-07-08 2023-09-05 Asm Ip Holding B.V. Selective deposition method to form air gaps
US11649546B2 (en) 2016-07-08 2023-05-16 Asm Ip Holding B.V. Organic reactants for atomic layer deposition
US11094582B2 (en) 2016-07-08 2021-08-17 Asm Ip Holding B.V. Selective deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10741385B2 (en) 2016-07-28 2020-08-11 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11610775B2 (en) 2016-07-28 2023-03-21 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11694892B2 (en) 2016-07-28 2023-07-04 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11107676B2 (en) 2016-07-28 2021-08-31 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11205585B2 (en) 2016-07-28 2021-12-21 Asm Ip Holding B.V. Substrate processing apparatus and method of operating the same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10943771B2 (en) 2016-10-26 2021-03-09 Asm Ip Holding B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10720331B2 (en) 2016-11-01 2020-07-21 ASM IP Holdings, B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US11810788B2 (en) 2016-11-01 2023-11-07 Asm Ip Holding B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10934619B2 (en) 2016-11-15 2021-03-02 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11396702B2 (en) * 2016-11-15 2022-07-26 Asm Ip Holding B.V. Gas supply unit and substrate processing apparatus including the gas supply unit
US11222772B2 (en) * 2016-12-14 2022-01-11 Asm Ip Holding B.V. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11970766B2 (en) 2016-12-15 2024-04-30 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11851755B2 (en) 2016-12-15 2023-12-26 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11001925B2 (en) 2016-12-19 2021-05-11 Asm Ip Holding B.V. Substrate processing apparatus
US10784102B2 (en) 2016-12-22 2020-09-22 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11251035B2 (en) 2016-12-22 2022-02-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11410851B2 (en) 2017-02-15 2022-08-09 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11658030B2 (en) 2017-03-29 2023-05-23 Asm Ip Holding B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10950432B2 (en) 2017-04-25 2021-03-16 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10714335B2 (en) 2017-04-25 2020-07-14 Asm Ip Holding B.V. Method of depositing thin film and method of manufacturing semiconductor device
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11848200B2 (en) 2017-05-08 2023-12-19 Asm Ip Holding B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11976361B2 (en) 2017-06-28 2024-05-07 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10734497B2 (en) 2017-07-18 2020-08-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11164955B2 (en) 2017-07-18 2021-11-02 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11695054B2 (en) 2017-07-18 2023-07-04 Asm Ip Holding B.V. Methods for forming a semiconductor device structure and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11004977B2 (en) 2017-07-19 2021-05-11 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11802338B2 (en) 2017-07-26 2023-10-31 Asm Ip Holding B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US11417545B2 (en) 2017-08-08 2022-08-16 Asm Ip Holding B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11587821B2 (en) 2017-08-08 2023-02-21 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10672636B2 (en) 2017-08-09 2020-06-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11069510B2 (en) 2017-08-30 2021-07-20 Asm Ip Holding B.V. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11581220B2 (en) 2017-08-30 2023-02-14 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11993843B2 (en) 2017-08-31 2024-05-28 Asm Ip Holding B.V. Substrate processing apparatus
US10928731B2 (en) 2017-09-21 2021-02-23 Asm Ip Holding B.V. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11387120B2 (en) 2017-09-28 2022-07-12 Asm Ip Holding B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11094546B2 (en) 2017-10-05 2021-08-17 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10734223B2 (en) 2017-10-10 2020-08-04 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10734244B2 (en) 2017-11-16 2020-08-04 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11682572B2 (en) 2017-11-27 2023-06-20 Asm Ip Holdings B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US11501973B2 (en) 2018-01-16 2022-11-15 Asm Ip Holding B.V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11393690B2 (en) 2018-01-19 2022-07-19 Asm Ip Holding B.V. Deposition method
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11972944B2 (en) 2018-01-19 2024-04-30 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD913980S1 (en) 2018-02-01 2021-03-23 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11735414B2 (en) 2018-02-06 2023-08-22 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11387106B2 (en) 2018-02-14 2022-07-12 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US11482418B2 (en) 2018-02-20 2022-10-25 Asm Ip Holding B.V. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11939673B2 (en) 2018-02-23 2024-03-26 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11398382B2 (en) 2018-03-27 2022-07-26 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US10847371B2 (en) 2018-03-27 2020-11-24 Asm Ip Holding B.V. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10867786B2 (en) 2018-03-30 2020-12-15 Asm Ip Holding B.V. Substrate processing method
US11469098B2 (en) 2018-05-08 2022-10-11 Asm Ip Holding B.V. Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
US11056567B2 (en) 2018-05-11 2021-07-06 Asm Ip Holding B.V. Method of forming a doped metal carbide film on a substrate and related semiconductor device structures
US11908733B2 (en) 2018-05-28 2024-02-20 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11361990B2 (en) 2018-05-28 2022-06-14 Asm Ip Holding B.V. Substrate processing method and device manufactured by using the same
US11837483B2 (en) 2018-06-04 2023-12-05 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11296189B2 (en) 2018-06-21 2022-04-05 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11530483B2 (en) 2018-06-21 2022-12-20 Asm Ip Holding B.V. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11952658B2 (en) 2018-06-27 2024-04-09 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11814715B2 (en) 2018-06-27 2023-11-14 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US11168395B2 (en) 2018-06-29 2021-11-09 Asm Ip Holding B.V. Temperature-controlled flange and reactor system including same
US10914004B2 (en) 2018-06-29 2021-02-09 Asm Ip Holding B.V. Thin-film deposition method and manufacturing method of semiconductor device
US10755923B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11646197B2 (en) 2018-07-03 2023-05-09 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11923190B2 (en) 2018-07-03 2024-03-05 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11274369B2 (en) 2018-09-11 2022-03-15 Asm Ip Holding B.V. Thin film deposition method
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11804388B2 (en) 2018-09-11 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11885023B2 (en) 2018-10-01 2024-01-30 Asm Ip Holding B.V. Substrate retaining apparatus, system including the apparatus, and method of using same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11414760B2 (en) 2018-10-08 2022-08-16 Asm Ip Holding B.V. Substrate support unit, thin film deposition apparatus including the same, and substrate processing apparatus including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11664199B2 (en) 2018-10-19 2023-05-30 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
US11251068B2 (en) 2018-10-19 2022-02-15 Asm Ip Holding B.V. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11735445B2 (en) 2018-10-31 2023-08-22 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11866823B2 (en) 2018-11-02 2024-01-09 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11499226B2 (en) 2018-11-02 2022-11-15 Asm Ip Holding B.V. Substrate supporting unit and a substrate processing device including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11244825B2 (en) 2018-11-16 2022-02-08 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11411088B2 (en) 2018-11-16 2022-08-09 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11798999B2 (en) 2018-11-16 2023-10-24 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
US11488819B2 (en) 2018-12-04 2022-11-01 Asm Ip Holding B.V. Method of cleaning substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11769670B2 (en) 2018-12-13 2023-09-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
US11658029B2 (en) 2018-12-14 2023-05-23 Asm Ip Holding B.V. Method of forming a device structure using selective deposition of gallium nitride and system for same
US11959171B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11390946B2 (en) 2019-01-17 2022-07-19 Asm Ip Holding B.V. Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
US11171025B2 (en) 2019-01-22 2021-11-09 Asm Ip Holding B.V. Substrate processing device
US11127589B2 (en) 2019-02-01 2021-09-21 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11798834B2 (en) 2019-02-20 2023-10-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11251040B2 (en) 2019-02-20 2022-02-15 Asm Ip Holding B.V. Cyclical deposition method including treatment step and apparatus for same
US11227789B2 (en) 2019-02-20 2022-01-18 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11615980B2 (en) 2019-02-20 2023-03-28 Asm Ip Holding B.V. Method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11629407B2 (en) 2019-02-22 2023-04-18 Asm Ip Holding B.V. Substrate processing apparatus and method for processing substrates
US11114294B2 (en) 2019-03-08 2021-09-07 Asm Ip Holding B.V. Structure including SiOC layer and method of forming same
US11901175B2 (en) 2019-03-08 2024-02-13 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11424119B2 (en) 2019-03-08 2022-08-23 Asm Ip Holding B.V. Method for selective deposition of silicon nitride layer and structure including selectively-deposited silicon nitride layer
US11378337B2 (en) 2019-03-28 2022-07-05 Asm Ip Holding B.V. Door opener and substrate processing apparatus provided therewith
US11551925B2 (en) 2019-04-01 2023-01-10 Asm Ip Holding B.V. Method for manufacturing a semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
US11814747B2 (en) 2019-04-24 2023-11-14 Asm Ip Holding B.V. Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US11781221B2 (en) 2019-05-07 2023-10-10 Asm Ip Holding B.V. Chemical source vessel with dip tube
US11289326B2 (en) 2019-05-07 2022-03-29 Asm Ip Holding B.V. Method for reforming amorphous carbon polymer film
US11355338B2 (en) 2019-05-10 2022-06-07 Asm Ip Holding B.V. Method of depositing material onto a surface and structure formed according to the method
US11515188B2 (en) 2019-05-16 2022-11-29 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
US11453946B2 (en) 2019-06-06 2022-09-27 Asm Ip Holding B.V. Gas-phase reactor system including a gas detector
US11345999B2 (en) 2019-06-06 2022-05-31 Asm Ip Holding B.V. Method of using a gas-phase reactor system including analyzing exhausted gas
US11908684B2 (en) 2019-06-11 2024-02-20 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
US11476109B2 (en) 2019-06-11 2022-10-18 Asm Ip Holding B.V. Method of forming an electronic structure using reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
US11390945B2 (en) 2019-07-03 2022-07-19 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11746414B2 (en) 2019-07-03 2023-09-05 Asm Ip Holding B.V. Temperature control assembly for substrate processing apparatus and method of using same
US11605528B2 (en) 2019-07-09 2023-03-14 Asm Ip Holding B.V. Plasma device using coaxial waveguide, and substrate treatment method
US11664267B2 (en) 2019-07-10 2023-05-30 Asm Ip Holding B.V. Substrate support assembly and substrate processing device including the same
US11664245B2 (en) 2019-07-16 2023-05-30 Asm Ip Holding B.V. Substrate processing device
US11615970B2 (en) 2019-07-17 2023-03-28 Asm Ip Holding B.V. Radical assist ignition plasma system and method
US11688603B2 (en) 2019-07-17 2023-06-27 Asm Ip Holding B.V. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
US11282698B2 (en) 2019-07-19 2022-03-22 Asm Ip Holding B.V. Method of forming topology-controlled amorphous carbon polymer film
US11557474B2 (en) 2019-07-29 2023-01-17 Asm Ip Holding B.V. Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation
US11443926B2 (en) 2019-07-30 2022-09-13 Asm Ip Holding B.V. Substrate processing apparatus
US11430640B2 (en) 2019-07-30 2022-08-30 Asm Ip Holding B.V. Substrate processing apparatus
US11876008B2 (en) 2019-07-31 2024-01-16 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11680839B2 (en) 2019-08-05 2023-06-20 Asm Ip Holding B.V. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
US11639548B2 (en) 2019-08-21 2023-05-02 Asm Ip Holding B.V. Film-forming material mixed-gas forming device and film forming device
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11594450B2 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Method for forming a structure with a hole
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11827978B2 (en) 2019-08-23 2023-11-28 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11527400B2 (en) 2019-08-23 2022-12-13 Asm Ip Holding B.V. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11898242B2 (en) 2019-08-23 2024-02-13 Asm Ip Holding B.V. Methods for forming a polycrystalline molybdenum film over a surface of a substrate and related structures including a polycrystalline molybdenum film
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11495459B2 (en) 2019-09-04 2022-11-08 Asm Ip Holding B.V. Methods for selective deposition using a sacrificial capping layer
US11823876B2 (en) 2019-09-05 2023-11-21 Asm Ip Holding B.V. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
US11610774B2 (en) 2019-10-02 2023-03-21 Asm Ip Holding B.V. Methods for forming a topographically selective silicon oxide film by a cyclical plasma-enhanced deposition process
US11339476B2 (en) 2019-10-08 2022-05-24 Asm Ip Holding B.V. Substrate processing device having connection plates, substrate processing method
US11735422B2 (en) 2019-10-10 2023-08-22 Asm Ip Holding B.V. Method of forming a photoresist underlayer and structure including same
US11637011B2 (en) 2019-10-16 2023-04-25 Asm Ip Holding B.V. Method of topology-selective film formation of silicon oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
US11315794B2 (en) 2019-10-21 2022-04-26 Asm Ip Holding B.V. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11594600B2 (en) 2019-11-05 2023-02-28 Asm Ip Holding B.V. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
US11626316B2 (en) 2019-11-20 2023-04-11 Asm Ip Holding B.V. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US11401605B2 (en) 2019-11-26 2022-08-02 Asm Ip Holding B.V. Substrate processing apparatus
US11915929B2 (en) 2019-11-26 2024-02-27 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
US11923181B2 (en) 2019-11-29 2024-03-05 Asm Ip Holding B.V. Substrate processing apparatus for minimizing the effect of a filling gas during substrate processing
US11646184B2 (en) 2019-11-29 2023-05-09 Asm Ip Holding B.V. Substrate processing apparatus
US11929251B2 (en) 2019-12-02 2024-03-12 Asm Ip Holding B.V. Substrate processing apparatus having electrostatic chuck and substrate processing method
US11840761B2 (en) 2019-12-04 2023-12-12 Asm Ip Holding B.V. Substrate processing apparatus
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11976359B2 (en) 2020-01-06 2024-05-07 Asm Ip Holding B.V. Gas supply assembly, components thereof, and reactor system including same
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
US11521851B2 (en) 2020-02-03 2022-12-06 Asm Ip Holding B.V. Method of forming structures including a vanadium or indium layer
US11828707B2 (en) 2020-02-04 2023-11-28 Asm Ip Holding B.V. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11986868B2 (en) 2020-02-28 2024-05-21 Asm Ip Holding B.V. System dedicated for parts cleaning
US11488854B2 (en) 2020-03-11 2022-11-01 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
US11837494B2 (en) 2020-03-11 2023-12-05 Asm Ip Holding B.V. Substrate handling device with adjustable joints
US11961741B2 (en) 2020-03-12 2024-04-16 Asm Ip Holding B.V. Method for fabricating layer structure having target topological profile
US11823866B2 (en) 2020-04-02 2023-11-21 Asm Ip Holding B.V. Thin film forming method
US11830738B2 (en) 2020-04-03 2023-11-28 Asm Ip Holding B.V. Method for forming barrier layer and method for manufacturing semiconductor device
US11437241B2 (en) 2020-04-08 2022-09-06 Asm Ip Holding B.V. Apparatus and methods for selectively etching silicon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11887857B2 (en) 2020-04-24 2024-01-30 Asm Ip Holding B.V. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
US11530876B2 (en) 2020-04-24 2022-12-20 Asm Ip Holding B.V. Vertical batch furnace assembly comprising a cooling gas supply
US11959168B2 (en) 2020-04-29 2024-04-16 Asm Ip Holding B.V. Solid source precursor vessel
US11515187B2 (en) 2020-05-01 2022-11-29 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11798830B2 (en) 2020-05-01 2023-10-24 Asm Ip Holding B.V. Fast FOUP swapping with a FOUP handler
US11626308B2 (en) 2020-05-13 2023-04-11 Asm Ip Holding B.V. Laser alignment fixture for a reactor system
US11996309B2 (en) 2020-05-14 2024-05-28 Asm Ip Holding B.V. Wafer boat handling device, vertical batch furnace and method
US11804364B2 (en) 2020-05-19 2023-10-31 Asm Ip Holding B.V. Substrate processing apparatus
US11705333B2 (en) 2020-05-21 2023-07-18 Asm Ip Holding B.V. Structures including multiple carbon layers and methods of forming and using same
US11987881B2 (en) 2020-05-22 2024-05-21 Asm Ip Holding B.V. Apparatus for depositing thin films using hydrogen peroxide
US11767589B2 (en) 2020-05-29 2023-09-26 Asm Ip Holding B.V. Substrate processing device
US11646204B2 (en) 2020-06-24 2023-05-09 Asm Ip Holding B.V. Method for forming a layer provided with silicon
US11658035B2 (en) 2020-06-30 2023-05-23 Asm Ip Holding B.V. Substrate processing method
US11644758B2 (en) 2020-07-17 2023-05-09 Asm Ip Holding B.V. Structures and methods for use in photolithography
US11674220B2 (en) 2020-07-20 2023-06-13 Asm Ip Holding B.V. Method for depositing molybdenum layers using an underlayer
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11827981B2 (en) 2020-10-14 2023-11-28 Asm Ip Holding B.V. Method of depositing material on stepped structure
US11996292B2 (en) 2020-10-19 2024-05-28 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11873557B2 (en) 2020-10-22 2024-01-16 Asm Ip Holding B.V. Method of depositing vanadium metal
US11901179B2 (en) 2020-10-28 2024-02-13 Asm Ip Holding B.V. Method and device for depositing silicon onto substrates
US11891696B2 (en) 2020-11-30 2024-02-06 Asm Ip Holding B.V. Injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
US11885020B2 (en) 2020-12-22 2024-01-30 Asm Ip Holding B.V. Transition metal deposition method
US11996289B2 (en) 2021-01-05 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11993847B2 (en) 2021-01-06 2024-05-28 Asm Ip Holding B.V. Injector
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11996304B2 (en) 2023-04-19 2024-05-28 Asm Ip Holding B.V. Substrate processing device

Also Published As

Publication number Publication date
TW201944523A (zh) 2019-11-16
US20220044956A1 (en) 2022-02-10
CN110364409B (zh) 2021-08-03
CN110364409A (zh) 2019-10-22
TWI680531B (zh) 2019-12-21
KR20190118077A (ko) 2019-10-17
KR102600229B1 (ko) 2023-11-10

Similar Documents

Publication Publication Date Title
US20220044956A1 (en) Substrate supporting apparatus, substrate processing apparatus including the same, and substrate processing method
CN108987304B (zh) 基板支撑装置
US11885019B2 (en) Susceptor with ring to limit backside deposition
US11932939B2 (en) Lids and lid assembly kits for atomic layer deposition chambers
KR100861564B1 (ko) 반도체 기판 지지 장치
JP6976725B2 (ja) ウエハ均一性のための輪郭ポケット及びハイブリッドサセプタ
US20100162956A1 (en) Substrate Processing Apparatus and Substrate Mount Table Used in the Apparatus
KR20010030222A (ko) 엣지 증착을 방지하기 위한 방법 및 장치
US10982327B2 (en) CVD apparatus with multi-zone thickness control
US11715667B2 (en) Thermal process chamber lid with backside pumping
KR20180063345A (ko) 반도체 제조의 웨이퍼 처리를 위한 고 생산성 pecvd 툴
KR101884974B1 (ko) 기판 처리 장치 및 기판 처리 방법과 기억 매체
CN112501587A (zh) 化学气相沉积设备、泵浦衬套及化学气相沉积方法
KR20230172578A (ko) 기판들 상의 후면 증착 방지
US20230357929A1 (en) Apparatus and methods to promote wafer edge temperature uniformity
TWI838240B (zh) 具有背側泵送的熱處理腔室蓋
WO2024076479A1 (en) Adjustable pedestal
JP2002110571A (ja) 成膜装置および成膜方法
JP2023529446A (ja) 複数の加熱ゾーンおよび熱ボイドを使用した台座熱プロファイルの調節
KR20190005818A (ko) 서셉터 어셈블리 및 이를 포함하는 mocvd 장치
KR20120000806A (ko) 화학기상증착장치의 서셉터 및 내부코팅 형성방법

Legal Events

Date Code Title Description
AS Assignment

Owner name: ASM IP HOLDING B.V., NETHERLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHOI, SEUNGWOO;LEE, SEUNGHWAN;PARK, JUHYUK;REEL/FRAME:053885/0474

Effective date: 20190110

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION