US20150024546A1 - System, Structure, and Method of Manufacturing a Semiconductor Substrate Stack - Google Patents

System, Structure, and Method of Manufacturing a Semiconductor Substrate Stack Download PDF

Info

Publication number
US20150024546A1
US20150024546A1 US14/507,467 US201414507467A US2015024546A1 US 20150024546 A1 US20150024546 A1 US 20150024546A1 US 201414507467 A US201414507467 A US 201414507467A US 2015024546 A1 US2015024546 A1 US 2015024546A1
Authority
US
United States
Prior art keywords
substrate
recess
tsv
layer
contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US14/507,467
Other versions
US9728457B2 (en
Inventor
Hung-Pin Chang
Weng-Jin Wu
Wen-Chih Chiou
Chen-Hua Yu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to US14/507,467 priority Critical patent/US9728457B2/en
Publication of US20150024546A1 publication Critical patent/US20150024546A1/en
Priority to US15/465,217 priority patent/US10515933B2/en
Application granted granted Critical
Publication of US9728457B2 publication Critical patent/US9728457B2/en
Priority to US16/721,106 priority patent/US11004832B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13111Tin [Sn] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13101Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of less than 400°C
    • H01L2224/13116Lead [Pb] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13144Gold [Au] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/13147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13099Material
    • H01L2224/131Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/13163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/13184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06527Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates generally to a system, a structure, and a method of forming a semiconductor substrate stack, and particularly to forming a semiconductor substrate stack using a through substrate via (TSV).
  • TSV through substrate via
  • Thinned substrates connected by TSVs can reduce the height and width of a packaged chip stack relative to current wire bonding technologies. Performance may also be enhanced because of TSV implementation in stacked chip designs.
  • One known method of producing front-to-front stacks may include forming the TSV structures at the first interconnect level of the front end of line (FEOL) process.
  • the method may include patterning and etching connecting vias into the backside of the wafer after thinning.
  • One disadvantage of this method may be the difficulty of aligning a connecting via on the backside of a thinned wafer with a prior formed TSV structure. Misalignment may result in no connection to the TSV structure, or a limited connection to the TSV structure.
  • the diameter of a backside via structure employed to connect with a TSV may be smaller than the TSV. This may cause additional problems in clearing the sacrificial material from the prior formed TSV. A TSV/backside via structure may be more resistive if the sacrificial material is not sufficiently removed. Moreover, another disadvantage of the prior formed TSV is the cost of the photo procedure to pattern the backside of the wafer.
  • TSV through substrate via
  • an integrated circuit device in accordance with an illustrative embodiment, includes a substrate having an active region formed therein, a via extending through the substrate, having a first termination substantially aligned with a bottom surface of the substrate and a second termination substantially aligned with a top surface of the substrate.
  • a first conductive contact is electrically connected to the second termination of the via and electrically connected to a conductive interconnect layer.
  • a second conductive contact is electrically connected to the conductive interconnect layer and the active region.
  • a semiconductor substrate which includes a front-face, a backside, a bulk layer with a plurality of sub-bulk layers, an interconnect layer that includes a plurality of inter-metal dielectric layers sandwiched between conductive layers, a contact layer that is between the bulk layer and the interconnect layer, and a TSV structure commencing between the bulk layer and the contact layer and terminating at the backside of the substrate.
  • the TSV structure is electrically coupled to the interconnect layer and the TSV structure is electrically coupled to a bonding pad on the backside.
  • a method manufacturing a semiconductor substrate structure includes etching a TSV structure into a front-face of a substrate before a FEOL contact process.
  • the TSV structure is coated with a liner, filled with a sacrificial material, and provided with an etch stop layer (ESL).
  • ESL etch stop layer
  • the method includes forming at least a first contact between the TSV structure and an interconnect layer and forming at least a second contact between an active region and an interconnect layer.
  • a substrate backside is thinned and a bulk material is recessed to expose a bottom liner of the TSV structure.
  • a hard mask passivation layer is disposed. The substrate backside is planarized exposing the sacrificial material.
  • the sacrificial material is etched, and the ESL is removed.
  • the TSV structure is then filled with a conducting material.
  • a dielectric topside layer is deposited and a backside bonding pad is disposed. The backside bonding pad is electrically coupled to the TSV structure.
  • An advantage of an illustrative embodiment of the present invention is that the cost of the photolithography step to open vias on the backside of the substrate to contact the TSV structures is eliminated.
  • a further advantage of an illustrative embodiment of the present invention is the sacrificial material may be removed thoroughly, without misalignment and other associated disadvantages to the backside via pattern and etch process.
  • FIG. 1 is a cross sectional depiction of a semiconductor substrate stacking system, in accordance with an illustrative embodiment
  • FIGS. 2A-2I are cross sectional depictions of a semiconductor substrate stacking system during selected process steps of an illustrative embodiment.
  • FIG. 3 is a flow chart of a method of forming a semiconductor substrate stacking system.
  • the present invention will be described with respect to illustrative embodiments in a specific context, namely a front-to-front stacked semiconductor substrate system.
  • the invention may also be applied, however, to other semiconductor substrate systems, such as single substrates, back-to-back bonded substrates, chip stacks, multiple substrate stacks, and the like.
  • Embodiments may also have application in other through substrate via processes.
  • substrate generally refers to a semiconductor substrate including a bulk layer 110 on which various layers and structures are formed. Silicon may be used or compound semiconductors, GaAs, InP, Si/Ge, or SiC, as examples, may be used in place of silicon.
  • Example layers may include such layers as dielectric layers, doped layers and polysilicon layers.
  • Example structures may include transistors, resistors, and/or capacitors, which may or may not be interconnected through an interconnect layer to additional active circuits.
  • System 100 shows substrate-A 102 inverted and bonded to substrate-B 104 at bonding joint 106 .
  • front-face-A 103 of substrate-A 102 is bonded to front-face-B 105 of substrate-B 104 at bonding joint 106 .
  • Substrate-A 102 comprises interconnect layer 108 , contact layer 109 , bulk layer 110 , and backside connection layer 112 .
  • Circuit-A 114 is also shown. Circuit-A 114 may extend from the upper layers of bulk layer 110 through contact layer 109 to interconnect layer 108 .
  • TSV structures 116 are shown in substrate-A 102 and not in substrate-B 104 .
  • substrate-B 104 may have TSV structures in another embodiment.
  • multiple substrates may be stacked one on the other in front-to-front, front-to-back, and back-to-back configurations.
  • TSV structures 116 may have lining 117 comprising a dielectric such as an oxide, nitride, or the like.
  • TSV structures 116 are filled with a conductive material such as Al, Cu, other metals, alloys, doped polysilicon, combinations, and the like.
  • TSV structures 116 are filled with metal.
  • Semiconductor circuits such as circuit-A 114 are manufactured by forming active regions in a bulk layer, such as bulk layer 110 , depositing various insulating, conductive, and semiconductive layers over the substrate, and patterning them in sequential steps.
  • the interconnect layer typically provides connections to underlying active regions and connections within and over the substrate.
  • the interconnect layer includes one or more layers of metal interconnect having the conductive lines disposed within an insulating material.
  • a contact layer, such as contact layer 109 is comprised of conductors disposed in dielectrics, which connect components in bulk layer 110 of substrate-A 114 to interconnect layer 108 .
  • the conductors in the contact layer may be any conducting material such as doped polysilicon, Al, Cu, W, alloys, combinations, and the like.
  • the contact material is W.
  • Dielectrics in the contact layer may be oxides, nitrides, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), fluorinated silicate glass or fluorinated silicon oxide glass (FSG) or any electrically isolating materials.
  • TSV structures 116 are etched prior to contact layer 109 of circuit-A 114 .
  • Contacts 118 provide electrical coupling between the TSV structures 116 and interconnect layer 108 .
  • Backside connection layer 112 provides electrical interconnection between substrate-A 102 and outside systems, which may include other stacked substrates. Through bonding joint 106 backside connection layer 112 may also provide electrical interconnection between substrate-B 104 and outside systems.
  • Backside connection layer 112 is comprised of a backside dielectric 120 and backside metal 122 .
  • Backside dielectric 120 may be, for example, a layer of SiN and a layer of an undoped silicon oxide glass (USG), or the like.
  • FIGS. 2A-2I are cross sectional depictions of a semiconductor substrate stacking system during selected process steps of an illustrative embodiment.
  • FIG. 2A shows substrate-A 102 with front-face 103 oriented up, as may be typical during circuit processing, and with TSV structures 116 oriented down into bulk layer 110 (note that substrate-A is shown oriented “flipped” relative to FIG. 1 ). Circuit-A 114 is shown prior to contact etch.
  • Bulk layer 110 may be patterned, for example, by depositing a photoresist 222 over the top surface of bulk layer 110 . Using a reticle, having transparent regions and opaque regions, photoresist 222 or a hard mask may be patterned using techniques well known in the art. In an embodiment implementing a hard mask, the resulting TSV structure may then terminate substantially the thickness of the hard mask above the substrate, rather than substantially at the substrate.
  • the TSV structures may be etched using a reactive-ion plasma process for example. A Bosch process, which is capable of achieving high aspect ratio structure, may be implemented in an embodiment. In an alternate embodiment a wet etch may be implemented.
  • the TSV structures may range in depth from tens to hundreds of microns.
  • TSV structures 116 are coated with a dielectric layer, such as SiO 2 or SiN to form liner 117 , as shown in FIG. 2B .
  • Liner 117 may be comprised of oxides, nitrides, combinations, or other dielectrics.
  • the liner is SiO 2 , formed in a wet oxidation process.
  • Liner 117 may act as a passivation layer between bulk layer 110 and the subsequent metal conductor filled into TSV structures 116 .
  • An advantage of an illustrative embodiment is that high quality dielectrics may be more readily used in this step of the substrate processing.
  • TSV structures 116 are then filled with sacrificial material 226 .
  • the sacrificial material may be a nitride, an oxide, a doped or undoped polysilicon, or the like.
  • One consideration of the choice of sacrificial material may be a high etch selectivity between liner 117 and subsequently deposited etch stop layer (ESL) 224 .
  • ESL etch stop layer
  • undoped polysilicon is used to fill into the TSV structure. After deposition, a planarization process may be performed to remove any undoped polysilicon formed outside of the TSV structure.
  • TSV structures 116 are then capped with a dielectric layer, which acts as an ESL 224 during the subsequent etch of sacrificial material 226 (described in FIG. 2H ).
  • ESL 224 may comprise oxide, nitride, other dielectrics, combinations, or the like.
  • ESL 224 is preferably SiO 2 .
  • One consideration of the choice of ESL material may be a high etch selectivity between the ESL material and liner 117 plus the subsequently deposited hard mask (described further in FIG. 2G ).
  • Insulating material layer 228 is then disposed on bulk layer 110 .
  • Insulating material layer 228 may be, for example, SiON, SiN, PSG, combinations, or the like.
  • Insulating material layer 228 may be patterned and openings for contacts 230 may be etched.
  • Contacts 230 may be comprised of metal, such as W, Al, Cu, combinations, or the like, filling the contact openings. Alternatively, contacts 230 may be formed of doped polysilicon or other sufficiently conductive material.
  • Contacts 230 are formed in insulating material layer 228 .
  • Contact layer 109 comprises insulating material layer 228 and contacts 230 . Note that some contacts 230 found in contact layer 109 may contact the TSV structures 116 and other contacts 230 found in contact layer 109 may contact circuit-A 114 .
  • interconnect layer 108 is shown.
  • a single substrate may contain many active regions and/or functioning circuits.
  • bulk layer 110 may include one or more semiconductor elements, e.g. transistors, diodes, etc. (not shown) and circuit-A 114 .
  • Bulk layer 110 may also include other active components or circuits formed therein. At times, it is necessary to connect one circuit or device with another circuit or device that is not immediately adjacent to it.
  • An interconnect layer, such as interconnect layer 108 may be used for this purpose.
  • Interconnect layer 108 may be comprised of a series of inter-metal dielectric (IMD) and conductive lines interconnected by vias (not shown). Interconnect layer 108 is further comprised of metal and inter-metal dielectrics, formed by disposing alternate layers of metal and inter-metal dielectrics. Conductive lines may comprise Cu, Al, W, other conductive material, or combinations thereof, as examples. One or more barrier layers and seed layers may be deposited prior to the deposition of the Cu, Al, W, other conductors, or combinations thereof, for example (not shown). The conductive lines may be disposed employing a damascene process, a dual damascene process, an etched conductive layer process, or the like.
  • Top metal 240 is formed for bonding substrate-A 102 to another substrate, or system.
  • top metal 240 may employed for use as a bonding joint, such as bonding joint 106 , in FIG. 1 .
  • Top metal 240 may comprise Cu, Al, other metals, combinations, other conductors, and the like.
  • the insulating layers or inter-metal dielectrics described herein may comprise traditional insulating materials used for interconnect layers such as SiO 2 , or alternatively may comprise low- ⁇ materials.
  • the low- ⁇ material may comprise diamond-like carbon, such as Black DiamondTM by Applied Materials, Inc., phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), fluorinated silicate glass or fluorinated silicon oxide glass (FSG), SiO x C y , Spin-On-Glass, Spin-On-Polymers, SILKTM by Dow Chemical, FLARETM by Honeywell, LKD (low- ⁇ dielectric) from JSR Micro, Inc., hydrogenated oxidized silicon carbon material (SiCOH), amorphous hydrogenated silicon (a-Si:H), SiO x N y , SiC, SiCO, SiCH, compounds thereof, composites thereof, and/or combinations thereof, as examples.
  • SiCOH hydrogenated oxidized silicon carbon material
  • a-Si:H
  • the insulating layers 218 may comprise a high dielectric constant material, having a dielectric constant of greater than about 4.0, for example.
  • the insulating layers 218 may alternatively comprise a combination of one or more low- ⁇ materials, high- ⁇ material, SiO 2 , SiN, or combinations, for example.
  • interconnect layer 108 There may be one, or a plurality of metallization layers included in interconnect layer 108 , for example (not shown).
  • FIG. 2E shows substrate-A 102 inverted and bonded to second substrate-B 104 employing bonding joint 106 .
  • Substrate-B 104 may be a second wafer, a second chip, a routing substrate, or the like.
  • substrate-A 102 is thinned.
  • the wafer may be thinned in a grinding, lapping, or polishing process.
  • the wafer may be thinned to a range of several tens of microns, thus removing a portion of bulk layer 110 .
  • the bulk layer 110 on the backside of substrate-A 102 is shown recessed to expose the bottom of liner 117 .
  • the recess process may be performed in a two-step process by, for example, a first CMP process and a second wet etch process.
  • substrate 102 may be thinned and the backside of substrate-A 102 recessed in a single process step.
  • the recess process may have a high etch selectivity between bulk layer 110 and liner 117 .
  • passivation dielectric layer 250 is disposed.
  • the passivation dielectric layer 250 serves as the hard mask for removing sacrificial material 226 from TSV structures 116 and serves as the passivation layer for the subsequent conductive material disposed in TSV structures 116 .
  • Passivation dielectric layer 250 may be a plasma enhanced USG material or other dielectric material.
  • the backside of substrate-A 102 is then planarized (again recall that the backside of substrate 102 is oriented up).
  • a CMP process may be used for the planarization step.
  • the CMP process may stop on liner 117 , before exposing sacrificial material 226 .
  • a second wet or dry etch may be employed to expose sacrificial material 226 .
  • sacrificial material 226 may be exposed in a one-step CMP or etch process.
  • the etch of sacrificial material 226 may be implemented by a dry or wet method with the high selectivity between the sacrificial material 226 and the passivation dielectric layer 250 .
  • the underlying bulk material is protected from the sacrificial material 226 etch by the passivation dielectric layer 250 , which acts as a hard mask. Sacrificial material 226 etch stops at ESL 224 . Next, ESL 224 is etched, exposing contact 230 for electrical interconnection.
  • the passivation dielectric layer 250 and the ESL material may be selected to have a high etch selectivity. Removal of ESL 224 allows access to contacts 230 , which in turn electrically couples the TSV structures 116 to interconnect layer 108 . The resulting structure is shown in FIG. 2H .
  • the TSV structures 116 are filled with conducting material 260 .
  • Metal such as Al, Cu, alloys and the like may be sputtered, electro-plated, or screen-printed to fill TSV structures 116 .
  • other conductive materials may be used.
  • substrate 102 may again be planarized by a CMP process.
  • Backside connection layer 112 is comprised of a dielectric layer.
  • the dielectric layer may be formed by a SiN deposition, followed by a USG deposition.
  • the dielectric layer may be patterned and etched.
  • Backside metal 122 is formed by, for example, a damascene process or a patterning of a metal layer.
  • Backside connection layer 112 may be comprised therefore of the SiN/USG dielectric layer and the backside metal 122 .
  • the substrate may then be bonded to further outside systems, using methods well known in the art. The resultant structure is shown in FIG. 1 .
  • FIG. 3 is a flow chart of a method of forming a substrate within a semiconductor substrate stacking system.
  • the process begins by etching TSV openings before the front end of line (FEOL) contact level (step 302 ) on a first substrate.
  • the etched TSV is coated with a liner (step 304 ).
  • the liner may act as a passivation layer providing a barrier between the substrate and the eventual conductive material in the finished TSV structure.
  • the liner may be any dielectric, for example, a silicon nitride, a silicon oxide, or the like.
  • An advantage of an illustrative embodiment is the quality of the dielectric that may be used for the liner at the pre-contact stage of processing. A high quality oxide may be used since no metals have been incorporated thus far in the process.
  • the TSV structure is then filled with a sacrificial material (step 306 ), forming a temporary plug in the TSV structure.
  • the sacrificial material may be polysilicon, a dielectric, a polymer, any combination of these materials, or the like.
  • a consideration in selecting a sacrificial material may be how the temporary material will be removed.
  • a high selectivity between the sacrificial material and the subsequent hard mask may be desirable.
  • a dielectric etch stop layer is disposed on top of the sacrificial material (step 308 ).
  • the etch stop layer may be an oxide, nitride, other suitable dielectric, or the like.
  • the contact layer is formed (step 310 ).
  • the contact layer comprises a dielectric layer or combination of dielectric layers, such as SiON, SiN, PSG and the like, and metal or conductive contacts, which may be W, Al, Cu, doped polysilicon, or the like.
  • the interconnect layer is formed (step 312 ).
  • the interconnect layer may be formed of Cu, Al, other metals, alloys, or conductive material combinations, sandwiched between inter-metal dielectric layers, which may be composed of FSG, USG, or the like.
  • a top metal layer is formed which may be employed as a bonding joint (step 314 ).
  • the first substrate may then be inverted and bonded to a second substrate (step 316 ).
  • the second substrate may also have a TSV structure or structures and be stacked on a third substrate.
  • the first substrate may continue processing without bonding to another substrate.
  • the first substrate is then thinned (step 318 ).
  • the thinning process may be done by mechanical cutting, wet or dry etching process.
  • the bulk material between the TSV structures is then recessed (step 320 ) to reveal the TSV structure liner (as shown in FIG. 2F ).
  • a hard mask is deposited (step 322 ) on the backside of the first substrate, covering the exposed TSV structure liner.
  • the hard mask may be a dielectric and may be, for example, a plasma-enhanced USG.
  • the hard mask serves as the passivation layer for the eventual TSV structure metal filling process.
  • the hard mask layer, disposed on the backside of the first substrate, is then planarized.
  • the planarization may consist of, for example, a CMP process, which stops upon exposure of the liner (step 324 ).
  • the planarized backside is then blanket etched to remove the exposed portion of the liner (step 326 ). Further, the blanket etch process may be a wet or dry etch.
  • the CMP process may be extended to remove the exposed liner in one step (step 325 ). In either process, the sacrificial material in the TSV structure is exposed. A dry or wet etch may be used to remove the sacrificial material ( 328 ).
  • the sacrificial material etch stops at the ESL layer. As noted above, a high selectivity between the hard mask and the ESL material may be desired.
  • the ESL is then etched (step 330 ), using either a wet or dry etch, as suitable.
  • a wet or dry etch as suitable.
  • an anisotropic dry etch is implemented to limit the etch effect on liner 117 .
  • the TSV structure is then filled with conductive material (step 332 ), for example, metals, metal alloys and the like.
  • the filling process may be a sputter process, electro-plating process, a screen printing process, or the like.
  • the metal surface of the backside of the first substrate is then planarized.
  • a layer of SiN may be deposited followed by a dielectric layer of, for example, USG.
  • the backside of the first substrate is then patterned and etched, to form openings or trenches to receive a further disposal of metal for the backside metal structures, thus forming backside connection layer (step 334 ).
  • the substrate may be processed further with processes well known by those of ordinary skill in the art or the process may then end.

Abstract

A method of manufacturing a semiconductor substrate structure for use in a semiconductor substrate stack system is presented. The method includes a semiconductor substrate which includes a front-face, a backside, a bulk layer, an interconnect layer that includes a plurality of inter-metal dielectric layers sandwiched between conductive layers, a contact layer that is between the bulk layer and the interconnect layer, and a TSV structure commencing between the bulk layer and the contact layer and terminating at the backside of the substrate. The TSV structure is electrically coupled to the interconnect layer and the TSV structure is electrically coupled to a bonding pad on the backside.

Description

  • This application is a divisional of, and claims the benefit of, U.S. patent application Ser. No. 12/178,021, filed on Jul. 23, 2008, titled “System, Structure, and Method of Manufacturing a Semiconductor Substrate Stack,” which claims the benefit of U.S. Provisional Application Ser. No. 61/127,627, filed on May 14, 2008, entitled “System, Structure, and Method of Manufacturing a Semiconductor Substrate Stack,” which application is hereby incorporated herein by reference.
  • TECHNICAL FIELD
  • The present invention relates generally to a system, a structure, and a method of forming a semiconductor substrate stack, and particularly to forming a semiconductor substrate stack using a through substrate via (TSV).
  • BACKGROUND
  • As the cost of shrinking CMOS devices continues to increase, alternative approaches, such as extending the integration of circuits into the third dimension or semiconductor substrate stacking are being explored. Thinned substrates connected by TSVs can reduce the height and width of a packaged chip stack relative to current wire bonding technologies. Performance may also be enhanced because of TSV implementation in stacked chip designs.
  • Several methods of producing stacked substrates and TSVs have been implemented; including stacking wafers back-to-back, back-to-front, front-to-front, and chip stacking, for example. One known method of producing front-to-front stacks may include forming the TSV structures at the first interconnect level of the front end of line (FEOL) process. The method may include patterning and etching connecting vias into the backside of the wafer after thinning. One disadvantage of this method may be the difficulty of aligning a connecting via on the backside of a thinned wafer with a prior formed TSV structure. Misalignment may result in no connection to the TSV structure, or a limited connection to the TSV structure. Further, the diameter of a backside via structure employed to connect with a TSV may be smaller than the TSV. This may cause additional problems in clearing the sacrificial material from the prior formed TSV. A TSV/backside via structure may be more resistive if the sacrificial material is not sufficiently removed. Moreover, another disadvantage of the prior formed TSV is the cost of the photo procedure to pattern the backside of the wafer.
  • SUMMARY OF THE INVENTION
  • These and other problems are generally solved or circumvented, and technical advantages are generally achieved by a system, structure and method of forming a semiconductor substrate stack using a through substrate via (TSV) structure.
  • In accordance with an illustrative embodiment, an integrated circuit device is provided. The integrated circuit device includes a substrate having an active region formed therein, a via extending through the substrate, having a first termination substantially aligned with a bottom surface of the substrate and a second termination substantially aligned with a top surface of the substrate. A first conductive contact is electrically connected to the second termination of the via and electrically connected to a conductive interconnect layer. A second conductive contact is electrically connected to the conductive interconnect layer and the active region.
  • In accordance with another illustrative embodiment of the present invention, a semiconductor substrate is provided which includes a front-face, a backside, a bulk layer with a plurality of sub-bulk layers, an interconnect layer that includes a plurality of inter-metal dielectric layers sandwiched between conductive layers, a contact layer that is between the bulk layer and the interconnect layer, and a TSV structure commencing between the bulk layer and the contact layer and terminating at the backside of the substrate. The TSV structure is electrically coupled to the interconnect layer and the TSV structure is electrically coupled to a bonding pad on the backside.
  • In accordance with another illustrative embodiment of the present invention, a method manufacturing a semiconductor substrate structure is presented. The method includes etching a TSV structure into a front-face of a substrate before a FEOL contact process. The TSV structure is coated with a liner, filled with a sacrificial material, and provided with an etch stop layer (ESL). The method includes forming at least a first contact between the TSV structure and an interconnect layer and forming at least a second contact between an active region and an interconnect layer. A substrate backside is thinned and a bulk material is recessed to expose a bottom liner of the TSV structure. A hard mask passivation layer is disposed. The substrate backside is planarized exposing the sacrificial material. The sacrificial material is etched, and the ESL is removed. The TSV structure is then filled with a conducting material. A dielectric topside layer is deposited and a backside bonding pad is disposed. The backside bonding pad is electrically coupled to the TSV structure.
  • An advantage of an illustrative embodiment of the present invention is that the cost of the photolithography step to open vias on the backside of the substrate to contact the TSV structures is eliminated.
  • A further advantage of an illustrative embodiment of the present invention is the sacrificial material may be removed thoroughly, without misalignment and other associated disadvantages to the backside via pattern and etch process.
  • The foregoing has outlined rather broadly the features and technical advantages of an illustrative embodiment in order that the detailed description of the invention that follows may be better understood. Additional features and advantages of an illustrative embodiment will be described hereinafter, which form the subject of the claims of the invention. It should be appreciated by those skilled in the art that the conception and specific embodiment disclosed may be readily utilized as a basis for modifying or designing other structures or processes for carrying out the same purposes of the present invention. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the illustrative embodiments as set forth in the appended claims.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • For a more complete understanding of the illustrative embodiments, and the advantages thereof, reference is now made to the following descriptions taken in conjunction with the accompanying drawings, in which:
  • FIG. 1 is a cross sectional depiction of a semiconductor substrate stacking system, in accordance with an illustrative embodiment;
  • FIGS. 2A-2I are cross sectional depictions of a semiconductor substrate stacking system during selected process steps of an illustrative embodiment; and
  • FIG. 3 is a flow chart of a method of forming a semiconductor substrate stacking system.
  • Corresponding numerals and symbols in the different figures generally refer to corresponding parts unless otherwise indicated. The figures are drawn to clearly illustrate the relevant aspects of the preferred embodiments and are not necessarily drawn to scale.
  • DETAILED DESCRIPTION OF ILLUSTRATIVE EMBODIMENTS
  • The making and using of the presently preferred embodiments are discussed in detail below. It should be appreciated, however, that an illustrative embodiment provides many applicable inventive concepts that can be embodied in a wide variety of specific contexts. The specific embodiments discussed are merely illustrative of specific ways to make and use the invention, and do not limit the scope of the invention.
  • The present invention will be described with respect to illustrative embodiments in a specific context, namely a front-to-front stacked semiconductor substrate system. The invention may also be applied, however, to other semiconductor substrate systems, such as single substrates, back-to-back bonded substrates, chip stacks, multiple substrate stacks, and the like. Embodiments may also have application in other through substrate via processes.
  • With reference now to FIG. 1, a system for stacking semiconductor substrates is shown. The term “substrate” herein, generally refers to a semiconductor substrate including a bulk layer 110 on which various layers and structures are formed. Silicon may be used or compound semiconductors, GaAs, InP, Si/Ge, or SiC, as examples, may be used in place of silicon. Example layers may include such layers as dielectric layers, doped layers and polysilicon layers. Example structures may include transistors, resistors, and/or capacitors, which may or may not be interconnected through an interconnect layer to additional active circuits.
  • System 100 shows substrate-A 102 inverted and bonded to substrate-B 104 at bonding joint 106. In an embodiment shown, front-face-A 103 of substrate-A 102 is bonded to front-face-B 105 of substrate-B 104 at bonding joint 106. Substrate-A 102 comprises interconnect layer 108, contact layer 109, bulk layer 110, and backside connection layer 112. Circuit-A 114 is also shown. Circuit-A 114 may extend from the upper layers of bulk layer 110 through contact layer 109 to interconnect layer 108.
  • Through substrate via (TSV) structures 116 are shown in substrate-A 102 and not in substrate-B 104. However, substrate-B 104 may have TSV structures in another embodiment. In yet another embodiment, multiple substrates may be stacked one on the other in front-to-front, front-to-back, and back-to-back configurations. TSV structures 116 may have lining 117 comprising a dielectric such as an oxide, nitride, or the like. TSV structures 116 are filled with a conductive material such as Al, Cu, other metals, alloys, doped polysilicon, combinations, and the like. Preferably, TSV structures 116 are filled with metal.
  • Semiconductor circuits, such as circuit-A 114, are manufactured by forming active regions in a bulk layer, such as bulk layer 110, depositing various insulating, conductive, and semiconductive layers over the substrate, and patterning them in sequential steps. The interconnect layer typically provides connections to underlying active regions and connections within and over the substrate. The interconnect layer includes one or more layers of metal interconnect having the conductive lines disposed within an insulating material. A contact layer, such as contact layer 109 is comprised of conductors disposed in dielectrics, which connect components in bulk layer 110 of substrate-A 114 to interconnect layer 108. The conductors in the contact layer may be any conducting material such as doped polysilicon, Al, Cu, W, alloys, combinations, and the like. Preferably, the contact material is W. Dielectrics in the contact layer may be oxides, nitrides, phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), fluorinated silicate glass or fluorinated silicon oxide glass (FSG) or any electrically isolating materials.
  • TSV structures 116 are etched prior to contact layer 109 of circuit-A 114. Contacts 118 provide electrical coupling between the TSV structures 116 and interconnect layer 108. Backside connection layer 112 provides electrical interconnection between substrate-A 102 and outside systems, which may include other stacked substrates. Through bonding joint 106 backside connection layer 112 may also provide electrical interconnection between substrate-B 104 and outside systems. Backside connection layer 112 is comprised of a backside dielectric 120 and backside metal 122. Backside dielectric 120 may be, for example, a layer of SiN and a layer of an undoped silicon oxide glass (USG), or the like.
  • FIGS. 2A-2I are cross sectional depictions of a semiconductor substrate stacking system during selected process steps of an illustrative embodiment.
  • FIG. 2A shows substrate-A 102 with front-face 103 oriented up, as may be typical during circuit processing, and with TSV structures 116 oriented down into bulk layer 110 (note that substrate-A is shown oriented “flipped” relative to FIG. 1). Circuit-A 114 is shown prior to contact etch.
  • Bulk layer 110 may be patterned, for example, by depositing a photoresist 222 over the top surface of bulk layer 110. Using a reticle, having transparent regions and opaque regions, photoresist 222 or a hard mask may be patterned using techniques well known in the art. In an embodiment implementing a hard mask, the resulting TSV structure may then terminate substantially the thickness of the hard mask above the substrate, rather than substantially at the substrate. The TSV structures may be etched using a reactive-ion plasma process for example. A Bosch process, which is capable of achieving high aspect ratio structure, may be implemented in an embodiment. In an alternate embodiment a wet etch may be implemented. The TSV structures may range in depth from tens to hundreds of microns.
  • TSV structures 116, thus etched, are coated with a dielectric layer, such as SiO2 or SiN to form liner 117, as shown in FIG. 2B. Liner 117 may be comprised of oxides, nitrides, combinations, or other dielectrics. Preferably, the liner is SiO2, formed in a wet oxidation process.
  • Liner 117 may act as a passivation layer between bulk layer 110 and the subsequent metal conductor filled into TSV structures 116. An advantage of an illustrative embodiment is that high quality dielectrics may be more readily used in this step of the substrate processing.
  • TSV structures 116 are then filled with sacrificial material 226. The sacrificial material may be a nitride, an oxide, a doped or undoped polysilicon, or the like. One consideration of the choice of sacrificial material may be a high etch selectivity between liner 117 and subsequently deposited etch stop layer (ESL) 224. In the illustrative embodiment shown, undoped polysilicon is used to fill into the TSV structure. After deposition, a planarization process may be performed to remove any undoped polysilicon formed outside of the TSV structure.
  • TSV structures 116 are then capped with a dielectric layer, which acts as an ESL 224 during the subsequent etch of sacrificial material 226 (described in FIG. 2H). ESL 224 may comprise oxide, nitride, other dielectrics, combinations, or the like. ESL 224 is preferably SiO2. One consideration of the choice of ESL material may be a high etch selectivity between the ESL material and liner 117 plus the subsequently deposited hard mask (described further in FIG. 2G).
  • Turning to FIG. 2C, an insulating material layer 228 is then disposed on bulk layer 110. Insulating material layer 228 may be, for example, SiON, SiN, PSG, combinations, or the like. Insulating material layer 228 may be patterned and openings for contacts 230 may be etched. Contacts 230 may be comprised of metal, such as W, Al, Cu, combinations, or the like, filling the contact openings. Alternatively, contacts 230 may be formed of doped polysilicon or other sufficiently conductive material. Contacts 230 are formed in insulating material layer 228. Contact layer 109 comprises insulating material layer 228 and contacts 230. Note that some contacts 230 found in contact layer 109 may contact the TSV structures 116 and other contacts 230 found in contact layer 109 may contact circuit-A 114.
  • Turning now to FIG. 2D, interconnect layer 108 is shown. A single substrate may contain many active regions and/or functioning circuits. For example, bulk layer 110 may include one or more semiconductor elements, e.g. transistors, diodes, etc. (not shown) and circuit-A 114. Bulk layer 110 may also include other active components or circuits formed therein. At times, it is necessary to connect one circuit or device with another circuit or device that is not immediately adjacent to it. An interconnect layer, such as interconnect layer 108 may be used for this purpose.
  • Interconnect layer 108 may be comprised of a series of inter-metal dielectric (IMD) and conductive lines interconnected by vias (not shown). Interconnect layer 108 is further comprised of metal and inter-metal dielectrics, formed by disposing alternate layers of metal and inter-metal dielectrics. Conductive lines may comprise Cu, Al, W, other conductive material, or combinations thereof, as examples. One or more barrier layers and seed layers may be deposited prior to the deposition of the Cu, Al, W, other conductors, or combinations thereof, for example (not shown). The conductive lines may be disposed employing a damascene process, a dual damascene process, an etched conductive layer process, or the like. Different layers of the multilayered interconnect layer 108 may be comprised of different materials. Top metal 240 is formed for bonding substrate-A 102 to another substrate, or system. For example, top metal 240 may employed for use as a bonding joint, such as bonding joint 106, in FIG. 1. Top metal 240 may comprise Cu, Al, other metals, combinations, other conductors, and the like.
  • The insulating layers or inter-metal dielectrics described herein may comprise traditional insulating materials used for interconnect layers such as SiO2, or alternatively may comprise low-κ materials. The low-κ material may comprise diamond-like carbon, such as Black Diamond™ by Applied Materials, Inc., phosphosilicate glass (PSG), borophosphosilicate glass (BPSG), fluorinated silicate glass or fluorinated silicon oxide glass (FSG), SiOxCy, Spin-On-Glass, Spin-On-Polymers, SILK™ by Dow Chemical, FLARE™ by Honeywell, LKD (low-κ dielectric) from JSR Micro, Inc., hydrogenated oxidized silicon carbon material (SiCOH), amorphous hydrogenated silicon (a-Si:H), SiOxNy, SiC, SiCO, SiCH, compounds thereof, composites thereof, and/or combinations thereof, as examples. In other applications, the insulating layers 218 may comprise a high dielectric constant material, having a dielectric constant of greater than about 4.0, for example. The insulating layers 218 may alternatively comprise a combination of one or more low-κ materials, high-κ material, SiO2, SiN, or combinations, for example.
  • There may be one, or a plurality of metallization layers included in interconnect layer 108, for example (not shown).
  • FIG. 2E shows substrate-A 102 inverted and bonded to second substrate-B 104 employing bonding joint 106. Substrate-B 104 may be a second wafer, a second chip, a routing substrate, or the like.
  • After bonding to substrate-B 104, substrate-A 102 is thinned. The wafer may be thinned in a grinding, lapping, or polishing process. The wafer may be thinned to a range of several tens of microns, thus removing a portion of bulk layer 110.
  • Turning to FIG. 2F, the bulk layer 110 on the backside of substrate-A 102 is shown recessed to expose the bottom of liner 117. The recess process may be performed in a two-step process by, for example, a first CMP process and a second wet etch process. Alternatively, substrate 102 may be thinned and the backside of substrate-A 102 recessed in a single process step. The recess process may have a high etch selectivity between bulk layer 110 and liner 117.
  • Turning to FIG. 2G, passivation dielectric layer 250 is disposed. The passivation dielectric layer 250 serves as the hard mask for removing sacrificial material 226 from TSV structures 116 and serves as the passivation layer for the subsequent conductive material disposed in TSV structures 116. Passivation dielectric layer 250 may be a plasma enhanced USG material or other dielectric material.
  • The backside of substrate-A 102 is then planarized (again recall that the backside of substrate 102 is oriented up). A CMP process may be used for the planarization step. The CMP process may stop on liner 117, before exposing sacrificial material 226. A second wet or dry etch may be employed to expose sacrificial material 226. Alternatively sacrificial material 226 may be exposed in a one-step CMP or etch process. The etch of sacrificial material 226 may be implemented by a dry or wet method with the high selectivity between the sacrificial material 226 and the passivation dielectric layer 250.
  • The underlying bulk material is protected from the sacrificial material 226 etch by the passivation dielectric layer 250, which acts as a hard mask. Sacrificial material 226 etch stops at ESL 224. Next, ESL 224 is etched, exposing contact 230 for electrical interconnection.
  • As noted earlier the passivation dielectric layer 250 and the ESL material may be selected to have a high etch selectivity. Removal of ESL 224 allows access to contacts 230, which in turn electrically couples the TSV structures 116 to interconnect layer 108. The resulting structure is shown in FIG. 2H.
  • Turning to FIG. 2I, the TSV structures 116 are filled with conducting material 260. Metal, such as Al, Cu, alloys and the like may be sputtered, electro-plated, or screen-printed to fill TSV structures 116. Alternatively, other conductive materials may be used. Following the disposition of conducting material 260, substrate 102 may again be planarized by a CMP process.
  • The process is then completed by forming backside connection layer 112, as shown in FIG. 1. Backside connection layer 112 is comprised of a dielectric layer. For example, the dielectric layer may be formed by a SiN deposition, followed by a USG deposition. The dielectric layer may be patterned and etched. Backside metal 122 is formed by, for example, a damascene process or a patterning of a metal layer. Backside connection layer 112 may be comprised therefore of the SiN/USG dielectric layer and the backside metal 122. The substrate may then be bonded to further outside systems, using methods well known in the art. The resultant structure is shown in FIG. 1.
  • FIG. 3 is a flow chart of a method of forming a substrate within a semiconductor substrate stacking system. The process begins by etching TSV openings before the front end of line (FEOL) contact level (step 302) on a first substrate. The etched TSV is coated with a liner (step 304). The liner may act as a passivation layer providing a barrier between the substrate and the eventual conductive material in the finished TSV structure. The liner may be any dielectric, for example, a silicon nitride, a silicon oxide, or the like. An advantage of an illustrative embodiment is the quality of the dielectric that may be used for the liner at the pre-contact stage of processing. A high quality oxide may be used since no metals have been incorporated thus far in the process.
  • The TSV structure is then filled with a sacrificial material (step 306), forming a temporary plug in the TSV structure. The sacrificial material may be polysilicon, a dielectric, a polymer, any combination of these materials, or the like. A consideration in selecting a sacrificial material may be how the temporary material will be removed. A high selectivity between the sacrificial material and the subsequent hard mask may be desirable.
  • Following step 306, filling the TSV structure with sacrificial material, a dielectric etch stop layer (ESL) is disposed on top of the sacrificial material (step 308). The etch stop layer may be an oxide, nitride, other suitable dielectric, or the like. Following the ESL, the contact layer is formed (step 310). The contact layer comprises a dielectric layer or combination of dielectric layers, such as SiON, SiN, PSG and the like, and metal or conductive contacts, which may be W, Al, Cu, doped polysilicon, or the like.
  • Next, the interconnect layer is formed (step 312). The interconnect layer may be formed of Cu, Al, other metals, alloys, or conductive material combinations, sandwiched between inter-metal dielectric layers, which may be composed of FSG, USG, or the like. A top metal layer is formed which may be employed as a bonding joint (step 314).
  • The first substrate may then be inverted and bonded to a second substrate (step 316). In another embodiment, the second substrate may also have a TSV structure or structures and be stacked on a third substrate. In yet another embodiment, the first substrate may continue processing without bonding to another substrate.
  • The first substrate is then thinned (step 318). The thinning process may be done by mechanical cutting, wet or dry etching process.
  • The bulk material between the TSV structures is then recessed (step 320) to reveal the TSV structure liner (as shown in FIG. 2F). A hard mask is deposited (step 322) on the backside of the first substrate, covering the exposed TSV structure liner. The hard mask may be a dielectric and may be, for example, a plasma-enhanced USG. In addition to serving as a hard mask during the TSV structure sacrificial material removal, the hard mask serves as the passivation layer for the eventual TSV structure metal filling process.
  • The hard mask layer, disposed on the backside of the first substrate, is then planarized. The planarization may consist of, for example, a CMP process, which stops upon exposure of the liner (step 324). The planarized backside is then blanket etched to remove the exposed portion of the liner (step 326). Further, the blanket etch process may be a wet or dry etch. Alternatively, the CMP process may be extended to remove the exposed liner in one step (step 325). In either process, the sacrificial material in the TSV structure is exposed. A dry or wet etch may be used to remove the sacrificial material (328). The sacrificial material etch stops at the ESL layer. As noted above, a high selectivity between the hard mask and the ESL material may be desired.
  • The ESL is then etched (step 330), using either a wet or dry etch, as suitable. In an illustrative embodiment an anisotropic dry etch is implemented to limit the etch effect on liner 117.
  • The TSV structure is then filled with conductive material (step 332), for example, metals, metal alloys and the like. The filling process may be a sputter process, electro-plating process, a screen printing process, or the like. The metal surface of the backside of the first substrate is then planarized. A layer of SiN may be deposited followed by a dielectric layer of, for example, USG. The backside of the first substrate is then patterned and etched, to form openings or trenches to receive a further disposal of metal for the backside metal structures, thus forming backside connection layer (step 334). The substrate may be processed further with processes well known by those of ordinary skill in the art or the process may then end.
  • Although the illustrative embodiment and its advantages have been described in detail, it should be understood that various changes, substitutions, and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims. For example, many of the features and functions discussed above can be implemented in software, hardware, or firmware, or a combination thereof. As another example, it will be readily understood by those skilled in the art that layer compositions may be varied while remaining within the scope of the present invention.
  • Moreover, the scope of the present application is not intended to be limited to the particular embodiments of the process, machine, manufacture, composition of matter, means, methods, and steps described in the specification. As one of ordinary skill in the art will readily appreciate from the disclosure of the present invention, processes, machines, manufacture, compositions of matter, means, methods, or steps, presently existing or later to be developed, that perform substantially the same function or achieve substantially the same result as the corresponding embodiments described herein may be utilized according to the present invention. Accordingly, the appended claims are intended to include within their scope such processes, machines, manufacture, compositions of matter, means, methods, or steps.

Claims (20)

What is claimed is:
1. A method comprising:
etching a front-face of a first substrate to create a through substrate via (TSV), at a step before contact etch;
forming at least a first contact between the TSV and an interconnect layer;
forming at least a second contact between an active region and the interconnect layer;
thinning a backside of the first substrate to expose the TSV;
filling the TSV with a conductive material; and
disposing a backside bonding pad, wherein the backside bonding pad is electrically coupled to the TSV.
2. The method of claim 1 further comprising:
lining the TSV with a dielectric.
3. The method of claim 2, wherein the dielectric is disposed into the TSV prior to any metal processes performed on the first substrate.
4. The method of claim 1 further comprising:
filling the TSV with a sacrificial material, before forming the at least first contact.
5. The method of claim 4, wherein the sacrificial material is selected from the group consisting of a nitride, an oxide, a doped polysilicon or an undoped polysilicon.
6. The method of claim 4 further comprising:
depositing an etch stop layer on the sacrificial material in the TSV, before forming the at least first contact.
7. The method of claim 6 further comprising:
disposing a hard mask passivation layer on the backside of the first substrate, following thinning the backside;
planarizing the backside of the first substrate to expose the sacrificial material;
removing the sacrificial material; and
removing the etch stop layer prior to filling the TSV with the conductive material.
8. The method of claim 7, wherein an etch selectivity for the etch stop layer is greater than an etch selectivity of the hard mask passivation layer.
9. The method of claim 1, wherein the at least first contact is an array of first contacts contacting a single TSV.
10. The method of claim 1 further comprising:
following filling the TSV with the conductive material, disposing a dielectric topping layer on the backside of the first substrate.
11. The method of claim 1 further comprising:
after the forming at least the second contact between the active region and the interconnect layer, electrically coupling a first bonding joint to the interconnect layer; and
physically bonding the first bonding joint to a second bonding joint on a second substrate, before thinning the backside of the first substrate to expose the TSV.
12. A method comprising:
etching a recess in a first side of a first substrate, the recess extending partially into the first substrate, the recess adjacent an active region in the first side of the first substrate;
lining the recess with a dielectric material;
filling the recess with a first material;
forming contacts over the first side of the substrate with at least one contact coupled to the active region and at least another contact aligned with the first material in the recess;
forming an interconnect layer over the contacts and coupled to the at least one contact and the at least another contact;
forming a bond pad over the interconnect layer;
thinning a second side of the substrate to expose the dielectric material;
removing the first material from the recess; and
filling the recess with a conductive material, the conductive material in the recess being coupled to the at least another contact.
13. The method of claim 12, wherein the removing the first material from the recess removes substantially all of the first material from the recess and leaves the dielectric material lining the recess.
14. The method of claim 12, wherein filing the recess with a first material further comprises:
forming an etch stop layer in the recess, the etch stop layer being formed of a material selected from a group of materials consisting of Si, SixCy, SixNy, SixCy, SixOy, SixOyNz; and
forming a second layer over the etch stop layer in the recess, the second layer being formed of a material selected from a group of materials consisting of a nitride, an oxide, a doped polysilicon, or an undoped polysilicon.
15. The method of claim 14, wherein the removing the first material from the recess further comprises:
performing a first etch process to remove the second layer from the recess, the first etch process exposing the etch stop layer; and
performing a second etch process to remove the etch stop layer from the recess, the second etch process exposing the at least another contact.
16. The method of claim 12, wherein the at least another contact comprises a plurality of conductive contacts contacting the conductive material in the recess.
17. The method of claim 12, wherein the removing the first material from the recess further comprises:
forming a passivation layer over the second side of the substrate and the exposed dielectric material lining the recess;
planarizing the passivation layer and the dielectric material to expose the first material in the recess; and
selectively etching the first material from the recess.
18. A method comprising:
forming an active region in a first surface of a first substrate;
etching a recess from the first surface of the first substrate into the first substrate;
lining the recess with a dielectric material;
filling the recess with a sacrificial material, the sacrificial material having a different material composition than dielectric material;
forming contacts over the first surface of the first substrate, a first contact being coupled to the active region and a second contact over and aligned with the sacrificial material;
forming an interconnect layer over and coupled to the first and second contacts;
forming a bond pad over the interconnect layer, the bond pad being coupled to at least one of the first contact and the second contact;
bonding the first substrate to a second substrate using the bond pad;
thinning the first substrate from a second surface of the first substrate, the second surface being opposite the first surface, the thinning step exposing a portion of the dielectric material lining the recess;
removing the sacrificial material from the recess from the second surface of the first substrate; and
filling the recess with a conductive material to form a through substrate via (TSV) in the first substrate, the second contact being directly coupled to the conductive material of the TSV.
19. The method of claim 18, wherein the removing the sacrificial material from the recess from the second surface of the first substrate removes substantially all of the sacrificial material from the recess and leaves the dielectric material lining the recess.
20. The method of claim 18, wherein the first contact comprises a plurality of conductive contacts contacting the active region, and the second contact comprises a plurality of conductive contacts contacting the conductive material of the TSV.
US14/507,467 2008-05-14 2014-10-06 System, structure, and method of manufacturing a semiconductor substrate stack Active US9728457B2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US14/507,467 US9728457B2 (en) 2008-05-14 2014-10-06 System, structure, and method of manufacturing a semiconductor substrate stack
US15/465,217 US10515933B2 (en) 2008-05-14 2017-03-21 System, structure, and method of manufacturing a semiconductor substrate stack
US16/721,106 US11004832B2 (en) 2008-05-14 2019-12-19 System, structure, and method of manufacturing a semiconductor substrate stack

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12762708P 2008-05-14 2008-05-14
US12/178,021 US8853830B2 (en) 2008-05-14 2008-07-23 System, structure, and method of manufacturing a semiconductor substrate stack
US14/507,467 US9728457B2 (en) 2008-05-14 2014-10-06 System, structure, and method of manufacturing a semiconductor substrate stack

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US12/178,021 Division US8853830B2 (en) 2008-05-14 2008-07-23 System, structure, and method of manufacturing a semiconductor substrate stack

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/465,217 Continuation US10515933B2 (en) 2008-05-14 2017-03-21 System, structure, and method of manufacturing a semiconductor substrate stack

Publications (2)

Publication Number Publication Date
US20150024546A1 true US20150024546A1 (en) 2015-01-22
US9728457B2 US9728457B2 (en) 2017-08-08

Family

ID=41315368

Family Applications (4)

Application Number Title Priority Date Filing Date
US12/178,021 Active 2028-12-28 US8853830B2 (en) 2008-05-14 2008-07-23 System, structure, and method of manufacturing a semiconductor substrate stack
US14/507,467 Active US9728457B2 (en) 2008-05-14 2014-10-06 System, structure, and method of manufacturing a semiconductor substrate stack
US15/465,217 Active 2029-01-30 US10515933B2 (en) 2008-05-14 2017-03-21 System, structure, and method of manufacturing a semiconductor substrate stack
US16/721,106 Active US11004832B2 (en) 2008-05-14 2019-12-19 System, structure, and method of manufacturing a semiconductor substrate stack

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US12/178,021 Active 2028-12-28 US8853830B2 (en) 2008-05-14 2008-07-23 System, structure, and method of manufacturing a semiconductor substrate stack

Family Applications After (2)

Application Number Title Priority Date Filing Date
US15/465,217 Active 2029-01-30 US10515933B2 (en) 2008-05-14 2017-03-21 System, structure, and method of manufacturing a semiconductor substrate stack
US16/721,106 Active US11004832B2 (en) 2008-05-14 2019-12-19 System, structure, and method of manufacturing a semiconductor substrate stack

Country Status (2)

Country Link
US (4) US8853830B2 (en)
CN (1) CN101582407B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106365108A (en) * 2015-07-23 2017-02-01 中芯国际集成电路制造(上海)有限公司 Semiconductor device and preparation method thereof, and electronic apparatus
US9728490B2 (en) 2015-08-24 2017-08-08 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7939941B2 (en) * 2007-06-27 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of through via before contact processing
US8853830B2 (en) 2008-05-14 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. System, structure, and method of manufacturing a semiconductor substrate stack
US20100081279A1 (en) * 2008-09-30 2010-04-01 Dupont Air Products Nanomaterials Llc Method for Forming Through-base Wafer Vias in Fabrication of Stacked Devices
US7846837B2 (en) * 2008-10-09 2010-12-07 United Microelectronics Corp. Through substrate via process
US7998853B1 (en) * 2009-04-06 2011-08-16 Xilinx, Inc. Semiconductor device with through substrate vias
US8691664B2 (en) * 2009-04-20 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Backside process for a substrate
JP5574639B2 (en) * 2009-08-21 2014-08-20 三菱電機株式会社 Semiconductor device and manufacturing method thereof
US8916473B2 (en) 2009-12-14 2014-12-23 Air Products And Chemicals, Inc. Method for forming through-base wafer vias for fabrication of stacked devices
US8039356B2 (en) * 2010-01-20 2011-10-18 International Business Machines Corporation Through silicon via lithographic alignment and registration
US8304863B2 (en) * 2010-02-09 2012-11-06 International Business Machines Corporation Electromigration immune through-substrate vias
FR2957717B1 (en) * 2010-03-22 2012-05-04 St Microelectronics Sa METHOD OF FORMING A THREE-DIMENSIONAL METAL-INSULATION-METAL TYPE STRUCTURE
FR2958076B1 (en) * 2010-03-24 2012-08-17 St Microelectronics Sa METHOD FOR FORMING ELECTRIC VIAS
US8466059B2 (en) * 2010-03-30 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer interconnect structure for stacked dies
US8698306B2 (en) * 2010-05-20 2014-04-15 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate contact opening
US9396997B2 (en) * 2010-12-10 2016-07-19 Infineon Technologies Ag Method for producing a semiconductor component with insulated semiconductor mesas
US8569861B2 (en) 2010-12-22 2013-10-29 Analog Devices, Inc. Vertically integrated systems
KR20120090417A (en) 2011-02-08 2012-08-17 삼성전자주식회사 Semiconductor device and method of manufacturing a semiconductor device
US8853857B2 (en) 2011-05-05 2014-10-07 International Business Machines Corporation 3-D integration using multi stage vias
CN102856246B (en) * 2011-06-27 2014-10-29 中芯国际集成电路制造(北京)有限公司 Method for manufacturing semiconductor device and semiconductor device
CN102315164A (en) * 2011-10-21 2012-01-11 昆山华太电子技术有限公司 Method for improving performance of RF-LDMOS (Radio Frequency-Laterally Diffused Metal Oxide Semiconductor) device and integrated circuit thereof
CN103378015B (en) * 2012-04-20 2016-04-27 南亚科技股份有限公司 Semiconductor chip and encapsulating structure with and forming method thereof
US8895360B2 (en) * 2012-07-31 2014-11-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated semiconductor device and wafer level method of fabricating the same
US8859425B2 (en) 2012-10-15 2014-10-14 Micron Technology, Inc. Devices, systems, and methods related to forming through-substrate vias with sacrificial plugs
KR102018885B1 (en) 2012-12-20 2019-09-05 삼성전자주식회사 Semiconductor devices having through vias and methods for fabricating the same
US9287197B2 (en) * 2013-03-15 2016-03-15 Globalfoundries Singapore Pte. Ltd. Through silicon vias
US9252077B2 (en) * 2013-09-25 2016-02-02 Intel Corporation Package vias for radio frequency antenna connections
KR102094473B1 (en) 2013-10-15 2020-03-27 삼성전자주식회사 Integrated circuit device having through-silicon via structure and method of manufacturing the same
JP6604740B2 (en) * 2014-05-30 2019-11-13 キヤノン株式会社 Method for manufacturing semiconductor substrate and substrate for liquid discharge head
US10553515B2 (en) * 2016-04-28 2020-02-04 Intel Corporation Integrated circuit structures with extended conductive pathways
US10147704B2 (en) 2016-05-17 2018-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof
US9899297B1 (en) * 2016-09-30 2018-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a through-silicon via and manufacturing method thereof
CN112164688B (en) 2017-07-21 2023-06-13 联华电子股份有限公司 Chip stacking structure and manufacturing method of chip stacking structure
US10163864B1 (en) * 2017-08-16 2018-12-25 Globalfoundries Inc. Vertically stacked wafers and methods of forming same
US10730743B2 (en) 2017-11-06 2020-08-04 Analog Devices Global Unlimited Company Gas sensor packages
KR102450580B1 (en) 2017-12-22 2022-10-07 삼성전자주식회사 Semiconductor Device having a Structure for Insulating Layer under Metal Line
EP3525232A1 (en) * 2018-02-09 2019-08-14 Nexperia B.V. Semiconductor device and method of manufacturing the same
CN110858536A (en) * 2018-08-24 2020-03-03 中芯国际集成电路制造(天津)有限公司 Method for forming semiconductor device
US11587839B2 (en) 2019-06-27 2023-02-21 Analog Devices, Inc. Device with chemical reaction chamber
US11201106B2 (en) * 2020-01-24 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with conductors embedded in a substrate
US20210296281A1 (en) * 2020-03-20 2021-09-23 Integrated Silicon Solution Inc. Wafer-bonding structure and method of forming thereof
KR20220008093A (en) 2020-07-13 2022-01-20 삼성전자주식회사 Semiconductor package and method of manufacturing the semiconductor package
KR20220046786A (en) 2020-10-08 2022-04-15 삼성전자주식회사 Nonvoltile memory device and nonvolative memory system comprising the same
CN115295524B (en) * 2022-10-08 2023-02-03 合肥本源量子计算科技有限责任公司 Through-silicon-via interconnection structure and quantum computer

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040198021A1 (en) * 2003-04-01 2004-10-07 Brouillette Donald W. Use of photoresist in substrate vias during backside grind

Family Cites Families (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05211239A (en) * 1991-09-12 1993-08-20 Texas Instr Inc <Ti> Interconnection structure of integrated circuit and method for formation of it
DE4314907C1 (en) * 1993-05-05 1994-08-25 Siemens Ag Method for producing semiconductor components making electrically conducting contact with one another vertically
US5391917A (en) * 1993-05-10 1995-02-21 International Business Machines Corporation Multiprocessor module packaging
US5627106A (en) * 1994-05-06 1997-05-06 United Microelectronics Corporation Trench method for three dimensional chip connecting during IC fabrication
US5756395A (en) * 1995-08-18 1998-05-26 Lsi Logic Corporation Process for forming metal interconnect structures for use with integrated circuit devices to form integrated circuit structures
US5942449A (en) * 1996-08-28 1999-08-24 Micron Technology, Inc. Method for removing an upper layer of material from a semiconductor wafer
US6882030B2 (en) * 1996-10-29 2005-04-19 Tru-Si Technologies, Inc. Integrated circuit structures with a conductor formed in a through hole in a semiconductor substrate and protruding from a surface of the substrate
EP2270846A3 (en) * 1996-10-29 2011-12-21 ALLVIA, Inc. Integrated circuits and methods for their fabrication
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US5998060A (en) * 1997-04-24 1999-12-07 Mcgrady; Carl Snap connection battery system
US6187677B1 (en) * 1997-08-22 2001-02-13 Micron Technology, Inc. Integrated circuitry and methods of forming integrated circuitry
US6037822A (en) * 1997-09-30 2000-03-14 Intel Corporation Method and apparatus for distributing a clock on the silicon backside of an integrated circuit
US5998292A (en) 1997-11-12 1999-12-07 International Business Machines Corporation Method for making three dimensional circuit integration
JP3563604B2 (en) * 1998-07-29 2004-09-08 株式会社東芝 Multi-chip semiconductor device and memory card
US6355950B1 (en) * 1998-09-23 2002-03-12 Intel Corporation Substrate interconnect for power distribution on integrated circuits
JP3532788B2 (en) * 1999-04-13 2004-05-31 唯知 須賀 Semiconductor device and manufacturing method thereof
US6617681B1 (en) * 1999-06-28 2003-09-09 Intel Corporation Interposer and method of making same
US6500694B1 (en) * 2000-03-22 2002-12-31 Ziptronix, Inc. Three dimensional device integration method and integrated device
US6322903B1 (en) * 1999-12-06 2001-11-27 Tru-Si Technologies, Inc. Package of integrated circuits and vertical integration
TW424002B (en) 1999-12-28 2001-03-01 Taiwan Semiconductor Mfg A cleaning method after finishing polishing process of Cu interconnection
US6368881B1 (en) * 2000-02-29 2002-04-09 International Business Machines Corporation Wafer thickness control during backside grind
US6444576B1 (en) * 2000-06-16 2002-09-03 Chartered Semiconductor Manufacturing, Ltd. Three dimensional IC package module
US6498131B1 (en) * 2000-08-07 2002-12-24 Ekc Technology, Inc. Composition for cleaning chemical mechanical planarization apparatus
US6602117B1 (en) * 2000-08-30 2003-08-05 Micron Technology, Inc. Slurry for use with fixed-abrasive polishing pads in polishing semiconductor device conductive structures that include copper and tungsten and polishing methods
US20030104770A1 (en) * 2001-04-30 2003-06-05 Arch Specialty Chemicals, Inc. Chemical mechanical polishing slurry composition for polishing conductive and non-conductive layers on semiconductor wafers
US20020163072A1 (en) 2001-05-01 2002-11-07 Subhash Gupta Method for bonding wafers to produce stacked integrated circuits
US6583045B1 (en) * 2001-11-16 2003-06-24 Taiwan Semiconductor Manufacturing Company Chip design with power rails under transistors
US6599778B2 (en) * 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
JP3895987B2 (en) * 2001-12-27 2007-03-22 株式会社東芝 Semiconductor device and manufacturing method thereof
EP1472730A4 (en) * 2002-01-16 2010-04-14 Mann Alfred E Found Scient Res Space-saving packaging of electronic circuits
US6762076B2 (en) * 2002-02-20 2004-07-13 Intel Corporation Process of vertically stacking multiple wafers supporting different active integrated circuit (IC) devices
DE10213546C1 (en) * 2002-03-26 2003-11-20 Infineon Technologies Ag Semiconductor device used in silicon microelectronics comprises a first substrate with an integrated component, a second substrate with an integrated repeater, a coupling layer arranged between the substrates, and a contacting element
US6642081B1 (en) * 2002-04-11 2003-11-04 Robert Patti Interlocking conductor method for bonding wafers to produce stacked integrated circuits
SG142115A1 (en) * 2002-06-14 2008-05-28 Micron Technology Inc Wafer level packaging
US6800930B2 (en) * 2002-07-31 2004-10-05 Micron Technology, Inc. Semiconductor dice having back side redistribution layer accessed using through-silicon vias, and assemblies
WO2004047167A1 (en) * 2002-11-21 2004-06-03 Nec Corporation Semiconductor device, wiring substrate, and method for manufacturing wiring substrate
US7030481B2 (en) * 2002-12-09 2006-04-18 Internation Business Machines Corporation High density chip carrier with integrated passive devices
WO2004059720A1 (en) * 2002-12-20 2004-07-15 International Business Machines Corporation Three-dimensional device fabrication method
US7354798B2 (en) 2002-12-20 2008-04-08 International Business Machines Corporation Three-dimensional device fabrication method
US20040124538A1 (en) * 2002-12-31 2004-07-01 Rafael Reif Multi-layer integrated semiconductor structure
US6856009B2 (en) 2003-03-11 2005-02-15 Micron Technology, Inc. Techniques for packaging multiple device components
US6841883B1 (en) * 2003-03-31 2005-01-11 Micron Technology, Inc. Multi-dice chip scale semiconductor components and wafer level methods of fabrication
US6924551B2 (en) * 2003-05-28 2005-08-02 Intel Corporation Through silicon via, folded flex microelectronic package
US7111149B2 (en) * 2003-07-07 2006-09-19 Intel Corporation Method and apparatus for generating a device ID for stacked devices
US6838332B1 (en) * 2003-08-15 2005-01-04 Freescale Semiconductor, Inc. Method for forming a semiconductor device having electrical contact from opposite sides
US6897125B2 (en) * 2003-09-17 2005-05-24 Intel Corporation Methods of forming backside connections on a wafer stack
TWI251313B (en) * 2003-09-26 2006-03-11 Seiko Epson Corp Intermediate chip module, semiconductor device, circuit board, and electronic device
US7101792B2 (en) 2003-10-09 2006-09-05 Micron Technology, Inc. Methods of plating via interconnects
US7335972B2 (en) * 2003-11-13 2008-02-26 Sandia Corporation Heterogeneously integrated microsystem-on-a-chip
US7276787B2 (en) * 2003-12-05 2007-10-02 International Business Machines Corporation Silicon chip carrier with conductive through-vias and method for fabricating same
US7060601B2 (en) * 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
US7049170B2 (en) * 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
KR100569590B1 (en) * 2003-12-30 2006-04-10 매그나칩 반도체 유한회사 Radio frequency semiconductor device and method of manufacturing the same
JP4467318B2 (en) * 2004-01-28 2010-05-26 Necエレクトロニクス株式会社 Semiconductor device, chip alignment method for multi-chip semiconductor device, and method for manufacturing chip for multi-chip semiconductor device
JP2007250561A (en) 2004-04-12 2007-09-27 Japan Science & Technology Agency Semiconductor element and semiconductor system
US7326629B2 (en) * 2004-09-10 2008-02-05 Agency For Science, Technology And Research Method of stacking thin substrates by transfer bonding
US7262495B2 (en) * 2004-10-07 2007-08-28 Hewlett-Packard Development Company, L.P. 3D interconnect with protruding contacts
US7615841B2 (en) 2005-05-02 2009-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Design structure for coupling noise prevention
US7946331B2 (en) * 2005-06-14 2011-05-24 Cufer Asset Ltd. L.L.C. Pin-type chip tooling
US7297574B2 (en) * 2005-06-17 2007-11-20 Infineon Technologies Ag Multi-chip device and method for producing a multi-chip device
US7402515B2 (en) * 2005-06-28 2008-07-22 Intel Corporation Method of forming through-silicon vias with stress buffer collars and resulting devices
EP1911137A4 (en) * 2005-07-29 2011-02-02 Korea Electronics Telecomm Abrupt metal-insulator transition device, circuit for removing high-voltage noise using the abrupt metal-insulator transition device, and electrical and/or electronic system comprising the circuit
US7742277B2 (en) * 2005-08-24 2010-06-22 Ibiden Company Limited Dielectric film capacitor and method of manufacturing the same
US8354730B2 (en) 2005-08-26 2013-01-15 Hitachi, Ltd. Manufacturing method of semiconductor device and semiconductor device
US7410884B2 (en) * 2005-11-21 2008-08-12 Intel Corporation 3D integrated circuits using thick metal for backside connections and offset bumps
US7563714B2 (en) * 2006-01-13 2009-07-21 International Business Machines Corporation Low resistance and inductance backside through vias and methods of fabricating same
US7898095B2 (en) * 2006-03-20 2011-03-01 Tezzaron Semiconductor, Inc. Fiducial scheme adapted for stacked integrated circuits
CN100416827C (en) 2006-05-18 2008-09-03 威盛电子股份有限公司 Packaging element
DE102006024231B4 (en) * 2006-05-23 2010-01-28 Epcos Ag Ceramic material, sintered ceramic, method of making and using the ceramic
US7473979B2 (en) * 2006-05-30 2009-01-06 International Business Machines Corporation Semiconductor integrated circuit devices having high-Q wafer back-side capacitors
US7531407B2 (en) * 2006-07-18 2009-05-12 International Business Machines Corporation Semiconductor integrated circuit devices having high-Q wafer backside inductors and methods of fabricating same
US20080018350A1 (en) * 2006-07-21 2008-01-24 Clinton Chao Test probe for integrated circuits with ultra-fine pitch terminals
TWI303874B (en) * 2006-08-08 2008-12-01 Via Tech Inc Multi-chip structure
KR100789571B1 (en) * 2006-08-31 2007-12-28 동부일렉트로닉스 주식회사 Semiconductor device and fabricating method thereof
US7902643B2 (en) * 2006-08-31 2011-03-08 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US7427803B2 (en) * 2006-09-22 2008-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Electromagnetic shielding using through-silicon vias
US7879711B2 (en) * 2006-11-28 2011-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked structures and methods of fabricating stacked structures
US7781235B2 (en) * 2006-12-21 2010-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Chip-probing and bumping solutions for stacked dies having through-silicon vias
US7863189B2 (en) * 2007-01-05 2011-01-04 International Business Machines Corporation Methods for fabricating silicon carriers with conductive through-vias with low stress and low defect density
US7741218B2 (en) * 2007-02-27 2010-06-22 Freescale Semiconductor, Inc. Conductive via formation utilizing electroplating
US7494846B2 (en) * 2007-03-09 2009-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Design techniques for stacking identical memory dies
US7795735B2 (en) 2007-03-21 2010-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for forming single dies with multi-layer interconnect structures and structures formed therefrom
US8198716B2 (en) 2007-03-26 2012-06-12 Intel Corporation Die backside wire bond technology for single or stacked die package
US8119500B2 (en) * 2007-04-25 2012-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer bonding
US7576435B2 (en) 2007-04-27 2009-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Low-cost and ultra-fine integrated circuit packaging technique
JP4543061B2 (en) * 2007-05-15 2010-09-15 株式会社東芝 Semiconductor integrated circuit
US7939941B2 (en) * 2007-06-27 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of through via before contact processing
US20090008794A1 (en) * 2007-07-03 2009-01-08 Weng-Jin Wu Thickness Indicators for Wafer Thinning
US7466028B1 (en) 2007-10-16 2008-12-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor contact structure
US7786584B2 (en) * 2007-11-26 2010-08-31 Infineon Technologies Ag Through substrate via semiconductor components
US7872357B2 (en) * 2008-03-05 2011-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Protection for bonding pads and methods of formation
US8853830B2 (en) * 2008-05-14 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. System, structure, and method of manufacturing a semiconductor substrate stack
US8691664B2 (en) * 2009-04-20 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Backside process for a substrate

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040198021A1 (en) * 2003-04-01 2004-10-07 Brouillette Donald W. Use of photoresist in substrate vias during backside grind

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106365108A (en) * 2015-07-23 2017-02-01 中芯国际集成电路制造(上海)有限公司 Semiconductor device and preparation method thereof, and electronic apparatus
US9728490B2 (en) 2015-08-24 2017-08-08 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same

Also Published As

Publication number Publication date
US20090283871A1 (en) 2009-11-19
US11004832B2 (en) 2021-05-11
CN101582407B (en) 2014-06-18
US20200126953A1 (en) 2020-04-23
CN101582407A (en) 2009-11-18
US8853830B2 (en) 2014-10-07
US20170194295A1 (en) 2017-07-06
US10515933B2 (en) 2019-12-24
US9728457B2 (en) 2017-08-08

Similar Documents

Publication Publication Date Title
US11004832B2 (en) System, structure, and method of manufacturing a semiconductor substrate stack
US10763292B2 (en) Interconnect apparatus and method for a stacked semiconductor device
US20220208749A1 (en) Semiconductor devices and methods of manufacture thereof
US10840287B2 (en) 3DIC interconnect apparatus and method
US8501587B2 (en) Stacked integrated chips and methods of fabrication thereof
US10522391B2 (en) Method and apparatus for back end of line semiconductor device processing
KR101107627B1 (en) Method for forming pad of three dimensional stacking wafer
CN102208393A (en) Semiconductor element and forming method thereof
US7074716B2 (en) Method of manufacturing a semiconductor device
TWI691454B (en) Monolithic integration of mems and ic devices and method of forming the same
US11315904B2 (en) Semiconductor assembly and method of manufacturing the same
CN111916392A (en) Method for manufacturing semiconductor device
US20090115065A1 (en) Semiconductor device and manufacturing method thereof
KR101179271B1 (en) Method for forming semiconductor device with TSV
US20230360946A1 (en) Method for forming semiconductor structure
US20030075807A1 (en) Interconnect structure with a cap layer on an IMD layer and a method of formation thereof

Legal Events

Date Code Title Description
STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4