US20130189845A1 - Conformal amorphous carbon for spacer and spacer protection applications - Google Patents

Conformal amorphous carbon for spacer and spacer protection applications Download PDF

Info

Publication number
US20130189845A1
US20130189845A1 US13/354,129 US201213354129A US2013189845A1 US 20130189845 A1 US20130189845 A1 US 20130189845A1 US 201213354129 A US201213354129 A US 201213354129A US 2013189845 A1 US2013189845 A1 US 2013189845A1
Authority
US
United States
Prior art keywords
nitrogen
substrate
amorphous carbon
patterned features
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US13/354,129
Other languages
English (en)
Inventor
Sungjin Kim
Deenesh Padhi
Song Hyun Hong
Bok Hoen Kim
Derek R. Witty
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US13/354,129 priority Critical patent/US20130189845A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WITTY, DEREK R., KIM, SUNGJIN, HONG, SUNG HYUN, KIM, BOK HOEN, PADHI, DEENESH
Priority to KR1020147022970A priority patent/KR20140115353A/ko
Priority to PCT/US2013/021769 priority patent/WO2013109645A1/fr
Priority to US14/371,989 priority patent/US20140349490A1/en
Priority to JP2014553383A priority patent/JP2015507363A/ja
Priority to TW102102025A priority patent/TW201339349A/zh
Publication of US20130189845A1 publication Critical patent/US20130189845A1/en
Priority to US14/736,848 priority patent/US9570303B2/en
Priority to US15/432,605 priority patent/US10236182B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Definitions

  • Embodiments of the present invention generally relate to the fabrication of integrated circuits and particularly to a method for protecting sidewalls of hard mask spacers during an etching process.
  • An exemplary feature of an IC is a line of a material which may be a metal, semiconductor or insulator.
  • Linewidth is the width of the line and the spacing is the distance between adjacent lines.
  • Pitch is defined as the distance between a same point on two neighboring lines. The pitch is equal to the sum of the linewidth and the spacing. Due to factors such as optics and light or radiation wavelength, however, photolithography techniques have a minimum pitch below which a particular photolithographic technique may not reliably form features. Thus, the minimum pitch of a photolithographic technique can limit feature size reduction.
  • SADP Self-aligned double patterning
  • FIGS. 1A-1F Such a method is illustrated in FIGS. 1A-1F .
  • patterned core features 102 are formed from sacrificial structural material above a dielectric layer 114 on a substrate 100 using standard photo-lithography and etching techniques.
  • the patterned features are often referred to as placeholders or cores and have linewidths and/or spacings near the optical resolution of a photolithography system using a high-resolution photomask.
  • a conformal layer 106 of hard mask material such as silicon oxide is subsequently deposited over core features 102 .
  • Hard mask spacers 108 are then formed on the sides of core features 102 by preferentially etching the hard mask material from the horizontal surfaces with an anisotropic plasma etch to open the hard mask material deposited on top of the patterned core features 102 as well as remove the hard mask material deposited at the bottom between the two sidewalls, as shown in FIG. 1C .
  • the patterned core features 102 may then be removed, leaving behind hard mask spacers 108 ( FIG. 1D ).
  • hard mask spacers 108 may be used as an etch mask for transferring the pattern to the dielectric layer 114 to form dielectric ribs 116 , as shown in FIG. 1E .
  • the hard mask spacers 108 are subsequently removed ( FIG. 1F ). Therefore, the density of the dielectric ribs 116 is twice that of the photo-lithographically patterned core features 102 , and the pitch of the dielectric ribs 116 is half the pitch of the patterned core features 102 .
  • hard mask spacers 108 are formed by an atomic layer deposition (ALD) using an etchable material such as silicon oxides. These oxides are typically deposited at very low temperature (e.g., less than 200° C.). As a result, the material quality is poor, with low density and poor mechanical strength and degraded chemical resistance to subsequent etching chemistries.
  • the spacer sidewalls e.g., sidewalls 107 ( FIG. 1D ) are exposed to the plasma. Due to the poor material quality of typical ALD hard mask spacers, the sidewalls are damaged and thus causing higher line edge roughness. This issue becomes serious with shrinking feature size.
  • Embodiments of the present invention provide a method for protecting sidewalls of hard mask spacers during an etching process.
  • a method of forming a nitrogen-doped amorphous carbon layer on a substrate in a processing chamber is provided.
  • the method generally includes depositing a predetermined thickness of a sacrificial dielectric layer over a substrate, forming patterned features on the substrate by removing portions of the sacrificial dielectric layer to expose an upper surface of the substrate, depositing conformally a predetermined thickness of a nitrogen-doped amorphous carbon layer on the patterned features and the exposed upper surface of the substrate, selectively removing the nitrogen-doped amorphous carbon layer from an upper surface of the patterned features and the upper surface of the substrate using an anisotropic etching process to provide the patterned features filled within sidewall spacers formed from the nitrogen-doped amorphous carbon layer, and removing the patterned features from the substrate.
  • a method of forming a device in a processing chamber generally includes forming patterned features on an upper surface of a substrate, depositing conformally a predetermined thickness of a sacrificial dielectric layer on the patterned features and an exposed upper surface of the substrate, selectively removing the sacrificial dielectric layer from an upper surface of the patterned features and the exposed upper surface of the substrate to provide the patterned features filled within first sidewall spacers formed from the sacrificial dielectric layer, forming second sidewall spacers adjacent to the first sidewall spacers, the second sidewall spacers being formed from a nitrogen-doped amorphous carbon material having a carbon:nitrogen ratio of between about 0.1% nitrogen to about 4.0% nitrogen, and removing the patterned features filled within the first sidewall spacers.
  • a method of forming a nitrogen-doped amorphous carbon layer on a substrate in a processing chamber generally includes depositing conformally a nitrogen-doped amorphous carbon layer on patterned features formed on the substrate, wherein the deposition is performed, selectively removing the nitrogen-doped amorphous carbon layer from an upper surface of the patterned features and an upper surface of the substrate using an anisotropic etching process to provide patterned features filled within sidewall spacers formed from the nitrogen-doped amorphous carbon layer, and removing the patterned features from the substrate.
  • FIGS. 1A-1F illustrate cross-sectional views representing a conventional double patterning process.
  • FIG. 2 is a flowchart depicting steps associated with an exemplary patterning process according to one embodiment of the invention.
  • FIGS. 3A-3E illustrate cross-sectional views of a structure formed by the steps set forth in FIG. 2 .
  • FIG. 4 is a flowchart depicting steps associated with an exemplary patterning process according to another embodiment of the invention.
  • FIGS. 5A-5H illustrate cross-sectional views of a structure formed by the steps set forth in FIG. 4 .
  • Embodiments of the present invention relate to an ultra-conformal strippable spacer process.
  • an ultra-conformal carbon-based material such as amorphous carbon
  • the ultra-conformal carbon-based material serves as a protective layer during an ashing or etching process, leaving the sacrificial structure material with an upper surface exposed and sidewalls protected by the carbon-based spacers.
  • the remaining carbon-based spacers may perform as a hardmask layer for etching the underlying layer or structure.
  • the carbon-based material may be an undoped or a nitrogen-doped amorphous carbon material.
  • Embodiments of the present invention may be performed using any suitable processing chamber such as a plasma enhanced chemical vapor deposition (PECVD) chamber.
  • the processing chamber may be incorporated into a substrate processing system.
  • An exemplary substrate processing system that may be used to practice the invention is described in commonly assigned U.S. Pat. No. 6,364,954 issued on Apr. 2, 2002, to Salvador et. al. and is herein incorporated by reference.
  • suitable systems include the CENTURA® systems which may use a DxZTM processing chamber, PRECISION 5000® systems, PRODUCERTM systems, PRODUCER GTTM and the PRODUCER SETM processing chambers which are commercially available from Applied Materials, Inc., Santa Clara, Calif. It is contemplated that other processing system, including those available from other manufacturers, may be adapted to practice the embodiments described herein.
  • FIG. 2 is a process flowchart depicting steps associated with an exemplary self-aligned double patterning process according to one embodiment of the invention.
  • FIGS. 3A-3E illustrate cross-sectional views of a structure formed by the steps set forth in FIG. 2 . It is contemplated that the self-aligned double patterning process is chosen for illustration purpose. The concept of the invention is equally applicable to other processes, single or dual patterning scheme, such as via/hole shrink process, self-aligned triple patterning (SATP) process, or self-aligned quadruple patterning (SAQP) process, etc.
  • SATP self-aligned triple patterning
  • SAQP self-aligned quadruple patterning
  • FIG. 2 the number or sequence of steps illustrated in FIG. 2 is not intended to limiting as to the scope of the invention described herein, since one or more steps can be added, deleted and/or reordered without deviating from the basic scope of the invention described herein.
  • the process 200 starts at box 202 by forming a sacrificial structural layer 320 on a substrate 300 .
  • the sacrificial structural layer 320 may be a silicon-based material such as silicon oxide, silicon nitride, or polysilicon.
  • the sacrificial structural layer 320 may be a carbon-based material such as amorphous carbons.
  • the sacrificial structural layer 320 may be a combination of amorphous carbon and hydrogen (hydrogenated amorphous carbon film).
  • One exemplary amorphous carbon film may be a strippable Advanced Patterning FilmTM (APF) material commercially available from Applied Materials, Inc. of Santa Clara, Calif.
  • the choice of materials used for the sacrificial structural layer 320 may vary depending upon the etching/ashing rate relative to the conformal protective layer to be formed thereon.
  • one or more anti-reflective coating layers may be deposited on the carbon-based sacrificial structural layer to control the reflection of light during a lithographic patterning process.
  • Suitable anti-reflective coating layer may include silicon dioxide, silicon oxynitride, silicon nitride, or combinations thereof.
  • One exemplary anti-reflective coating layer may be a DARCTM material commercially available from Applied Materials, Inc. of Santa Clara, Calif.
  • the substrate 300 may have a substantially planar surface 323 as shown. Alternatively, the substrate 300 may have patterned structures, a surface having trenches, holes, or vias formed therein. While the substrate 300 is illustrated as a single body, the substrate 300 may contain one or more materials used in forming semiconductor devices such as metal contacts, trench isolations, gates, bitlines, or any other interconnect features. In one embodiment, the substrate 300 may include one or more metal layers, one or more dielectric materials, semiconductor material, and combinations thereof utilized to fabricate semiconductor devices. For example, the substrate 300 may include an oxide material, a nitride material, a polysilicon material, or the like, depending upon application. In cases where a memory application is desired, the substrate 300 may include the silicon substrate material, an oxide material, and a nitride material, with or without polysilicon sandwiched in between.
  • the substrate 300 may include a plurality of alternating oxide and nitride materials (i.e., oxide-nitride-oxide (ONO)), one or more oxide or nitride materials, polysilicon or amorphous silicon materials, oxides alternating with amorphous silicon, oxides alternating with polysilicon, undoped silicon alternating with doped silicon, undoped polysilicon alternating with doped polysilicon, or updoped amorphous silicon alternating with doped amorphous silicon deposited on a surface of the substrate (not shown).
  • oxide-nitride-oxide ONO
  • the substrate 300 may be a material or a layer stack comprising one or more of the following: crystalline silicon, silicon oxide, silicon oxynitride, silicon nitride, strained silicon, silicon germanium, tungsten, titanium nitride, doped or undoped polysilicon, doped or undoped silicon wafers and patterned or non-patterned wafers, silicon on insulator (SOI), carbon doped silicon oxides, silicon nitrides, doped silicon, germanium, gallium arsenide, glass, sapphire, low k dielectrics, and combinations thereof.
  • SOI silicon on insulator
  • a resist layer 330 such as a photoresist material, is deposited on the sacrificial structural layer 320 as shown in FIG. 3A .
  • patterned features 321 formed from the sacrificial structural layer 320 are produced on the substrate 300 using standard photo-lithography and etching techniques, as shown in FIG. 3B .
  • the patterned features may be formed from any suitable material, for example oxides, such as silicon dioxide, silicon oxynitride, or nitrides such as silicon nitride.
  • the patterned features are sometimes referred to as placeholders, mandrels or cores and have specific linewidths and/or spacings based upon the photoresist material used.
  • the width of the patterned features 321 may be adjusted by subjecting the resist layer 330 to a trimming process. After the pattern has been transferred into the sacrificial structural layer 320 , any residual photoresist and hard mask material (if used) are removed using a suitable photoresist stripping process.
  • a carbon-based protective layer 340 is deposited conformally or substantially conformally on the patterned features 321 and the exposed surfaces of the substrate 300 , as shown in FIG. 3C .
  • the thickness of the carbon-based protective layer 340 may be between about 5 ⁇ and about 200 ⁇ .
  • the carbon-based protective layer is an amorphous carbon (a-C) layer.
  • the amorphous carbon may be undoped or doped with nitrogen.
  • the carbon-based protective layer 340 is a nitrogen-doped amorphous carbon layer.
  • the nitrogen-doped amorphous carbon layer may be deposited by any suitable deposition techniques such as plasma enhanced chemical vapor deposition (PECVD) process.
  • PECVD plasma enhanced chemical vapor deposition
  • the nitrogen-doped amorphous carbon layer may be deposited by flowing, among others, a hydrocarbon source, a nitrogen-containing gas such as N 2 or NH 3 , and a plasma-initiating gas in a PECVD chamber.
  • the nitrogen-doped amorphous carbon layer may be deposited by flowing, among others, a hydrocarbon source, such as a gas-phase hydrocarbon or a liquid-phase hydrocarbon that has been entrained in a carrier gas, a nitrogen-containing hydrocarbon source, and a plasma-initiating gas into a PECVD chamber.
  • the hydrocarbon source may be a mixture of one or more hydrocarbon compounds. In some embodiments, the hydrocarbon source may not be required. Instead, a nitrogen-containing hydrocarbon source and a plasma-initiating gas are flowed into the PECVD chamber to form the nitrogen-doped amorphous carbon protective layer on the patterned features 321 and the exposed surfaces of the substrate 300 .
  • the hydrocarbon compounds may be partially or completely doped derivatives of hydrocarbon compounds, including fluorine-, oxygen-, hydroxyl group-, and boron-containing derivatives of hydrocarbon compounds.
  • Hydrocarbon compounds or derivatives thereof that may be included in the hydrocarbon source may be described by the formula CxHy, where x has a range of between 1 and 10 and y has a range of between 2 and 30.
  • Suitable hydrocarbon compounds may include, but are not limited to, acetylene (C 2 H 2 ), ethane (C 2 H 6 ), propylene (C 3 H 6 ), propyne (C 3 H 4 ), propane (C 3 H 8 ), butane (C 4 H 10 ), butylene (C 4 H 8 ), butyne (C 4 H 6 ), vinylacetylene, phenylacetylene (C 8 H 6 ), benzene, styrene, toluene, xylene, ethylbenzene, acetophenone, methyl benzoate, phenyl acetate, phenol, cresol, furan, alpha-terpinene, cymene, 1,1,3,3,-tetramethylbutylbenzene, t-butylether, t-butylethylene, methyl-methacrylate, and t-butylfurfurylether, compounds having the formula C 3 H 2 and
  • Additional suitable hydrocarbons may include ethylene, pentene, butadiene, isoprene, pentadiene, hexadiene, monofluoroethylene, difluoroethylenes, trifluoroethylene, tetrafluoroethylene, monochloroethylene, dichloroethylenes, trichloroethylene, tetrachloroethylene, and the like.
  • Nitrogen containing hydrocarbon compounds or derivatives thereof that may be included in the nitrogen containing hydrocarbon source can be described by the formula CxHyNz, where x has a range of between 1 and 12, y has a range of between 2 and 20, and z has a range of between 1 and 10.
  • Suitable nitrogen containing hydrocarbon compounds may include one or more of the following compounds methylamine, dimethylamine, trimethylamine (TMA), triethylamine, aniline, quinoline, pyridine, acrilonitrile, and benzonitrile.
  • the nitrogen doped amorphous carbon deposition process may include the use of a plasma-initiating gas that is introduced into the PECVD chamber at before and/or same time as the hydrocarbon compound and a plasma is initiated to begin deposition.
  • the plasma-initiating gas may be a high ionization potential gas including, and not limited to, helium gas, hydrogen gas, nitrogen gas, argon gas and combinations thereof.
  • the plasma-initiating gas may also be a chemically inert gas, such as helium gas, nitrogen gas, or argon gas. Suitable ionization potentials for gases are from about 5 eV (electron potential) to 25 eV.
  • the plasma-initiating gas may be introduced into the PECVD chamber prior to the nitrogen containing hydrocarbon source and/or the hydrocarbon source, which allows a stable plasma to be formed and reduces the chances of arcing.
  • An inert gas used as a diluent gas or a carrier gas, such as argon, may be introduced with the plasma-initiating gas, the nitrogen containing hydrocarbon source, the hydrocarbon source, or combinations thereof.
  • Suitable dilution gases such as helium (He), hydrogen (H 2 ), nitrogen (N 2 ), ammonia (NH 3 ), or combinations thereof, among others, may be added to the gas mixture, if desired.
  • Ar, He, and N 2 are used to control the density and deposition rate of the amorphous carbon layer. In some cases, the addition of H 2 and/or NH 3 can be used to control the hydrogen ratio of the amorphous carbon layer. Alternatively, dilution gases may not be used during the deposition.
  • the nitrogen-containing gas may be introduced into the PECVD chamber at a nitrogen-containing gas to hydrocarbon source ratio of about 1:100 to about 10:1.
  • the nitrogen doped amorphous carbon layer may be deposited at a chamber pressure of about 0.5 Torr or greater, such as from about 0.5 Torr to about 20 Torr, and in one embodiment, about 2 Torr or greater, for example, from about 2 Torr to about 12 Torr, and a substrate temperature from about 25° C. to about 800° C., such as at a temperature from about 200° C. to about 400° C.
  • the electrode spacing between a showerhead and substrate surface when depositing the layer may be between 200 mils and 5,000 mils spacing, for example, about 500 mils spacing.
  • the hydrocarbon source, the nitrogen doped amorphous carbon source, and the plasma-initiating gas are introduced into the PECVD chamber and a plasma is initiated to begin the deposition.
  • Plasma may be generated by applying RF power at a power density to substrate surface area of from about 0.01 W/cm 2 to about 5 W/cm 2 , such as from about 0.8 W/cm 2 to about 2.3 W/cm 2 , for example, about 2 W/cm 2 .
  • the power application may be from about 1 Watt to about 2,000 watts, such as from about 10 W to about 100 W, for a 300 mm substrate.
  • the RF power can be either single frequency or dual frequency. If a single frequency power is used, the frequency power may be between about 10 KHz and about 30 MHz. If a dual-frequency RF power is used to generate the plasma, a mixed RF power may be used.
  • the mixed RF power may provide a high frequency power in a range from about 10 MHz to about 30 MHz, for example, about 13.56 MHz, as well as a low frequency power in a range of from about 10 KHz to about 1 MHz, for example, about 350 KHz.
  • a dual frequency RF power application is believed to provide independent control of flux and ion energy since the energy of the ions hitting the film surface influences the film density.
  • the applied RF power and use of one or more frequencies may be varied based upon the substrate size and the equipment used.
  • a single frequency RF power application may be used, and is typically, an application of the high frequency power as described herein.
  • An exemplary deposition process for processing 300 mm circular substrates may employ, among others, a plasma-initiating gas, a nitrogen containing hydrocarbon source, and a dilution gas.
  • the deposition process may include supplying a plasma-initiating gas, such as helium and/or argon, at a flow rate from about 0 sccm to about 50,000 sccm, for example, between about 400 sccm to about 8,000 sccm, supplying a nitrogen containing hydrocarbon source, at a flow rate from about 10 sccm to about 2,000 sccm, for example, from about 500 sccm to about 1,500 sccm.
  • a plasma-initiating gas such as helium and/or argon
  • the nitrogen containing hydrocarbon source flow can be between 15 mg/min and 2,000 mg/min, for example between 100 mg/min and 1,000 mg/min.
  • a dilution gas such as NH 3 , He, Ar, H 2 , or N 2 , may be supplied at a flow rate from about 0 sccm to about 5,000 sccm, for example about 500 sccm to about 1,000 sccm.
  • the deposition process may be performed with a dual frequency RF power from about 5 W to about 1,600 W, for example between about 10 W and about 100 W, at a chamber pressure from about 0.5 Torr to about 50 Torr, for example between about 5 torr and about 15 Torr, and a substrate temperature from about 25° C. to about 650° C., for example between about 200° C. and about 400° C.
  • This process range provides a deposition rate for a nitrogen doped amorphous carbon layer in the range of about 10 ⁇ /min to about 30,000 ⁇ /min.
  • One skilled in the art upon reading the disclosure herein, can calculate appropriate process parameters in order to produce a nitrogen doped amorphous carbon film of different deposition rates.
  • the as-deposited nitrogen-doped amorphous carbon layer has an adjustable carbon:nitrogen ratio that ranges from about 0.1% nitrogen to about 4.0% nitrogen, such as about 1.5% to about 2%.
  • An example of nitrogen doped amorphous carbon materials deposited by the processes described herein is provided as follows.
  • a nitrogen doped amorphous carbon deposition process may include providing a flow rate of helium to the processing chamber at about 200 sccm to 1,500 sccm, for example about 500 sccm, providing a flow rate of benzonitrile to the processing chamber at about 100 mg/min to about 1,000 mg/min, and providing a flow rate of ammonia to the processing chamber at about 0 sccm to about 2,000 sccm, applying a high frequency RF power (13.56 MHz) at about 30 W to 200 W (for a 200 mm wafer), maintaining a deposition temperature of about 200° C.
  • a high frequency RF power 13.56 MHz
  • the carbon-based protective layer 340 is anisotropically etched (a vertical etch) to expose an upper surface of the substrate 300 in areas 311 and expose an upper surface of patterned features 321 , resulting in patterned features 321 (formed from the sacrificial structural layer 320 ) protected by carbon-based sidewall spacers 341 , as shown in FIG. 3D .
  • the patterned features 321 are removed using a conventional plasma etching process or other suitable wet stripping process, leaving non-sacrificial carbon-based sidewall spacers 341 as shown in FIG. 3E .
  • the plasma etching process may be done by introducing a fluorine-based etching chemistry into a plasma above the substrate. Due to the improved material quality and coverage, the carbon-based sidewall spacers 341 are not damaged because they have very good selectivity to the fluorine-based reactive etching chemistry or the wet strip-based chemistry.
  • the remaining carbon-based sidewall spacers 341 may be used as a hardmask for etching the underlying layer, layer stack, or structure.
  • the density of the carbon-based sidewall spacers 341 in accordance with this patterning process is twice that of the photo-lithographically patterned features 321
  • the pitch of carbon-based sidewall spacer 341 is half the pitch of the patterned features 321 .
  • FIG. 4 is a flowchart depicting steps associated with an exemplary patterning process according to another embodiment of the invention.
  • FIGS. 5A-5H illustrate cross-sectional views of a structure formed by the steps set forth in FIG. 4 .
  • BEOL back end of line
  • SADP self-aligned double patterning
  • SAQP self-aligned quadruple patterning
  • the process 400 starts at box 402 by providing a substrate 500 into a processing chamber, such as a PECVD chamber.
  • the substrate 500 may be one or more materials used in forming semiconductor devices including a silicon material, an oxide material, a polysilicon material, or the like, as discussed above with respect to substrate 300 shown in FIG. 3A .
  • a non-sacrificial structural layer 520 is deposited on the substrate 500 as shown in FIG. 5B .
  • the non-sacrificial structural layer 520 may be a carbon-based material such as amorphous carbons.
  • the non-sacrificial structural layer 520 is an Advanced Patterning FilmTM (APF) material commercially available from Applied Materials, Inc. of Santa Clara, Calif. While not shown, in certain embodiments where a carbon-based non-sacrificial structural layer is used, one or more anti-reflective coating layers may be deposited on the carbon-based non-sacrificial structural layer to control the reflection of light during a lithographic patterning process.
  • APF Advanced Patterning FilmTM
  • Suitable anti-reflective coating layer may include silicon dioxide, silicon oxynitride, silicon nitride, or combinations thereof.
  • One exemplary anti-reflective coating layer may be a DARCTM material commercially available from Applied Materials, Inc. of Santa Clara, Calif.
  • a bottom anti-reflective coating (BARC) layer 540 is deposited over the non-sacrificial structure layer 520 .
  • the BARC layer 540 may be an organic material such as polyamides and polysulfones.
  • the BARC layer 540 is believed to reduce reflection of light during patterning of the subsequent resist layer and is also helpful for thinner resist layers because the BARC layer 540 increases the total thickness of the multi-layered mask for improved etch resistance during etch of underlying layer or structure.
  • the BARC layer 540 may further include a light absorbing layer 530 deposited between the BARC layer 540 and the non-sacrificial structure layer 520 as shown in FIG. 5C , to improve photolithography performance.
  • the light absorbing layer 530 may be a metal layer, such as nitrides. In one example, the light absorbing layer 530 is titanium nitride.
  • a resist layer such as a photoresist material, is then deposited on the BARC layer 540 .
  • the resist layer is then patterned by a lithographic process producing a patterned resist layer 550 with a desired etch pattern 551 , as shown in FIG. 5D .
  • the etch pattern 551 is shown to have different pattern width for exemplary purpose.
  • the BARC layer 540 , the light absorbing layer 530 , and the non-sacrificial structure layer 520 are patterned respectively using conventional photolithography and etching processes to transfer the desired etch pattern 551 into the non-sacrificial structure layer 520 , leaving patterned non-sacrificial features 521 , as shown in FIG. 5E .
  • a first conformal layer is deposited conformally or substantially conformally on the patterned non-sacrificial features 521 and the exposed surfaces of the substrate 500 .
  • the first conformal layer may comprise a strippable material having an etching rate different from the patterned sacrificial features 521 .
  • Suitable materials for the first conformal layer may include, for example, oxides such as silicon dioxide, silicon oxynitride, or nitride such as silicon nitride.
  • the first conformal layer is then anisotropically etched to expose an upper surface of the substrate 500 in areas 511 and expose an upper surface of patterned non-sacrificial features 521 , resulting in patterned non-sacrificial features 521 (formed from the non-sacrificial structural layer 520 ) protected by strippable sidewall spacers 561 formed from the first conformal layer, as shown in FIG. 5F .
  • non-sacrificial carbon-based sidewall spacers 571 are then formed adjacent the patterned non-sacrificial features 521 in a manner similar to the sidewall spacers 561 as shown in FIG. 5G .
  • the non-sacrificial carbon-based sidewall spacers 571 may be an amorphous carbon (a-C) undoped or doped with nitrogen formed by the processes as described above with respect to boxes 208 and 210 .
  • the non-sacrificial carbon-based sidewall spacers 571 are nitrogen-doped amorphous carbon.
  • the strippable sidewall spacers 561 located between the patterned non-sacrificial features 521 and the non-sacrificial carbon-based sidewall spacers 571 , are removed using a conventional wet stripping process or other suitable process, leaving patterned non-sacrificial features 521 and non-sacrificial carbon-based sidewall spacers 571 as shown in FIG. 5H .
  • the remaining patterned non-sacrificial features 521 and non-sacrificial carbon-based sidewall spacers 571 may then be used as a hardmask for etching the underlying layer, layer stack, or structure.
  • the density of the resulting hardmask (i.e., patterned non-sacrificial features 521 and non-sacrificial carbon-based sidewall spacers 571 ) in accordance with this patterning process is triple that of the patterned resist layer 550
  • the pitch of resulting hardmask (i.e., patterned non-sacrificial features 521 and non-sacrificial carbon-based sidewall spacers 571 ) is half the pitch of the patterned resist layer 550 .
  • Carbon-based protective layers or sidewall spacers deposited in accordance with the present invention have been observed to be able to provide excellent conformality higher than 95% with an improved film uniformity of about 1.5%, high film density of about 1.25-1.60 g/cc, and a compressive film stress less than 50 MPa. Since the sidewalls of hard mask spacers are not damaged during the ashing or anisotropic plasma etching process, the line edge roughness is significantly reduced as compared to the conventional ALD grown spacers using silicon oxide materials. Therefore, the resulting hard mask spacers can provide superior etch profile and etch selectivity with little or no microloading.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
US13/354,129 2012-01-19 2012-01-19 Conformal amorphous carbon for spacer and spacer protection applications Abandoned US20130189845A1 (en)

Priority Applications (8)

Application Number Priority Date Filing Date Title
US13/354,129 US20130189845A1 (en) 2012-01-19 2012-01-19 Conformal amorphous carbon for spacer and spacer protection applications
KR1020147022970A KR20140115353A (ko) 2012-01-19 2013-01-16 스페이서 및 스페이서 보호 적용예들을 위한 등각적인 비정질 탄소
PCT/US2013/021769 WO2013109645A1 (fr) 2012-01-19 2013-01-16 Carbone amorphe conforme pour entretoise et applications de protection d'entretoise
US14/371,989 US20140349490A1 (en) 2012-01-19 2013-01-16 Conformal amorphous carbon for spacer and spacer protection applications
JP2014553383A JP2015507363A (ja) 2012-01-19 2013-01-16 スペーサおよびスペーサ保護用途のための共形アモルファスカーボン
TW102102025A TW201339349A (zh) 2012-01-19 2013-01-18 用於間隔物與間隔物保護應用之共形非晶碳
US14/736,848 US9570303B2 (en) 2012-01-19 2015-06-11 Conformal amorphous carbon for spacer and spacer protection applications
US15/432,605 US10236182B2 (en) 2012-01-19 2017-02-14 Conformal amorphous carbon for spacer and spacer protection applications

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/354,129 US20130189845A1 (en) 2012-01-19 2012-01-19 Conformal amorphous carbon for spacer and spacer protection applications

Related Child Applications (2)

Application Number Title Priority Date Filing Date
PCT/US2013/021769 Continuation WO2013109645A1 (fr) 2012-01-19 2013-01-16 Carbone amorphe conforme pour entretoise et applications de protection d'entretoise
US14/371,989 Continuation US20140349490A1 (en) 2012-01-19 2013-01-16 Conformal amorphous carbon for spacer and spacer protection applications

Publications (1)

Publication Number Publication Date
US20130189845A1 true US20130189845A1 (en) 2013-07-25

Family

ID=48797563

Family Applications (4)

Application Number Title Priority Date Filing Date
US13/354,129 Abandoned US20130189845A1 (en) 2012-01-19 2012-01-19 Conformal amorphous carbon for spacer and spacer protection applications
US14/371,989 Abandoned US20140349490A1 (en) 2012-01-19 2013-01-16 Conformal amorphous carbon for spacer and spacer protection applications
US14/736,848 Active US9570303B2 (en) 2012-01-19 2015-06-11 Conformal amorphous carbon for spacer and spacer protection applications
US15/432,605 Active US10236182B2 (en) 2012-01-19 2017-02-14 Conformal amorphous carbon for spacer and spacer protection applications

Family Applications After (3)

Application Number Title Priority Date Filing Date
US14/371,989 Abandoned US20140349490A1 (en) 2012-01-19 2013-01-16 Conformal amorphous carbon for spacer and spacer protection applications
US14/736,848 Active US9570303B2 (en) 2012-01-19 2015-06-11 Conformal amorphous carbon for spacer and spacer protection applications
US15/432,605 Active US10236182B2 (en) 2012-01-19 2017-02-14 Conformal amorphous carbon for spacer and spacer protection applications

Country Status (5)

Country Link
US (4) US20130189845A1 (fr)
JP (1) JP2015507363A (fr)
KR (1) KR20140115353A (fr)
TW (1) TW201339349A (fr)
WO (1) WO2013109645A1 (fr)

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130034963A1 (en) * 2011-08-02 2013-02-07 Chung Byung-Hong Methods of forming fine patterns for semiconductor device
US20130157468A1 (en) * 2010-08-27 2013-06-20 Tokyo Electron Limited Etching method, substrate processing method, pattern forming method, method for manufacturing semiconductor element, and semiconductor element
US20140083972A1 (en) * 2012-09-27 2014-03-27 Tokyo Electron Limited Pattern forming method
US8828839B2 (en) * 2013-01-29 2014-09-09 GlobalFoundries, Inc. Methods for fabricating electrically-isolated finFET semiconductor devices
US20140315380A1 (en) * 2013-04-19 2014-10-23 International Business Machines Corporation Trench patterning with block first sidewall image transfer
US20150061087A1 (en) * 2013-09-04 2015-03-05 Semiconductor Manufacturing International (Shanghai) Corporation Triple patterning method
US20160027658A1 (en) * 2013-10-25 2016-01-28 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using Multilayer Spacer for Reduced Spacer Footing
WO2016200498A1 (fr) * 2015-06-11 2016-12-15 Applied Materials, Inc. Film de carbon pelable conforme pour la réduction de rugosité de bord de ligne pour une formation de motifs perfectionnée
US9698015B2 (en) 2013-10-21 2017-07-04 Applied Materials, Inc. Method for patterning a semiconductor substrate
US9721784B2 (en) 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
US20180033622A1 (en) * 2016-07-29 2018-02-01 Lam Research Corporation Doped ald films for semiconductor patterning applications
CN107799390A (zh) * 2016-08-31 2018-03-13 朗姆研究公司 用于半导体图案化应用的高干法蚀刻速率材料
US9935012B1 (en) 2016-11-28 2018-04-03 Globalfoundries Inc. Methods for forming different shapes in different regions of the same layer
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US20190027362A1 (en) * 2017-07-24 2019-01-24 Applied Materials, Inc. Pre-treatment approach to improve continuity of ultra-thin amorphous silicon film on silicon oxide
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10446394B2 (en) * 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
CN113078105A (zh) * 2021-03-29 2021-07-06 长鑫存储技术有限公司 掩膜结构的制备方法、半导体结构及其制备方法
US11361973B2 (en) * 2019-12-06 2022-06-14 Tokyo Electron Limited Etching method and etching apparatus
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11603591B2 (en) * 2018-05-03 2023-03-14 Applied Materials Inc. Pulsed plasma (DC/RF) deposition of high quality C films for patterning

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI555082B (zh) * 2015-05-15 2016-10-21 力晶科技股份有限公司 圖案化方法
US9484202B1 (en) * 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
US10410872B2 (en) 2016-09-13 2019-09-10 Applied Materials, Inc. Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
US10276379B2 (en) * 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
US10304728B2 (en) * 2017-05-01 2019-05-28 Advanced Micro Devices, Inc. Double spacer immersion lithography triple patterning flow and method
US20180323061A1 (en) * 2017-05-03 2018-11-08 Tokyo Electron Limited Self-Aligned Triple Patterning Process Utilizing Organic Spacers
US10593543B2 (en) 2017-06-05 2020-03-17 Applied Materials, Inc. Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
US10096475B1 (en) * 2017-11-17 2018-10-09 Lam Research Corporation System and method for depositing a homogenous interface for PECVD metal-doped carbon hardmasks
KR102147149B1 (ko) * 2018-06-11 2020-08-24 에스케이하이닉스 주식회사 반도체 소자의 제조 방법
JP7180847B2 (ja) 2018-12-18 2022-11-30 東京エレクトロン株式会社 カーボンハードマスク、成膜装置、および成膜方法
US11315787B2 (en) 2019-04-17 2022-04-26 Applied Materials, Inc. Multiple spacer patterning schemes
US11145509B2 (en) * 2019-05-24 2021-10-12 Applied Materials, Inc. Method for forming and patterning a layer and/or substrate

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4882256A (en) * 1986-10-14 1989-11-21 Minolta Camera Kabushiki Kaisha Photosensitive member having an overcoat layer comprising amorphous carbon
JPH07131009A (ja) * 1993-11-04 1995-05-19 Toshiba Corp 半導体装置及びその製造方法
JPH07161657A (ja) * 1993-12-08 1995-06-23 Fujitsu Ltd パターン形成方法
US6596599B1 (en) * 2001-07-16 2003-07-22 Taiwan Semiconductor Manufacturing Company Gate stack for high performance sub-micron CMOS devices
US6500756B1 (en) * 2002-06-28 2002-12-31 Advanced Micro Devices, Inc. Method of forming sub-lithographic spaces between polysilicon lines
US6893967B1 (en) * 2004-01-13 2005-05-17 Advanced Micro Devices, Inc. L-shaped spacer incorporating or patterned using amorphous carbon or CVD organic materials
US7390746B2 (en) * 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US8852851B2 (en) * 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7560784B2 (en) * 2007-02-01 2009-07-14 International Business Machines Corporation Fin PIN diode
CN102203921A (zh) 2007-06-15 2011-09-28 应用材料股份有限公司 在基板间隙中形成氧化物牺牲衬层的氧气sacvd方法
KR100955265B1 (ko) * 2007-08-31 2010-04-30 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
JP2009130035A (ja) * 2007-11-21 2009-06-11 Toshiba Corp 半導体装置の製造方法
US20090311634A1 (en) * 2008-06-11 2009-12-17 Tokyo Electron Limited Method of double patterning using sacrificial structure
US7709396B2 (en) * 2008-09-19 2010-05-04 Applied Materials, Inc. Integral patterning of large features along with array using spacer mask patterning process flow
KR101357181B1 (ko) * 2008-10-14 2014-01-29 어플라이드 머티어리얼스, 인코포레이티드 플라즈마-강화 화학적 기상 증착(pecvd)에 의해 등각성 비정질 탄소막을 증착하기 위한 방법
US8084310B2 (en) 2008-10-23 2011-12-27 Applied Materials, Inc. Self-aligned multi-patterning for advanced critical dimension contacts
US7935464B2 (en) 2008-10-30 2011-05-03 Applied Materials, Inc. System and method for self-aligned dual patterning
US7972959B2 (en) 2008-12-01 2011-07-05 Applied Materials, Inc. Self aligned double patterning flow with non-sacrificial features
US7842622B1 (en) 2009-05-15 2010-11-30 Asm Japan K.K. Method of forming highly conformal amorphous carbon layer
JP5356516B2 (ja) * 2009-05-20 2013-12-04 株式会社東芝 凹凸パターン形成方法
US8404592B2 (en) * 2009-07-27 2013-03-26 GlobalFoundries, Inc. Methods for fabricating FinFET semiconductor devices using L-shaped spacers
US8242560B2 (en) * 2010-01-15 2012-08-14 International Business Machines Corporation FinFET with thin gate dielectric layer
US20110244142A1 (en) * 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
JP4982582B2 (ja) * 2010-03-31 2012-07-25 株式会社東芝 マスクの製造方法
US20130109198A1 (en) * 2011-10-26 2013-05-02 American Air Liquide, Inc. High carbon content molecules for amorphous carbon deposition

Cited By (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9117764B2 (en) * 2010-08-27 2015-08-25 Tokyo Electron Limited Etching method, substrate processing method, pattern forming method, method for manufacturing semiconductor element, and semiconductor element
US20130157468A1 (en) * 2010-08-27 2013-06-20 Tokyo Electron Limited Etching method, substrate processing method, pattern forming method, method for manufacturing semiconductor element, and semiconductor element
US8889560B2 (en) * 2011-08-02 2014-11-18 Samsung Electronics Co., Ltd. Methods of forming fine patterns for semiconductor device
US20130034963A1 (en) * 2011-08-02 2013-02-07 Chung Byung-Hong Methods of forming fine patterns for semiconductor device
US20140083972A1 (en) * 2012-09-27 2014-03-27 Tokyo Electron Limited Pattern forming method
US8828839B2 (en) * 2013-01-29 2014-09-09 GlobalFoundries, Inc. Methods for fabricating electrically-isolated finFET semiconductor devices
US10074534B2 (en) 2013-03-15 2018-09-11 Applied Materials, Inc. Ultra-conformal carbon film deposition
US9721784B2 (en) 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
US9064813B2 (en) * 2013-04-19 2015-06-23 International Business Machines Corporation Trench patterning with block first sidewall image transfer
US20140315380A1 (en) * 2013-04-19 2014-10-23 International Business Machines Corporation Trench patterning with block first sidewall image transfer
US9034762B2 (en) * 2013-09-04 2015-05-19 Semiconductor Manufacturing International (Shanghai) Corporation Triple patterning method
US20150061087A1 (en) * 2013-09-04 2015-03-05 Semiconductor Manufacturing International (Shanghai) Corporation Triple patterning method
US9698015B2 (en) 2013-10-21 2017-07-04 Applied Materials, Inc. Method for patterning a semiconductor substrate
US9892933B2 (en) * 2013-10-25 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using multilayer spacer for reduced spacer footing
US20160027658A1 (en) * 2013-10-25 2016-01-28 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using Multilayer Spacer for Reduced Spacer Footing
US10804099B2 (en) 2014-11-24 2020-10-13 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
WO2016200498A1 (fr) * 2015-06-11 2016-12-15 Applied Materials, Inc. Film de carbon pelable conforme pour la réduction de rugosité de bord de ligne pour une formation de motifs perfectionnée
TWI686846B (zh) * 2015-06-11 2020-03-01 美商應用材料股份有限公司 先進圖案化中所用的降低線邊緣粗糙度的正形可剝離碳膜
US10014174B2 (en) 2015-06-11 2018-07-03 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US9659771B2 (en) 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US20180033622A1 (en) * 2016-07-29 2018-02-01 Lam Research Corporation Doped ald films for semiconductor patterning applications
CN107680903A (zh) * 2016-07-29 2018-02-09 朗姆研究公司 用于半导体图案化应用的掺杂ald膜
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
CN107799390A (zh) * 2016-08-31 2018-03-13 朗姆研究公司 用于半导体图案化应用的高干法蚀刻速率材料
CN107799390B (zh) * 2016-08-31 2021-10-12 朗姆研究公司 用于半导体图案化应用的高干法蚀刻速率材料
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US9935012B1 (en) 2016-11-28 2018-04-03 Globalfoundries Inc. Methods for forming different shapes in different regions of the same layer
US10559465B2 (en) * 2017-07-24 2020-02-11 Applied Materials, Inc. Pre-treatment approach to improve continuity of ultra-thin amorphous silicon film on silicon oxide
US20190027362A1 (en) * 2017-07-24 2019-01-24 Applied Materials, Inc. Pre-treatment approach to improve continuity of ultra-thin amorphous silicon film on silicon oxide
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10658172B2 (en) 2017-09-13 2020-05-19 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10734238B2 (en) 2017-11-21 2020-08-04 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US10515815B2 (en) 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation
US11170997B2 (en) 2017-11-21 2021-11-09 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
US11211253B2 (en) 2017-11-21 2021-12-28 Lam Research Corportation Atomic layer deposition and etch in a single plasma chamber for critical dimension control
US10446394B2 (en) * 2018-01-26 2019-10-15 Lam Research Corporation Spacer profile control using atomic layer deposition in a multiple patterning process
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
US11603591B2 (en) * 2018-05-03 2023-03-14 Applied Materials Inc. Pulsed plasma (DC/RF) deposition of high quality C films for patterning
US11361973B2 (en) * 2019-12-06 2022-06-14 Tokyo Electron Limited Etching method and etching apparatus
CN113078105A (zh) * 2021-03-29 2021-07-06 长鑫存储技术有限公司 掩膜结构的制备方法、半导体结构及其制备方法

Also Published As

Publication number Publication date
US20150279676A1 (en) 2015-10-01
US20140349490A1 (en) 2014-11-27
WO2013109645A1 (fr) 2013-07-25
US9570303B2 (en) 2017-02-14
US10236182B2 (en) 2019-03-19
JP2015507363A (ja) 2015-03-05
KR20140115353A (ko) 2014-09-30
TW201339349A (zh) 2013-10-01
US20170170015A1 (en) 2017-06-15

Similar Documents

Publication Publication Date Title
US10236182B2 (en) Conformal amorphous carbon for spacer and spacer protection applications
US10074534B2 (en) Ultra-conformal carbon film deposition
JP7266068B2 (ja) 横方向ハードマスク凹部縮小のためのハイブリッドカーボンハードマスク
US10014174B2 (en) Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US10410872B2 (en) Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
US8513129B2 (en) Planarizing etch hardmask to increase pattern density and aspect ratio
US9337051B2 (en) Method for critical dimension reduction using conformal carbon films
US10453751B2 (en) Tone inversion method and structure for selective contact via patterning
US9922972B1 (en) Embedded silicon carbide block patterning

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KIM, SUNGJIN;PADHI, DEENESH;HONG, SUNG HYUN;AND OTHERS;SIGNING DATES FROM 20120330 TO 20120405;REEL/FRAME:028004/0827

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION