US20010005626A1 - Method for fabricating semiconductor device - Google Patents

Method for fabricating semiconductor device Download PDF

Info

Publication number
US20010005626A1
US20010005626A1 US09/741,878 US74187800A US2001005626A1 US 20010005626 A1 US20010005626 A1 US 20010005626A1 US 74187800 A US74187800 A US 74187800A US 2001005626 A1 US2001005626 A1 US 2001005626A1
Authority
US
United States
Prior art keywords
film
gas
insulation film
film pattern
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/741,878
Other versions
US6432816B2 (en
Inventor
Jeong Kim
Jae Yu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hyundai Electronics Industries Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hyundai Electronics Industries Co Ltd filed Critical Hyundai Electronics Industries Co Ltd
Assigned to HYUNDAI ELECTRONICS INDUSTRIES CO., LTD. reassignment HYUNDAI ELECTRONICS INDUSTRIES CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: YU, JAE SEON, KIM, JEONG HO
Publication of US20010005626A1 publication Critical patent/US20010005626A1/en
Application granted granted Critical
Publication of US6432816B2 publication Critical patent/US6432816B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Definitions

  • the present invention relates to a method for fabricating a semiconductor device, and in particular to an improved method for fabricating a semiconductor device which can optimize an electric property of the high integration device, by preventing a device isolation film from being damaged in a contact plug formation process.
  • Resolution (R) of the photoresist film pattern is proportional to the light source wavelength ( ⁇ ) and a process variable (k) of a micro exposure device, and is inversely proportional to a numerical aperture of the exposure device.
  • the wavelength of the light source may be decreased.
  • resolution of the G-line and I-line micro exposure devices having a wavelength of 436 nm and 365 nm is about 0.7 ⁇ m and 0.5 ⁇ m, respectively.
  • exposure devices using a deep ultraviolet (DUV) light having a small wavelength for example a KrF laser at 248 nm or an ArF laser at 193 nm, are used to form fine patterns below 0.5 ⁇ m.
  • DUV deep ultraviolet
  • a phase shift mask as a photo mask
  • SOG spin on glass
  • the size of a contact hole connecting upper and lower conductive interconnections and the space between the contact hole and an adjacent interconnection may be decreased, and the aspect ratio of the contact hole may be increased.
  • a highly integrated semiconductor device having multi-layer conductive interconnection requires precise mask alignment in the fabrication process to form the contact hole, thereby reducing process margin.
  • masks are formed in consideration of and to allow for misalignment tolerance in the mask alignment, lens distortion in the exposure process, critical dimension variation in the mask formation, and photoetching processes, and mask registration offsets.
  • the SAC method may use a polysilicon layer, a nitride film or an oxynitride film as an etch barrier film depending on the process requirements.
  • the nitride film is most commonly employed as the etch barrier film.
  • a substructure for example a device isolation insulation film, a gate insulation film, and a metal-oxide semiconductor field effect transistor (MOSFET) consisting of a gate electrode overlapped with a mask oxide film pattern and source/drain regions is formed on a semiconductor substrate, and an etch barrier film and an interlayer insulation film consisting of an oxide film are sequentially formed over the resultant structure.
  • MOSFET metal-oxide semiconductor field effect transistor
  • a photoresist film pattern is the transformed to expose the interlayer insulation film in the intended contacts region of a storage electrode or bit line on the semiconductor substrate.
  • the interlayer insulation film exposed by the photoresist film pattern is dry-etched to expose the etch barrier film.
  • a contact hole is then formed by etching the etch barrier film.
  • the bit line contact and the storage electrode contact are formed according to the SAC method using the nitride film or oxynitride film.
  • a protective film is not provided on the device isolation film during the etching process for the interlayer insulation film, the device isolation film will be etched, and thus produce a gate induced drain leakage current, thereby deteriorating the device properties.
  • an SiN or SiON film is deposited to protect the device isolation film during the process of etching the interlayer insulation film.
  • the stress of the SiN film is relatively high, and thus the surface of the active region may still be damaged.
  • the contact junction leakage current is typically increased by one to three times due to the stress, thereby deteriorating the contact properties and the device operation properties.
  • This object is achieved by forming a protective film at the upper portion of the device isolation film, thereby improving contact resistance properties and device operation properties, by reducing the contact junction leakage current.
  • Forming a contact hole using a self aligned contact process according to the present invention for fabricating a semiconductor device includes the steps of: forming an insulation film pattern exposing a device isolation region on a semiconductor substrate; forming a trench, by etching the semiconductor substrate using the insulation film pattern as an etching mask; forming an insulation film over the resultant structure; removing the insulation film according to a chemical mechanical polishing process for planarization, by employing the insulation film pattern as an etch barrier or end point; forming a device isolation film, by wet-etching the insulation film using an etching process having an etching selection ratio difference from the insulation film pattern, the device isolation film being formed lower than the semiconductor substrate; forming a protective film over the resultant structure, using a thin film that has an etching selection ratio difference from the device isolation film; removing the protective film on the insulation film pattern using a chemical mechanical polishing process; forming a protective film pattern on the surface of the device isolation film by removing the insulation film pattern; forming a stacked
  • FIG. 1 is a layout diagram of a semiconductor device in accordance with the present invention.
  • FIGS. 2A through 2I are cross-sectional diagrams illustrating sequential steps of a method for fabricating the semiconductor device taken along line X-X′ in FIG. 1.
  • FIG. 1 is a layout diagram of the semiconductor device in accordance with the present invention.
  • a plurality of active regions 30 are defined by a device isolation film 22 .
  • a plurality of gate electrodes 25 are then formed thereon and overlapped with the active region 30 .
  • FIGS. 2A through 2I are cross-sectional diagrams illustrating sequential steps of the method for fabricating the semiconductor device taken along line X-X′ in FIG. 1.
  • a pad oxide film 13 and a nitride film 15 are sequentially formed on a semiconductor substrate 11 .
  • a first photoresist film pattern 17 exposing a presumed device isolation region is formed on the nitride film 15 .
  • the nitride film 15 is etched using the first photoresist film pattern 17 as an etching mask, thereby forming a nitride film pattern 16 .
  • the pad oxide film 14 and the semiconductor substrate 11 are etched using the nitride film pattern 16 as an etching mask, thereby forming a pad oxide film pattern 14 and a trench 19 .
  • an oxide film 21 is formed over the resultant structure the oxide film having sufficient thickness to completely fill the trench 19 .
  • a portion of the oxide film 21 is removed using a chemical mechanical polishing (CMP) process, by utilizing the nitride film pattern 16 as an etch barrier or endpoint.
  • CMP chemical mechanical polishing
  • a device isolation film 22 is formed to fill the trench 19 by removing a predetermined thickness of oxide film 21 using a wet etching process.
  • the device isolation film 22 is formed to have a surface slightly lower than the active region of the semiconductor substrate 11 .
  • the wet etching process is performed by using a mixed solution of NH 4 OH, HF and deionized water or a mixed solution of HF and deionized water.
  • a protective film 23 for protecting the device isolation film 22 is formed over the resultant structure.
  • the protective film 23 is selected from the group consisting of an SiN film, SiON film, Al 2 O 3 film, Ta 2 O 5 film, SiOCH film and SiCH film.
  • the protective film 23 and the nitride film pattern 16 are almost completely removed using a CMP process.
  • the CMP process is performed using the surface of the protective film 23 on the device isolation film 22 as the endpoint.
  • a protective film pattern 24 is formed on the device isolation film 22 by removing the remainder of the nitride film pattern 16 .
  • the nitride film pattern 16 may be removed using a wet etching process using a mixed solution of H 3 PO 4 and deionized water.
  • the nitride film pattern 16 may be removed using an isotropic dry etching process using a mixed gas of a fluorine-containing gas such as CF 4 , NF 3 , SF 6 or C 2 F 6 , an oxygen-containing gas such as O 2 , CO 2 , CO or SO 2 , and an inert gas such as He, Ne, Ar or Xe.
  • a stacked structure of a gate insulation film (not shown), a conductive layer for a gate electrode and a mask insulation film are formed over the resultant structure.
  • a stacked structure pattern of a gate electrode 25 and a mask insulation film pattern 27 is formed, by etching the stacked structure using a gate electrode mask as an etching mask.
  • An insulation film spacer 29 is then formed at the sidewalls of the stacked structure pattern.
  • the mask insulation film pattern 27 consists of an SiN film, SiON film or Si-rich SiON (SRON) film.
  • the mask insulation film pattern 27 is etched to have a vertical profile, by employing a mixed gas of CF 4 , O 2 and Ar or a mixed gas of CHF 3 , O 2 and Ar.
  • a planarization film 31 is formed over the resultant structure.
  • a second photoresist film pattern 33 exposing the intended contact regions in the semiconductor substrate 11 is then formed on the planarization film 31 .
  • the planarization film 31 is formed using an oxide film having an etching selection ratio difference from the mask insulation film pattern 27 , the insulation film spacer 29 and the device isolation film protective film pattern 24 .
  • the planarization film 31 is etched by utilizing the second photoresist film pattern 33 as an etching mask, thereby forming a contact hole 35 .
  • the second photoresist film pattern 33 is then removed.
  • the planarization film 31 is etched using a perfluorocarbon-containing gas causing a large amount of polymers, such as C 2 F 6 , C 2 F 4 , C 3 F 6 , C 3 F 8 , C 4 F 6 , C 4 F 8 , C 5 F 8 , C 5 F 10 or C 2 HF 5 in order to increase the etching selection ratio difference from the mask insulation film pattern 27 , the insulation film spacer 29 and the device isolation film protective film pattern 24 .
  • a perfluorocarbon-containing gas causing a large amount of polymers, such as C 2 F 6 , C 2 F 4 , C 3 F 6 , C 3 F 8 , C 4 F 6 , C 4 F 8 , C 5 F 8 , C 5 F 10 or C 2 HF 5 in order to increase the etching selection ratio difference from the mask insulation film pattern 27 , the insulation film spacer 29 and the device isolation film protective film pattern 24 .
  • a hydrogen-containing gas may be mixed with the perfluorocarbon-containing gas so as to increase the etching selection ratio, prevent an etch stop phenomenon and improve reproducibility in the etching process of the planarization film 31 .
  • the hydrogen-containing gas is selected from the group consisting of CHF 3 , CH 3 F, CH 2 F 2 , CH 2 , CH 4 , C 2 H 4 and H 2 .
  • the planarization film 31 may be etched by using C x H y F z gas (x ⁇ 2, y ⁇ 2, z ⁇ 2) to obtain a further process margin.
  • An inert gas such as He, Ne, Ar or Xe is added to all the etching gases for the planarization film 31 , thereby preventing the etch stop phenomenon.
  • a contact plug may be formed by forming a conductive layer and performing a CMP process thereon.
  • the conductive layer may be formed by using a tungsten layer, a polysilicon layer, a Ti/TiN layer, a selectively-formed tungsten layer or a silicon layer formed using a selective epitaxial growth method.
  • the subsequent CMP process can be omitted when employing the selectively-formed tungsten layer or the silicon layer formed according to the selective epitaxial growth method to form the contact plugs.
  • the device isolation film protective film is formed on the device isolation film in the contact hole formation process, thereby preventing the device isolation film from being damaged due to misalignment in a lithography process. Accordingly, a gate induced drain leakage current is not generated, a contact junction leakage current is reduced, and the contact properties are improved. As a result, the electrical properties and yield of the semiconductor device are also improved.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

The present invention discloses a method for fabricating a semiconductor device. A protective film for protecting a device isolation film is formed on the device isolation film for the contact hole formation process, thereby preventing a device isolation film from being damaged due to misalignment in a lithography process or overetch during the etch process. Accordingly, gate induced drain leakage current is not generated, contact junction leakage current is reduced, and the contact properties are improved. Improvements in the contact properties produce corresponding improvements in the properties and yield of the semiconductor devices manufactured according to the invention.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates to a method for fabricating a semiconductor device, and in particular to an improved method for fabricating a semiconductor device which can optimize an electric property of the high integration device, by preventing a device isolation film from being damaged in a contact plug formation process. [0002]
  • 2. Description of the Background Art [0003]
  • Recently, the increasingly high integration of semiconductor devices has been remarkably influenced by the development of techniques for forming fine patterns. Especially, it is essential to be able to miniaturize photoresist film patterns used to mask etching or ion implantation processes during the fabrication of semiconductor devices. [0004]
  • Resolution (R) of the photoresist film pattern is proportional to the light source wavelength (λ) and a process variable (k) of a micro exposure device, and is inversely proportional to a numerical aperture of the exposure device. [0005]
  • R=k×λ/NA [0006]
  • Thus, in order to improve optical resolution of the micro exposure device, the wavelength of the light source may be decreased. For example, resolution of the G-line and I-line micro exposure devices having a wavelength of 436 nm and 365 nm is about 0.7 μm and 0.5 μm, respectively. Accordingly, exposure devices using a deep ultraviolet (DUV) light having a small wavelength, for example a KrF laser at 248 nm or an ArF laser at 193 nm, are used to form fine patterns below 0.5 μm. In addition, in order to improve the resolution, various methods have been suggested including using a phase shift mask as a photo mask; adding a contrast enhancement layer (CEL) for enhancing an image contrast on a wafer; using a tri-layer resist (TLR) for positioning an intermediate layer such as a spin on glass (SOG) film between two photoresist films; and a silylation of the photoresist by selectively implanting silicon into the upper portion of a photoresist film. [0007]
  • According to the degree of integration of the semiconductor device, the size of a contact hole connecting upper and lower conductive interconnections and the space between the contact hole and an adjacent interconnection may be decreased, and the aspect ratio of the contact hole may be increased. A highly integrated semiconductor device having multi-layer conductive interconnection requires precise mask alignment in the fabrication process to form the contact hole, thereby reducing process margin. [0008]
  • In order to maintain a space between the contact holes and surrounding interconnections, masks are formed in consideration of and to allow for misalignment tolerance in the mask alignment, lens distortion in the exposure process, critical dimension variation in the mask formation, and photoetching processes, and mask registration offsets. [0009]
  • In addition, there has been taught a self aligned contact (SAC) method for forming a contact hole according to the self alignment method to overcome a disadvantage of the lithography process. [0010]
  • The SAC method may use a polysilicon layer, a nitride film or an oxynitride film as an etch barrier film depending on the process requirements. In general, the nitride film is most commonly employed as the etch barrier film. [0011]
  • Although not illustrated, a conventional SAC method for fabricating a semiconductor device will now be described. [0012]
  • A substructure, for example a device isolation insulation film, a gate insulation film, and a metal-oxide semiconductor field effect transistor (MOSFET) consisting of a gate electrode overlapped with a mask oxide film pattern and source/drain regions is formed on a semiconductor substrate, and an etch barrier film and an interlayer insulation film consisting of an oxide film are sequentially formed over the resultant structure. [0013]
  • A photoresist film pattern is the transformed to expose the interlayer insulation film in the intended contacts region of a storage electrode or bit line on the semiconductor substrate. [0014]
  • The interlayer insulation film exposed by the photoresist film pattern is dry-etched to expose the etch barrier film. A contact hole is then formed by etching the etch barrier film. [0015]
  • In the conventional SAC method for fabricating the semiconductor device, the bit line contact and the storage electrode contact are formed according to the SAC method using the nitride film or oxynitride film. Here, when a protective film is not provided on the device isolation film during the etching process for the interlayer insulation film, the device isolation film will be etched, and thus produce a gate induced drain leakage current, thereby deteriorating the device properties. Accordingly, an SiN or SiON film is deposited to protect the device isolation film during the process of etching the interlayer insulation film. [0016]
  • However, the stress of the SiN film is relatively high, and thus the surface of the active region may still be damaged. For instance, when the SiN film contacts the silicon substrate in the active region, the contact junction leakage current is typically increased by one to three times due to the stress, thereby deteriorating the contact properties and the device operation properties. [0017]
  • SUMMARY OF THE INVENTION
  • Accordingly, it is an object of the present invention to provide a method for fabricating a semiconductor device which can prevent a gate induced drain leakage current from being generated by damage to the device isolation film during the etching process for forming a contact hole according to a self aligned contact process. This object is achieved by forming a protective film at the upper portion of the device isolation film, thereby improving contact resistance properties and device operation properties, by reducing the contact junction leakage current. [0018]
  • Forming a contact hole using a self aligned contact process according to the present invention for fabricating a semiconductor device includes the steps of: forming an insulation film pattern exposing a device isolation region on a semiconductor substrate; forming a trench, by etching the semiconductor substrate using the insulation film pattern as an etching mask; forming an insulation film over the resultant structure; removing the insulation film according to a chemical mechanical polishing process for planarization, by employing the insulation film pattern as an etch barrier or end point; forming a device isolation film, by wet-etching the insulation film using an etching process having an etching selection ratio difference from the insulation film pattern, the device isolation film being formed lower than the semiconductor substrate; forming a protective film over the resultant structure, using a thin film that has an etching selection ratio difference from the device isolation film; removing the protective film on the insulation film pattern using a chemical mechanical polishing process; forming a protective film pattern on the surface of the device isolation film by removing the insulation film pattern; forming a stacked structure of a gate insulation film, a gate electrode and a mask insulation film pattern, and a word line having an insulation film spacer at its sidewalls in the active region of the semiconductor substrate; forming a planarization film over the resultant structure; forming a contact hole by etching the planarization film using a contact mask as an etching mask to expose the intended region for bit line contacts and storage electrode contacts on the active region of the semiconductor substrate; and forming a bit line contact plug and a storage electrode contact plug in the contact holes. [0019]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention will become better understood with reference to the accompanying figures which are given by way of illustration only. Thus the figures should not be understood to limit the present invention in a manner inconsistent with the claims provided below. [0020]
  • FIG. 1 is a layout diagram of a semiconductor device in accordance with the present invention; and [0021]
  • FIGS. 2A through 2I are cross-sectional diagrams illustrating sequential steps of a method for fabricating the semiconductor device taken along line X-X′ in FIG. 1. [0022]
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • A method for fabricating a semiconductor device in accordance with the present invention will now be described in detail with reference to the accompanying drawings. [0023]
  • FIG. 1 is a layout diagram of the semiconductor device in accordance with the present invention. A plurality of [0024] active regions 30 are defined by a device isolation film 22. A plurality of gate electrodes 25 are then formed thereon and overlapped with the active region 30.
  • FIGS. 2A through 2I are cross-sectional diagrams illustrating sequential steps of the method for fabricating the semiconductor device taken along line X-X′ in FIG. 1. [0025]
  • As illustrated in FIG. 2A, a [0026] pad oxide film 13 and a nitride film 15 are sequentially formed on a semiconductor substrate 11.
  • A first [0027] photoresist film pattern 17 exposing a presumed device isolation region is formed on the nitride film 15.
  • As depicted in FIG. 2B, the [0028] nitride film 15 is etched using the first photoresist film pattern 17 as an etching mask, thereby forming a nitride film pattern 16.
  • Thereafter, the first [0029] photoresist film pattern 17 is removed.
  • Referring to FIG. 2C, the [0030] pad oxide film 14 and the semiconductor substrate 11 are etched using the nitride film pattern 16 as an etching mask, thereby forming a pad oxide film pattern 14 and a trench 19.
  • As shown in FIG. 2D, an [0031] oxide film 21 is formed over the resultant structure the oxide film having sufficient thickness to completely fill the trench 19.
  • As illustrated in FIG. 2E, a portion of the [0032] oxide film 21 is removed using a chemical mechanical polishing (CMP) process, by utilizing the nitride film pattern 16 as an etch barrier or endpoint. A device isolation film 22 is formed to fill the trench 19 by removing a predetermined thickness of oxide film 21 using a wet etching process. The device isolation film 22 is formed to have a surface slightly lower than the active region of the semiconductor substrate 11. Here, the wet etching process is performed by using a mixed solution of NH4OH, HF and deionized water or a mixed solution of HF and deionized water.
  • Thereafter, a [0033] protective film 23 for protecting the device isolation film 22 is formed over the resultant structure. The protective film 23 is selected from the group consisting of an SiN film, SiON film, Al2O3 film, Ta2O5 film, SiOCH film and SiCH film.
  • Referring to FIG. 2F, the [0034] protective film 23 and the nitride film pattern 16 are almost completely removed using a CMP process. Here, the CMP process is performed using the surface of the protective film 23 on the device isolation film 22 as the endpoint. Thereafter, a protective film pattern 24 is formed on the device isolation film 22 by removing the remainder of the nitride film pattern 16.
  • At this time, the [0035] nitride film pattern 16 may be removed using a wet etching process using a mixed solution of H3PO4 and deionized water. In addition, the nitride film pattern 16 may be removed using an isotropic dry etching process using a mixed gas of a fluorine-containing gas such as CF4, NF3, SF6 or C2F6, an oxygen-containing gas such as O2, CO2, CO or SO2, and an inert gas such as He, Ne, Ar or Xe.
  • As depicted in FIG. 2G, a stacked structure of a gate insulation film (not shown), a conductive layer for a gate electrode and a mask insulation film are formed over the resultant structure. [0036]
  • A stacked structure pattern of a [0037] gate electrode 25 and a mask insulation film pattern 27 is formed, by etching the stacked structure using a gate electrode mask as an etching mask.
  • An [0038] insulation film spacer 29 is then formed at the sidewalls of the stacked structure pattern.
  • The mask [0039] insulation film pattern 27 consists of an SiN film, SiON film or Si-rich SiON (SRON) film. The mask insulation film pattern 27 is etched to have a vertical profile, by employing a mixed gas of CF4, O2 and Ar or a mixed gas of CHF3, O2 and Ar.
  • As shown in FIG. 2H, a [0040] planarization film 31 is formed over the resultant structure. A second photoresist film pattern 33 exposing the intended contact regions in the semiconductor substrate 11 is then formed on the planarization film 31. The planarization film 31 is formed using an oxide film having an etching selection ratio difference from the mask insulation film pattern 27, the insulation film spacer 29 and the device isolation film protective film pattern 24.
  • As illustrated in FIG. 2I, the [0041] planarization film 31 is etched by utilizing the second photoresist film pattern 33 as an etching mask, thereby forming a contact hole 35. The second photoresist film pattern 33 is then removed.
  • The [0042] planarization film 31 is etched using a perfluorocarbon-containing gas causing a large amount of polymers, such as C2F6, C2F4, C3F6, C3F8, C4F6, C4F8, C5F8, C5F10 or C2HF5 in order to increase the etching selection ratio difference from the mask insulation film pattern 27, the insulation film spacer 29 and the device isolation film protective film pattern 24.
  • In addition, a hydrogen-containing gas may be mixed with the perfluorocarbon-containing gas so as to increase the etching selection ratio, prevent an etch stop phenomenon and improve reproducibility in the etching process of the [0043] planarization film 31. Here, the hydrogen-containing gas is selected from the group consisting of CHF3, CH3F, CH2F2, CH2, CH4, C2H4 and H2.
  • The [0044] planarization film 31 may be etched by using CxHyFz gas (x≧2, y≧2, z≧2) to obtain a further process margin.
  • An inert gas such as He, Ne, Ar or Xe is added to all the etching gases for the [0045] planarization film 31, thereby preventing the etch stop phenomenon.
  • Although not illustrated, a contact plug may be formed by forming a conductive layer and performing a CMP process thereon. Here, the conductive layer may be formed by using a tungsten layer, a polysilicon layer, a Ti/TiN layer, a selectively-formed tungsten layer or a silicon layer formed using a selective epitaxial growth method. Further the subsequent CMP process can be omitted when employing the selectively-formed tungsten layer or the silicon layer formed according to the selective epitaxial growth method to form the contact plugs. [0046]
  • As discussed earlier, in accordance with the present invention, the device isolation film protective film is formed on the device isolation film in the contact hole formation process, thereby preventing the device isolation film from being damaged due to misalignment in a lithography process. Accordingly, a gate induced drain leakage current is not generated, a contact junction leakage current is reduced, and the contact properties are improved. As a result, the electrical properties and yield of the semiconductor device are also improved. [0047]
  • As the present invention may be embodied in several forms without departing from the spirit or essential characteristics thereof, it should also be understood that the above-described embodiment is not necessarily limited to the specific details provided in the foregoing description, but rather should be construed broadly within the spirit and scope of the appended claims. All changes and modifications that fall within the metes and bounds of the claims, or equivalences of such metes and bounds are, therefore, intended to be embraced by the appended claims. [0048]

Claims (14)

What is claimed is:
1. In a method for forming a contact hole during the fabrication of a semiconductor device according to a self-aligned contact process comprising the steps of:
forming an insulation film layer on a semiconductor substrate;
forming an insulation film pattern that exposes a device isolation region and protects an active region on the semiconductor substrate;
etching the semiconductor substrate using the insulation film pattern as an etching mask to form a trench;
forming an insulation film over the resultant structure;
removing a portion of the insulation film using a chemical mechanical polishing process, the chemical mechanical polishing process wherein the insulation film pattern is used as a process endpoint;
removing an additional portion of the insulation film using a wet etch process to form a device isolation film in the trench, the surface of the device isolation film being below the surface of the semiconductor substrate;
forming a protective film over the resultant structure, the protective film and the device isolation film exhibiting different etch rates under identical etch conditions;
removing a portion of the protective film and the insulation film pattern using a chemical mechanical polishing process wherein the protective film over the device isolation film is used as a process endpoint;
removing the remaining portion of the insulation film pattern to form a protective film pattern on the surface of the device isolation film;
forming a stacked structure comprising a gate insulation film, a gate electrode pattern, and a mask insulation film pattern in the active region of the semiconductor substrate, the stacked structure having an insulation film spacer at its sidewalls, and forming a word line having an insulation film spacer at its sidewalls;
forming a planarization film over the resultant structure;
etching the planarization film under an etch gas using a contact mask as an etching mask to remove the planarization film and expose the semiconductor substrate in predetermined regions of the active region intended for the formation of a bit line contact or a storage electrode contact, thereby forming contact holes; and
filling the contact holes with a conductive material to form bit line contact plugs and storage electrode contact plugs.
2. The method according to
claim 1
, wherein the insulation film pattern has a stacked structure comprising a pad oxide film pattern and a nitride film pattern.
3. The method according to
claim 1
, wherein the protective film formed from one or more materials selected from the group consisting of SiN, SiON, Al2O3, Ta2O5, SiOCH, and SiCH.
4. The method according to
claim 1
, wherein the wet etch process utilizes a mixed solution of HF and deionized water or a mixed solution of HF, deionized water and NH4OH.
5. The method according to
claim 2
, wherein the nitride film pattern is removed using a wet etch process utilizing a mixed solution of H3PO4 and deionized water.
6. The method according to
claim 2
, wherein the nitride film pattern is removed using an isotropic dry etching process under a mixed gas, the mixed gas comprising a fluorine-containing gas, an oxygen-containing gas and an inert gas.
7. The method according to
claim 1
, wherein the mask insulation film pattern consists of a SiON film or a SRON film.
8. The method according to
claim 1
or
7
, wherein the mask insulation film pattern is etched with a mixed gas to form a vertical profile, the mixed gas comprising a mixture of CF4, O2 and Ar or a mixture of CHF3, O2 and Ar.
9. The method according to
claim 1
, wherein the planarization film is etched under an etch gas, the etch gas comprising a perfluorocarbon-containing gas, the perfluorocarbon-containing gas comprising at least one gas selected from the group consisting of C2F6, C2F4, C3F6, C3F8, C4F6, C4F8, C5F8, C5F10, and C2HF5.
10. The method according to
claim 9
, wherein the planarization film is etched using a mixed gas, the mixed gas comprising a perfluorocarbon-containing gas and a hydrogen-containing gas, in order to increase an etching selection ratio and prevent the occurrence of etch stop phenomenon.
11. The method according to
claim 10
, wherein the hydrogen-containing gas comprises one or more gases selected from the group consisting of CHF3, CH3F, CH2F2, CH2, CH4, C2H4, and H2.
12. The method according to
claim 1
, wherein the planarization film is etched under an etch gas, the etch gas comprising a gas having the composition CxHyFz where x, y, and z are each at least 2.
13. The method according to
claim 1
,
9
or 12, wherein the etch gas further comprises an inert gas.
14. The method according to
claim 1
, wherein the bit line contact plug and the storage electrode contact plug comprise a material selected from the group consisting of polysilicon, tungsten, Ti/TiN, or selectively grown epitaxial silicon.
US09/741,878 1999-12-24 2000-12-22 Method for fabricating semiconductor device Expired - Fee Related US6432816B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR99-61846 1999-12-24
KR1999-61846 1999-12-24
KR10-1999-0061846A KR100535030B1 (en) 1999-12-24 1999-12-24 Fabricating method for semiconductor device

Publications (2)

Publication Number Publication Date
US20010005626A1 true US20010005626A1 (en) 2001-06-28
US6432816B2 US6432816B2 (en) 2002-08-13

Family

ID=19629412

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/741,878 Expired - Fee Related US6432816B2 (en) 1999-12-24 2000-12-22 Method for fabricating semiconductor device

Country Status (2)

Country Link
US (1) US6432816B2 (en)
KR (1) KR100535030B1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040058534A1 (en) * 2002-07-19 2004-03-25 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device and semiconductor device
US20050280934A1 (en) * 2004-06-16 2005-12-22 Tdk Corporation Thin-film head and its production
US20060009038A1 (en) * 2004-07-12 2006-01-12 International Business Machines Corporation Processing for overcoming extreme topography
US20060017116A1 (en) * 2004-07-26 2006-01-26 Seok-Su Kim Semiconductor device and method for manufacturing the same
US20080293200A1 (en) * 2004-07-12 2008-11-27 Samsung Electronics Co., Ltd. Method of fabricating nonvolatile semiconductor memory device

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100419068B1 (en) * 2002-07-25 2004-02-18 아남반도체 주식회사 Method for manufacturing MOS transistor
KR100467020B1 (en) * 2002-07-26 2005-01-24 삼성전자주식회사 Semiconductor Device With Self-Aligned Junction Contact Hole And Method Of Fabricating The Same
KR100474579B1 (en) * 2002-08-09 2005-03-10 삼성전자주식회사 Method for manufacturing a standard wafer used in surface analysis system
KR100475123B1 (en) * 2003-01-07 2005-03-10 삼성전자주식회사 Process of chemical mechanical polishing for isolating self-aligned contact pad
KR100510379B1 (en) * 2003-07-31 2005-08-25 동부아남반도체 주식회사 Method for forming shallow trench isolation
KR100602093B1 (en) * 2004-07-26 2006-07-19 동부일렉트로닉스 주식회사 Semiconductor device and method of manufacturing the same
KR100695431B1 (en) * 2005-06-22 2007-03-15 주식회사 하이닉스반도체 Method for forming a contact hole in semiconductor device
KR100942983B1 (en) * 2007-10-16 2010-02-17 주식회사 하이닉스반도체 Semiconductor device and method for manufacturing the same

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09134954A (en) * 1995-11-08 1997-05-20 Toshiba Microelectron Corp Semiconductor device and its manufacture
KR19990000376A (en) * 1997-06-05 1999-01-15 문정환 Semiconductor device manufacturing method
US6010935A (en) * 1997-08-21 2000-01-04 Micron Technology, Inc. Self aligned contacts
KR19990033869A (en) * 1997-10-27 1999-05-15 윤종용 Method for forming self-aligned contact of semiconductor device
KR100247809B1 (en) * 1997-12-20 2000-03-15 김영환 Method for manufacturing semiconductor device
KR19990055780A (en) * 1997-12-27 1999-07-15 김영환 Manufacturing method of semiconductor device
KR100307287B1 (en) * 1998-11-20 2001-12-05 윤종용 Manufacturing method of pad of semiconductor device

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040058534A1 (en) * 2002-07-19 2004-03-25 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device and semiconductor device
US6913962B2 (en) * 2002-07-19 2005-07-05 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device and semiconductor device
US20050280934A1 (en) * 2004-06-16 2005-12-22 Tdk Corporation Thin-film head and its production
US7280312B2 (en) * 2004-06-16 2007-10-09 Tdk Corporation Method for producing a protective thin film for a magnetic head
US20060009038A1 (en) * 2004-07-12 2006-01-12 International Business Machines Corporation Processing for overcoming extreme topography
US20080293200A1 (en) * 2004-07-12 2008-11-27 Samsung Electronics Co., Ltd. Method of fabricating nonvolatile semiconductor memory device
US20060017116A1 (en) * 2004-07-26 2006-01-26 Seok-Su Kim Semiconductor device and method for manufacturing the same
US8178441B2 (en) 2004-07-26 2012-05-15 Dongbu Electronics Co., Ltd. Semiconductor device and method for manufacturing the same

Also Published As

Publication number Publication date
KR20010063759A (en) 2001-07-09
US6432816B2 (en) 2002-08-13
KR100535030B1 (en) 2005-12-07

Similar Documents

Publication Publication Date Title
US6287905B2 (en) Method for fabricating semiconductor device
US6432816B2 (en) Method for fabricating semiconductor device
US6528418B1 (en) Manufacturing method for semiconductor device
US6372575B1 (en) Method for fabricating capacitor of dram using self-aligned contact etching technology
KR100465596B1 (en) A manufacturing method for semiconductor device
KR100726148B1 (en) Manufacturing method for semiconductor device
KR100350764B1 (en) Manufacturing method of semiconductor device
KR20020058288A (en) Manufacturing method for semiconductor device
US6444559B2 (en) Method for fabricating semiconductor device
KR100420413B1 (en) Manufacturing method for semiconductor device
KR100546144B1 (en) Manufacturing method of semiconductor device
KR100324023B1 (en) Manufacturing method of semiconductor device
KR20010063763A (en) Manufacturing method for semiconductor device
KR20020002680A (en) Manufacturing method for semiconductor device
KR100324015B1 (en) Method for fabricating contact hole of semiconductor device
KR100307560B1 (en) Manufacturing method of semiconductor device
KR100400321B1 (en) A method for forming of a semiconductor device
KR20000045358A (en) Fabrication method of semiconductor device
KR100527531B1 (en) Manufacturing method for semiconductor device
KR100239425B1 (en) Manufacturing process of transistor
KR20000027639A (en) Method for manufacturing contact plug of semiconductor devices
KR20010059981A (en) Manufacturing method of semiconductor device
KR20010063856A (en) Fabricating method for semiconductor device
KR20030058635A (en) Manufacturing method for semiconductor device
KR20040099615A (en) Manufacturing method for semiconductor device

Legal Events

Date Code Title Description
AS Assignment

Owner name: HYUNDAI ELECTRONICS INDUSTRIES CO., LTD., KOREA, R

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:KIM, JEONG HO;YU, JAE SEON;REEL/FRAME:011401/0705;SIGNING DATES FROM 20001201 TO 20001202

FEPP Fee payment procedure

Free format text: PAYER NUMBER DE-ASSIGNED (ORIGINAL EVENT CODE: RMPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 4

FEPP Fee payment procedure

Free format text: PAYER NUMBER DE-ASSIGNED (ORIGINAL EVENT CODE: RMPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
LAPS Lapse for failure to pay maintenance fees
STCH Information on status: patent discontinuation

Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362

FP Lapsed due to failure to pay maintenance fee

Effective date: 20140813