TWM579649U - 噴淋頭及併入噴淋頭的處理室 - Google Patents

噴淋頭及併入噴淋頭的處理室 Download PDF

Info

Publication number
TWM579649U
TWM579649U TW107210635U TW107210635U TWM579649U TW M579649 U TWM579649 U TW M579649U TW 107210635 U TW107210635 U TW 107210635U TW 107210635 U TW107210635 U TW 107210635U TW M579649 U TWM579649 U TW M579649U
Authority
TW
Taiwan
Prior art keywords
inches
showerhead
holes
flange
features
Prior art date
Application number
TW107210635U
Other languages
English (en)
Inventor
馬力歐唐 森切斯
幕哈瑪德M 拉許德
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TWM579649U publication Critical patent/TWM579649U/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文提供了噴淋頭和包含噴淋頭的處理室。在一些實施例中,噴淋頭包括具有中央部分和外部分的主體,其中外部分包括從中央部分向上延伸的環形壁和從環形壁徑向向外延伸的凸緣;穿過中央部分設置的複數個孔;環形台階部分,其設置在複數個孔中最外面的孔的徑向外側且在外部分的徑向內側;複數個定位特徵,圍繞噴淋頭的中心軸佈置並形成在外部分的周邊中;及複數個耦合特徵,圍繞中心軸佈置並形成在外部分的周邊中。

Description

噴淋頭及併入噴淋頭的處理室
本新型的實施例總體上涉及一種噴淋頭和包含該噴淋頭的處理室。
可靠地生產次微米和更小的特徵是下一代半導體元件的大型積體電路(VLSI)和超大型積體電路(ULSI)的關鍵技術之一。然而,隨著電路技術的邊緣受到壓制,VLSI和ULSI技術中的尺寸縮小的互連會需要額外的處理能力。位於VLSI和ULSI技術核心的多級互連使用高的深寬比的特徵(例如通孔和其他互連)的精確處理。該等互連的可靠的形成對於VLSI和ULSI的成功非常重要,並且對於增加單個基板的電路密度和質量的持續努力非常重要。
隨著電路密度的增加,互連(例如通孔,溝槽,觸點和其他特徵)的寬度,以及之間的介電材料的厚度減小,而介電層的厚度保持基本恆定,導致特徵的高度對寬度的深寬比增加。許多傳統的沉積處理難以填充深寬比超過4:1的次微米結構,特別是在深寬比超過10:1的情況下。因此,正在進行大量的持續努力以形成具有高的深寬比的基本上無空隙和無縫的次微米特徵。
原子層沉積(ALD)是一種經開發而用於在具有高深寬比的特徵上沉積材料層的沉積技術。ALD處理的一個實例包括順序地引入氣體脈衝。例如,用於順序地引入氣體脈衝的一個循環可以包含第一反應氣體的脈衝,接著是吹掃氣體的脈衝和/或泵抽空,接著是第二反應氣體的脈衝,並且接著是吹掃氣體的脈衝和/或泵抽空。本文使用的術語「氣體」定義為包括單一氣體或多種氣體。順序地引入第一反應物和第二反應物的單獨脈衝可導致基板表面上反應物的單層的交替自限吸收,並因此每個循環會形成單層材料。可以將循環重複直至達到沉積材料的所需的厚度。在第一反應氣體的脈衝和第二反應氣體的脈衝之間的吹掃氣體脈衝和/或泵抽空用於降低(因過量的反應物殘留在腔室中所致之)反應物的氣相反應的可能性。
在用於ALD處理的一些腔室設計中,使用漏斗蓋傳送前驅物和氣體,其中前驅物通過漏斗蓋而分佈在漏斗形蓋子上方的多個注射器中。注射器產生注入氣體的圓周運動,其將通過蓋子中心的漏斗輪廓來分佈。氣體/ALD前驅物的旋轉慣性將分子從中心分佈到邊緣,從而改善沉積的均勻性。然而,在一些應用中,發明人已經觀察到在被處理的基板的中心附近的環形沉積輪廓。環形沉積輪廓被認為是由蓋子的漏斗形狀引起的,並且對客戶而言可能導致有整體性的問題。
因此,發明人提供了用於基板處理室的改良的噴淋頭。
本文提供了噴淋頭和包含噴淋頭的處理室。在一些實施例中,一噴淋頭,該噴淋頭包括:一主體,該主體具有一中央部分和一外部分,其中該外部分包括從該中央部分向上延伸的一環形壁和從該環形壁徑向向外延伸的一凸緣;複數個孔,該複數個孔被設置成通過該中央部分;一環形台階部分,該環形台階部分被設置在該複數個孔中最外面的孔的徑向外側並且在該外部分的徑向內側;複數個定位特徵,該複數個定位特徵圍繞該噴淋頭的一中心軸佈置並形成在該外部分的一周邊中,其中該複數個定位特徵被配置成接收相應的一處理室的複數個對準特徵,其中該處理室安裝有該噴淋頭,以及其中該複數個定位特徵被配置成允許該噴淋頭的熱膨脹;和複數個耦合特徵,該複數個耦合特徵圍繞該中心軸佈置並形成在該外部分的該周邊中,其中該複數個耦合特徵被配置成接收相應的複數個固定元件,以將該噴淋頭連接到該處理室,並且其中該複數個耦合特徵被配置成允許該噴淋頭的熱膨脹。
在一些實施例中,一噴淋頭,該噴淋頭包括:一主體,該主體具有一中央部分和一外部分,其中該外部分包括從該中央部分向上延伸的一環形壁和從該環形壁徑向向外延伸的一凸緣;複數個孔,該複數個孔被設置成穿過該中央部分;一環形台階部分,該環形台階部分被設置在該複數個孔中最外面的孔的徑向外側並且在該外部分的徑向內側;複數個定位特徵,該複數個定位特徵圍繞該噴淋頭的一中心軸佈置並形成在該凸緣的一周邊中,其中該複數個定位特徵被配置成接收相應的一處理室的複數個對準特徵,其中該處理室安裝有該噴淋頭,以及其中該複數個定位特徵被配置成允許該噴淋頭的熱膨脹;和複數個耦合特徵,該複數個耦合特徵圍繞該中心軸佈置並形成在該凸緣的該周邊中,其中該複數個耦合特徵被配置成接收相應的複數個固定元件,以將該噴淋頭連接到該處理室,並且其中該複數個耦合特徵被配置成允許該噴淋頭的熱膨脹,其中該噴淋頭的一總外徑在約16英寸和約17.5英寸之間,其中該噴淋頭的一總高度在約1英寸和約1.5英寸之間,其中該凸緣的一垂直厚度在約0.5英寸和約0.6英寸之間,其中從該凸緣的一第一最上表面到該環形台階部分的一第二最上表面的一垂直距離在約0.5英寸和約1英寸之間,以及其中該中央部分的一厚度在約0.2英寸和約0.5英寸之間。
在一些實施例中,一噴淋頭,該噴淋頭包括:一主體,該主體具有一中央部分和一外部分,其中該外部分包括從該中央部分向上延伸的一環形壁和從該環形壁徑向向外延伸的一凸緣;複數個孔,該複數個孔被設置成穿過該中央部分;一環形台階部分,該環形台階部分被設置在該複數個孔中最外面的孔的徑向外側並且在該外部分的徑向內側;複數個定位特徵,該複數個定位特徵圍繞該噴淋頭的一中心軸佈置並形成在該凸緣的一周邊中,其中該複數個定位特徵被配置成接收相應的一處理室的複數個對準特徵,其中該處理室安裝有該噴淋頭,以及其中該複數個定位特徵被配置成允許該噴淋頭的熱膨脹;和複數個耦合特徵,該複數個耦合特徵圍繞該中心軸佈置並形成在該外部分的該周邊中,其中該複數個耦合特徵被配置成接收相應的複數個固定元件,以將該噴淋頭連接到該處理室,並且其中該複數個耦合特徵被配置成允許該噴淋頭的熱膨脹,其中該噴淋頭的一總外徑在約16英寸和約17.5英寸之間,其中該噴淋頭的一總高度在約1英寸和約1.5英寸之間,其中該凸緣的一垂直厚度在約0.5英寸和約0.6英寸之間,其中從該凸緣的一第一最上表面到該環形台階部分的一第二最上表面的一垂直距離在約0.5英寸和約1英寸之間,其中該中央部分的一厚度在約0.2英寸和約0.5英寸之間,其中該環形台階部分的一第一內徑在約12英寸和約13英寸之間,其中該環形壁的一第二內徑在約12.5英寸和約13.5英寸之間,以及其中該中央部分的一最下表面的一外徑在約13.5英寸和約14.5英寸之間。
以下描述本案的其他和進一步的實施例。
本案的實施例提供了可以在基板處理室中使用的噴淋頭,例如,在例如ALD處理期間的原子層沉積(ALD)腔室。實施例包括基板處理室和氣體輸送系統,其包括遠端電漿源和氣體分配板。其他實施例提供了在ALD處理期間使用該等氣體輸送系統沉積材料的方法。用於結合本文所述裝置的合適的處理室的實例包括高介電常數(即高k)和可從加州聖克拉拉市的應用材料公司獲得的金屬ALD沉積室。提供以下處理室描述是出於上下文和示例性目的,並且不應該被解釋或解釋為限制本案的範圍。
參考圖1和3A進行以下描述。圖1是根據本案的一些實施例的包括噴淋頭125的基板處理室(處理室100)的示意圖。圖3A是設置在處理室100內的噴淋頭125的示意性橫截面特寫圖。處理室100包括腔室主體102,腔室主體102具有在腔室主體102內的並且在腔室蓋組件132下方的處理容積。處理室100中的狹縫閥108為機器人(未示出)提供通路,以將基板110(例如200 mm或300 mm半導體晶片或玻璃基板)輸送到處理室100和從處理室100取回。腔室襯裡177沿著處理室100的壁設置以保護腔室免受處理/清潔期間使用的腐蝕性氣體的影響。
基板支撐件112將基板110支撐在處理室100中的基板接收表面111上。基板支撐件112安裝至提升馬達114,用於升高和降低基板支撐件112和設置在基板支撐件上的基板110。連接到提升馬達118的提升板116(如圖2所示)安裝在處理室100中,以升高和降低可移動地設置成穿過基板支撐件112的提升銷120。提升銷120在基板支撐件112的表面上升高和降低基板110。基板支撐件112可包括真空吸盤(未示出),靜電卡盤(未示出)或夾環(未示出),用於在沉積處理期間將基板110固定到基板支撐件112。
可以調節基板支撐件112的溫度以控制基板110的溫度。例如,可以使用嵌入式加熱元件(例如電阻加熱器(未示出))加熱基板支撐件112,或者可以使用輻射熱來加熱基板支撐件112,例如設置在基板支撐件112上方的加熱燈(未示出)。淨化環122可以設置在基板支撐件112上以限定淨化通道124,淨化通道124向基板110的周邊部分提供淨化氣體,以防止沉積在基板110的周邊部分上。
氣體輸送系統130設置在腔室主體102的上部,以向處理室100提供氣體,例如處理氣體和/或吹掃氣體。真空系統(未示出)與泵送通道179連通,以從處理室100中排出任何所需的氣體,並幫助維持處理室100內的所需壓力或壓力範圍。
在一些實施例中,腔室蓋組件132包括延伸通過腔室蓋組件132的中央部分的氣體分散通道134。氣體分散通道134垂直地朝向基板接收表面111延伸,並且也沿著氣體分散通道134的中心軸133延伸而通過蓋板170而至下表面160。在一些實施例中,氣體分散通道134的上部沿中心軸133基本上是圓柱形的,並且氣體分散通道134的下部部分遠離中心軸133逐漸變細。下表面160的尺寸和形狀設計成基本上覆蓋設置在基板支撐件112的基板接收表面111上的基板110。下表面160從蓋板170的外邊緣朝向氣體分散通道134逐漸變細。氣體輸送系統130可以向氣體分散通道134提供一種或多種氣體以處理基板110。在一些實施例中,氣體輸送系統130可以通過一個氣體入口連接到氣體分散通道134。在一些實施例中,氣體輸送系統可替代地經由複數個入口耦接到氣體分散通道134。
在一些實施例中,流過氣體分散通道134的環形氣流可導致不均勻的處理結果。發明人已經觀察到氣流可導致在被處理的基板110的中心附近的環形沉積輪廓。環形輪廓可以由氣體分散通道134的漏斗形狀引起。因此,在一些實施例中,處理室100還包括噴淋頭125,噴淋頭125具有複數個孔126,其經設置成而穿過噴淋頭125。噴淋頭125通過氣體分散通道134的表面延伸,使得從氣體分散通道134至基板的唯一途徑是通過噴淋頭125的該複數個孔126。噴淋頭125有利地產生通過噴淋頭125的阻塞氣流,導致在基板110上更均勻的沉積,並因此基本上消除由氣體旋轉流動引起的環形沉積。
在一些實施例中,噴淋頭125由鋁、不銹鋼或陶瓷材料形成,例如氧化鋁或氮化鋁。在一些實施例中,複數個孔126中的每一個可具有等效的流體傳導性。在一些實施例中,複數個孔126的密度(例如,孔的數量或每單位面積的孔的開口的尺寸)可在噴淋頭125上變化,以在基板110上實現期望的沉積輪廓。例如,較高密度的孔126可以設置在噴淋頭125的中心處,以增加基板中心相對於基板邊緣的沉積速率,以進一步改善沉積均勻性。在一些實施例中,複數個孔126的密度可替代地在橫跨整個噴淋頭125上皆相同。在一些實施例中,孔126的數量可以在約1,000和約3,000之間。發明人已經發現,由於處理氣體的過度壓縮和處理氣體的不充分分佈,少於1,000個孔將導致處理不均勻。另外,較少的孔也會導致處理時間增加,因為噴淋頭125上方區域的泵送和吹掃將需要更多時間。發明人還發現,超過3,000個孔會對處理氣體分佈產生負面影響,因為該等孔不能充分地阻塞流過噴淋頭125的處理氣體。在一些實施例中,複數個孔126中最外面的孔設置在基板110的直徑上或外部。
儘管在圖1~3A中複數個孔126被描繪為圓柱形通孔,但是複數個孔126可以具有不同的輪廓,如圖3B所示並在下文論述。不希望受理論束縛,發明人認為氣體分散通道134的直徑(其從氣體分散通道134的上部到沿中心軸133的第一點是恆定的並且從第一點到氣體分散通道134的下部135增加)允許較少的氣體絕熱膨脹通過氣體分散通道134,這有助於控制包含在環形氣流174中的處理氣體的溫度。例如,輸送到氣體分散通道134中的氣體的突然絕熱膨脹可能導致氣體溫度下降,這可能導致氣體冷凝和液滴形成。另一方面,認為逐漸變細的氣體分散通道134提供較少的氣體絕熱膨脹。因此,更多的熱量可以傳遞到氣體或從氣體傳遞,因此,藉由控制腔室蓋組件132的溫度可以更容易地控制氣體的溫度。氣體分散通道134可以逐漸變細並包含一個或多個錐形內表面,例如錐形直表面、凹表面、凸表面或其組合,或者可以包含一個或多個錐形內表面的部分(即,一錐形部分和一非錐形部分)。
在一些實施例中,處理室100還包括腔室清潔系統,腔室清潔系統包括遠端電漿源(RPS)190,耦合到RPS190的隔離環192,以及流體地耦合到RPS190的清潔氣體(即,吹掃氣體)源197。清潔氣體源可包括適於形成電漿以清潔處理室100的任何氣體。隔離環192包括內部通道193,內部通道193流體地連接到氣體分散通道134,以使來自RPS190的電漿流過氣體分散通道134並進入反應區164。
通常,在由氣體輸送系統130將第一氣體提供給氣體分散通道134之後,清潔氣體流過氣體分散通道134和反應區164,以快速從氣體分散通道134和反應區164中清除第一氣體。隨後,由氣體輸送系統130將第二氣體提供給氣體分散通道134,並且清潔氣體再次流過氣體分散通道134到達反應區164,以快速從氣體分散通道134和反應區164中清除第二氣體。在一些實施例中,具有排氣管道184的排氣系統180在第一端186處耦接到隔離環192並且在第二端188處耦接到泵送通道179。閥182設置在排氣管道184中以選擇性地將排氣管道184流體地耦接到內部通道193。每次清潔氣體流過氣體分散通道134和反應區164時,閥182打開且清潔氣體迅速地排出到泵送通道179。
在一些實施例中,腔室蓋組件132的下表面160的一部分可以從連接到氣體分散通道134的中心開口向下和向外成形或成角度到腔室蓋組件132的周邊部分,以幫助提供來自氣體分散通道134而穿過基板110的表面(即,從基板的中心到基板的邊緣)的改良的氣流的速度分佈。下表面160可包含一個或多個表面,例如直表面、凹表面、凸表面或其組合。在一個實施例中,下表面160是凸形漏斗形的。
在一個實例中,下表面160向下並向外傾斜朝向基板接收表面111的邊緣,以幫助減少在腔室蓋組件132的下表面160和基板110之間行進的處理氣體的速度的變化,同時有助於提供將基板110的表面均勻地暴露於反應氣體。腔室蓋組件132的部分和部件可包含諸如不銹鋼、鋁、鍍鎳鋁、鎳,其合金或其他合適材料的材料。在一個實施例中,蓋板170可以獨立地製造、機械加工、鍛造或以其他方式由金屬製成,例如鋁、鋁合金、鋼、不銹鋼、其合金或其組合。處理室100還可包括設置在噴淋頭125和蓋板170之間的第一密封件109,設置在噴淋頭125和腔室主體102之間的第二密封件119,或設置在腔室主體102和噴淋頭125之間的適配器,以及設置在噴淋頭125和腔室襯裡177之間的第三密封件129。在一些實施例中,第一、第二和第三密封件109,119,129可以是O形環。
圖2示出了根據本案的一些實施例的噴淋頭125的示意性剖視圖。如圖2所示,噴淋頭125可包括具有中央部分204和外部分206的主體202。外部分206包括從中央部分204向上延伸的環形壁208和從環形壁208徑向向外延伸的凸緣210。複數個孔126被設置成穿過中央部分204。在一些實施例中,環形台階部分212徑向地設置在複數個孔126中的最外側的孔的向外處並且徑向地設置在外部分206的向內處。環形台階部分212用作第一密封件109的支撐表面。
在一些實施例中,噴淋頭125的總外徑214在約16英寸和約17.5英寸之間。發明人已經發現,若噴淋頭125的總外徑214小於約16英寸,則噴淋頭125和相鄰的腔室部件之間將存在間隙,導致有額外體積需要被吹掃和抽空。結果,由於處理時間增加,產量將受到負面影響。相反,若噴淋頭125的總外徑214太大,則在噴淋頭125和相鄰的腔室部件之間將存在干涉配合,這將干擾噴淋頭125在處理期間的熱膨脹並且可能導致顆粒產生。在一些實施例中,總外徑214約為17英寸。
在一些實施例中,噴淋頭的總高度216在約1英寸和約1.5英寸之間。發明人已經發現,若總高度216大於約1.5英寸,則噴淋頭和蓋板170之間的體積會增加,這將對產量和處理時間產生負面影響,因為有額外體積需要清除並且抽空;或者中央部分204的厚度增加,這將對沉積均勻性產生負面影響,因為從噴淋頭125到基板110的距離減小。在一些實施例中,總高度216約為1.14英寸。
在一些實施例中,凸緣210的垂直厚度218在約0.5英寸和約0.6英寸之間。發明人已經發現,若垂直厚度218小於約0.5英寸,則在噴淋頭125的底部和腔室襯裡177之間將存在有間隙,這將對產量和處理時間產生負面影響,因為將有額外的體積需要被清洗和抽空。若垂直厚度218大於約0.6英寸,則由於與腔室襯裡177的接觸增加,噴淋頭125可能被損壞。在一些實施例中,垂直厚度218約為0.58英寸。
在一些實施例中,從凸緣210的第一最上表面到環形階梯部分212的第二最上表面的垂直距離220在約0.5英寸和約1英寸之間。發明人已經發現,若垂直距離220小於約0.5英寸,則第一密封件109將被過度壓縮,因此可能導致第一密封件109的損壞和第一密封件109黏附到噴淋頭125。若垂直距離220大於約1英寸,則第一密封件109將無法被壓縮到足以防止處理氣體洩漏出反應區164的程度。在一些實施例中,垂直距離220約為0.78英寸。
在一些實施例中,中央部分204的厚度222在約0.2英寸和約0.5英寸之間。發明人已經發現,若厚度222小於約0.2英寸,則處理氣體的阻塞可能不足且可能發生中央部分204的彎曲。若厚度222大於約0.5英寸,則處理氣體的流動可能過度扼流,從而不利地影響沉積均勻性。
在一些實施例中,環形台階部分212的第一內徑224在約12英寸和約13英寸之間。發明人已經發現,若第一內徑224小於約12英寸,則噴淋頭125將具有更少的孔126,並且孔126中最外面的孔將不在基板110處或不在基板110外部。若第一內徑224大於約13英寸,則孔126中的最外面的孔的徑向外側將存在額外體積的處理氣體,因此會對產量和處理時間產生負面影響,因為吹掃和泵送額外體積所需的時間增加。在一些實施例中,第一內徑224約為12.25英寸。
在一些實施例中,環形壁208的第二內徑226在約12.5英寸和約13.5英寸之間。發明人已經發現,若第二內徑226小於大約12.15英寸,則環形台階部分212將更小,導致上文關於第一內徑224的尺寸所說明的缺點。若第二內徑226大於約13.5英寸,則孔126中的最外面的孔的徑向外側將存在額外體積的處理氣體,因此會對產量和處理時間產生負面影響,因為吹掃和泵送額外體積所需的時間增加。在一些實施例中,第二內徑226約為12.6英寸。
在一些實施例中,中央部分的最下表面的外徑228在約13.5英寸和約14.5英寸之間。發明人已經發現,若外徑228小於約13.5英寸,則噴淋頭125將具有更少的孔126,並且孔126中最外面的孔將不在基板110處或不在基板110外部。若外徑228大於約14.5英寸,則將處理室100向下泵送所需的時間將增加,從而對產量和處理時間產生負面影響。在一些實施例中,外徑228約為13.8英寸。
在一些實施例中,複數個孔126中的最外側的孔與環形台階部分212的內邊緣之間的徑向距離230在約0英寸(即,複數個孔中的最外側的孔設置在環形台階部分212的內部邊緣處)與約0.1英寸之間。發明人已經發現徑向距離230用作為死區,在死區中處理氣體可再循環,導致泵送和/或清除死區的難度增加。在一些實施例中,徑向距離230約為0.06英寸。
圖3B是圖3A中的3B部分的特寫圖,示出了複數個孔126中的一個。在一些實施例中,複數個孔126中的每一個是埋頭孔,其具有埋頭部分302和孔部分304。埋頭部分302經形成而穿過中央部分204的最下表面,並且具有深度306,其介於孔部分的孔直徑308的約1至2倍之間。在一些實施例中,深度306約為0.06英寸,孔直徑308約為0.04英寸。發明人已經發現,若深度306深於孔直徑308的約2倍,則通過噴淋頭125的處理氣體流將不會被充分地阻塞。結果,沉積均勻性將受到負面影響,因為噴淋頭上方的壓力將不均勻並且在基板110的中心處將發生更多沉積,因為噴淋頭125的中央部分將會是對處理氣體流的阻力最小的路徑。相反,若深度306小於孔直徑308的約1倍,則流過每個孔126的氣體在離開孔之後將快速膨脹,導致氣體冷卻和顆粒產生。另外,複數個孔126的圖案的印記將在基板110上產生。
在一些實施例中,埋頭部分的埋頭角度310在約25度和約45度之間。若埋頭角度310小於約25度或大於約45度,則氣體流將通過孔126而類似於氣體流通過通孔一般(即,氣體的快速膨脹,如上所解釋的)。在一些實施例中,埋頭角度約為37度。
在一些實施例中,孔直徑308在約0.012英寸和約0.06英寸之間。發明人已經發現,若孔直徑308小於約0.012英寸,則處理氣體流將被過度阻塞。相反,若孔直徑308大於約0.06英寸,則氣體流無法被充分阻塞。例如,在噴淋頭125設置在漏斗蓋(例如,腔室蓋組件132)下方的實施例中,大孔將不能充分地減輕上述與圓形流動相關的問題。在一些實施例中,孔直徑約為0.04英寸。
圖4A描繪了根據本案的一些實施例的噴淋頭125的示意性頂視圖。為清楚起見,圖4A中省略了孔126。圖4B和4C分別示出了4B和4C部分的特寫視圖。在一些實施例中,噴淋頭125包括圍繞噴淋頭125的中心軸406佈置的複數個定位特徵402。複數個定位特徵402形成在凸緣210的周邊中並且被配置為接收相應的複數個對準元件(未示出),例如,噴淋頭125被安裝在其上的處理室(例如,處理室100)的對準銷。另外,複數個定位特徵402被配置為允許噴淋頭125的熱膨脹。在一些實施例中,複數個定位特徵402圍繞中心軸406而對稱地佈置,以確保噴淋頭125在所有方向上的相等熱膨脹。在一些實施例中,複數個定位特徵402可替代地圍繞中心軸406不對稱地佈置,以確保噴淋頭125的正確定位。如圖4A和4B所示,複數個定位特徵402可以是具有最大寬度408的複數個第一槽。在一些實施例中,第一寬度408在約0.0001英寸和約0.005英寸之間。發明人已經發現,若第一寬度408小於約0.0001英寸,則在第一槽的壁和它們相應的腔室對準元件之間發生摩擦,導致顆粒產生。相反,若第一寬度408大於約0.005英寸,則噴淋頭125將不能正確對準,因為將失去與處理室的同心性。
噴淋頭125還包括複數個耦合特徵404,其圍繞中心軸406佈置並形成在凸緣210的周邊中。複數個耦合特徵404被配置成接收相應的複數個固定元件(未示出),例如螺釘或螺栓,以將噴淋頭125連接到處理室(例如,處理室100)。複數個耦合特徵404還被配置成允許噴淋頭125的熱膨脹。如圖4A和4C所示,複數個耦合特徵404可以是具有第二寬度410的複數個第二槽。在一些實施例中,複數個第二槽可包括3至24個槽。發明人已經發現具有多於24個槽可能導致顆粒產生。在一些實施例中,噴淋頭125可包括6個第二槽。在一些實施例中,第二寬度在約0.23英寸和約0.24英寸之間。
回到圖1,在處理操作中,基板110藉由機器人(未示出)通過狹縫閥108輸送到處理室100。經由提升銷120和機器人的配合將基板110定位在基板支撐件112上。基板支撐件112將基板110升高成與噴淋頭125的下表面緊密相對。第一氣流可以藉由氣體輸送系統130而與第二氣流一起或分開地(即脈衝)注入處理室100的氣體分散通道134中。第一氣流可以包含來自吹掃氣體源的吹掃氣體的連續流和來自反應氣體源的反應氣體的脈衝,或者可以包含來自反應氣體源的反應氣體脈衝和來自吹掃氣體源的吹掃氣體的脈衝。第二氣流可以包含來自吹掃氣體源的吹掃氣體的連續流和來自反應氣體源的反應氣體的脈衝,或者可以包含來自反應氣體源的反應氣體脈衝和來自吹掃氣體源的吹掃氣體脈衝。
環形氣流行進通過氣體分散通道134並隨後穿過噴淋頭125中的複數個孔126。然後將氣體沉積在基板110的表面上。腔室蓋組件132的下表面160向下傾斜,有助於減小穿過噴淋頭125表面的氣流速度的變化。過量的氣體,副產物等流入泵送通道179,然後從處理室100排出
雖然前述內容針對本案的一些實施例,但是可以設計其他和進一步的實施例而不脫離其基本範圍。
100‧‧‧處理室
102‧‧‧腔室主體
108‧‧‧狹縫閥
109‧‧‧第一密封件
110‧‧‧基板
111‧‧‧接收表面
112‧‧‧基板支撐件
114‧‧‧提升馬達
119‧‧‧第二密封件
122‧‧‧淨化環
124‧‧‧淨化通道
125‧‧‧噴淋頭
126‧‧‧孔
129‧‧‧第三密封件
130‧‧‧氣體輸送系統
132‧‧‧腔室蓋組件
134‧‧‧氣體分散通道
135‧‧‧下部
160‧‧‧下表面
164‧‧‧反應區
170‧‧‧蓋板
177‧‧‧腔室襯裡
179‧‧‧泵送通道
180‧‧‧排氣系統
182‧‧‧閥
184‧‧‧排氣管道
186‧‧‧第一端
188‧‧‧第二端
190‧‧‧RPS
192‧‧‧隔離環
193‧‧‧內部通道
197‧‧‧清潔氣體源
202‧‧‧主體
204‧‧‧中央部分
206‧‧‧外部分
208‧‧‧環形壁
210‧‧‧凸緣
212‧‧‧環形台階部分
216‧‧‧總高度
218‧‧‧垂直厚度
220‧‧‧垂直距離
222‧‧‧厚度
224‧‧‧第一內徑
226‧‧‧第二內徑
228‧‧‧外徑
230‧‧‧徑向距離
302‧‧‧埋頭部分
304‧‧‧孔部分
306‧‧‧深度
308‧‧‧孔直徑
310‧‧‧埋頭角度
402‧‧‧定位特徵
404‧‧‧耦合特徵
406‧‧‧中心軸
408‧‧‧第一寬度
410‧‧‧第二寬度
通過參考附圖中描繪的本案的說明性實施例,可以理解以上簡要概述以及下文更詳細論述的本案的實施例。然而,附圖僅示出了本案的典型實施例,因此不應視為對範圍的限制,因為本案可允許其他同等有效的實施例。
圖1描繪了根據本案的一些實施例的處理室的示意圖。
圖2描繪了根據本案的一些實施例的噴淋頭的示意性剖視圖。
圖3A描繪了根據本案的一些實施例的蓋組件的一部分的示意性剖視圖。
圖3B描繪了圖3A的3B部分的特寫視圖。
圖4A描繪了根據本案的一些實施例的噴淋頭的頂部示意圖。
圖4B描繪了圖4A的4B部分的特寫視圖。
圖4C描繪了圖4A的4C部分的特寫視圖。
為了便於理解,在可能的情況下,使用相同的元件符號來表示附圖中共有的相同元件。附圖未按比例繪製,並且為了清楚起見可以簡化。一個實施例的元件和特徵可以有利地併入其他實施例中而無需進一步敘述。

Claims (20)

  1. 一種噴淋頭,該噴淋頭包括:一主體,該主體具有一中央部分和一外部分,其中該外部分包括從該中央部分向上延伸的一環形壁和從該環形壁徑向向外延伸的一凸緣;複數個孔,該複數個孔被設置成通過該中央部分;一環形台階部分,該環形台階部分被設置在該複數個孔中最外面的孔的徑向外側並且在該外部分的徑向內側;複數個定位特徵,該複數個定位特徵圍繞該噴淋頭的一中心軸佈置並形成在該凸緣的一周邊中,其中該複數個定位特徵被配置成接收相應的一處理室的複數個對準特徵,其中該處理室安裝有該噴淋頭,以及其中該複數個定位特徵被配置成允許該噴淋頭的熱膨脹;和複數個耦合特徵,該複數個耦台特徵圍繞該中心軸佈置並形成在該凸緣的該周邊中,其中該複數個耦合特徵被配置成接收相應的複數個固定元件,以將該噴淋頭連接到該處理室,並且其中該複數個耦合特徵被配置成允許該噴淋頭的熱膨脹。
  2. 如請求項1所述之噴淋頭,其中該噴淋頭的一總外徑在約16英寸和約17.5英寸之間。
  3. 如請求項1所述之噴淋頭,其中該噴淋頭的一總高度在約1英寸和約1.5英寸之間。
  4. 如請求項1所述之噴淋頭,其中該凸緣的一垂直厚度在約0.5英寸和約0.6英寸之間。
  5. 如請求項1所述之噴淋頭,其中,從該凸緣的一第一最上表面到該環形台階部分的一第二最上表面的一垂直距離在約0.5英寸和約1英寸之間。
  6. 如請求項1所述之噴淋頭,其中,該中央部分的一厚度在約0.2英寸和約0.5英寸之間。
  7. 如請求項1所述之噴淋頭,其中該環形台階部分的一第一內徑在約12英寸和約13英寸之間。
  8. 如請求項1所述之噴淋頭,其中該環形壁的一第二內徑在約12.5英寸和約13.5英寸之間。
  9. 如請求項1所述之噴淋頭,其中,該中央部分的一最下表面的一外徑在約13.5英寸和約14.5英寸之間。
  10. 如請求項1~9中之任一者所述的噴淋頭,其中,該複數個定位特徵是複數個第一槽。
  11. 如請求項10所述之噴淋頭,其中該複數個第一槽是圍繞該中心軸軸對稱地佈置的三個第二槽,並且每者具有在約0.0001英寸和約0.005英寸之間的一第一寬度。
  12. 如請求項1~9中之任一者所述之噴淋頭,其中,該複數個耦合特徵是複數個第二槽。
  13. 如請求項12所述之噴淋頭,其中該複數個第二槽介於3至24個槽之間,每個槽具有介於約0.23英寸與約0.24英寸之間的一第二寬度。
  14. 如請求項1~9中之任一者所述之噴淋頭,其中該複數個孔中的每一個是具有一埋頭部分和一孔部分的埋頭孔,其中埋頭部分經形成而穿過該中央部分的一最下表面,其中埋頭部分的一深度在該孔部分的一孔直徑的約1至2倍之間,並且其中該埋頭部分的一埋頭角度在約25度至約45度之間。
  15. 如請求項14所述之噴淋頭,其中該孔直徑在約0.012英寸和約0.06英寸之間。
  16. 如請求項1~9中之任一者所述之噴淋頭,其中該複數個孔在約1,000和約3,000個孔之間,並且其中該複數個孔中的最外面的孔被設置在待使用該噴淋頭加以處理的一基板的一直徑處或其外部分。
  17. 如請求項1~9中之任一者所述之噴淋頭,其中,該複數個孔中最外面的孔與該環形台階部分的一內邊緣之間的一徑向距離在約0英寸和約0.1英寸之間。
  18. 如請求項1~9中任一者所述之噴淋頭,其中該噴淋頭由鋁、不銹鋼或陶瓷中的一者形成。
  19. 一種噴淋頭,該噴淋頭包括:一主體,該主體具有一中央部分和一外部分,其中該外部分包括從該中央部分向上延伸的一環形壁和從該環形壁徑向向外延伸的一凸緣;複數個孔,該複數個孔被設置成穿過該中央部分;一環形台階部分,該環形台階部分被設置在該複數個孔中最外面的孔的徑向外側並且在該外部分的徑向內側;複數個定位特徵,該複數個定位特徵圍繞該噴淋頭的一中心軸佈置並形成在該凸緣的一周邊中,其中該複數個定位特徵被配置成接收相應的一處理室的複數個對準特徵,其中該處理室安裝有該噴淋頭,以及其中該複數個定位特徵被配置成允許該噴淋頭的熱膨脹;和複數個耦合特徵,該複數個耦合特徵圍繞該中心軸佈置並形成在該凸緣的該周邊中,其中該複數個耦合特徵被配置成接收相應的複數個固定元件,以將該噴淋頭連接到該處理室,並且其中該複數個耦合特徵被配置成允許該噴淋頭的熱膨脹,其中該噴淋頭的一總外徑在約16英寸和約17.5英寸之間,其中該噴淋頭的一總高度在約1英寸和約1.5英寸之間,其中該凸緣的一垂直厚度在約0.5英寸和約0.6英寸之間,其中從該凸緣的一第一最上表面到該環形台階部分的一第二最上表面的一垂直距離在約0.5英寸和約1英寸之間,以及其中該中央部分的一厚度在約0.2英寸和約0.5英寸之間。
  20. 一種噴淋頭,該噴淋頭包括:一主體,該主體具有一中央部分和一外部分,其中該外部分包括從該中央部分向上延伸的一環形壁和從該環形壁徑向向外延伸的一凸緣;複數個孔,該複數個孔被設置成穿過該中央部分;一環形台階部分,該環形台階部分被設置在該複數個孔中最外面的孔的徑向外側並且在該外部分的徑向內側;複數個定位特徵,該複數個定位特徵圍繞該噴淋頭的一中心軸佈置並形成在該凸緣的一周邊中,其中該複數個定位特徵被配置成接收相應的一處理室的複數個對準特徵,其中該處理室安裝有該噴淋頭,以及其中該複數個定位特徵被配置成允許該噴淋頭的熱膨脹;和複數個耦合特徵,該複數個耦合特徵圍繞該中心軸佈置並形成在該凸緣的該周邊中,其中該複數個耦合特徵被配置成接收相應的複數個固定元件,以將該噴淋頭連接到該處理室,並且其中該複數個耦合特徵被配置成允許該噴淋頭的熱膨脹,其中該噴淋頭的一總外徑在約16英寸和約17.5英寸之間,其中該噴淋頭的一總高度在約1英寸和約1.5英寸之間,其中該凸緣的一垂直厚度在約0.5英寸和約0.6英寸之間,其中從該凸緣的一第一最上表面到該環形台階部分的一第二最上表面的一垂直距離在約0.5英寸和約1英寸之間,其中該中央部分的一厚度在約0.2英寸和約0.5英寸之間,其中該環形台階部分的一第一內徑在約12英寸和約13英寸之間,其中該環形壁的一第二內徑在約12.5英寸和約13.5英寸之間,以及其中該中央部分的一最下表面的一外徑在約13.5英寸和約14.5英寸之間。
TW107210635U 2017-08-10 2018-08-03 噴淋頭及併入噴淋頭的處理室 TWM579649U (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762543888P 2017-08-10 2017-08-10
US62/543,888 2017-08-10
US15/847,339 US20190048467A1 (en) 2017-08-10 2017-12-19 Showerhead and process chamber incorporating same
US15/847,339 2017-12-19

Publications (1)

Publication Number Publication Date
TWM579649U true TWM579649U (zh) 2019-06-21

Family

ID=65271731

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107210635U TWM579649U (zh) 2017-08-10 2018-08-03 噴淋頭及併入噴淋頭的處理室

Country Status (6)

Country Link
US (1) US20190048467A1 (zh)
KR (1) KR200495609Y1 (zh)
CN (1) CN212542358U (zh)
DE (1) DE212018000277U1 (zh)
TW (1) TWM579649U (zh)
WO (1) WO2019032324A1 (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10889894B2 (en) 2018-08-06 2021-01-12 Applied Materials, Inc. Faceplate with embedded heater
CN114164412B (zh) * 2020-09-10 2024-03-08 鑫天虹(厦门)科技有限公司 半导体原子层沉积装置的喷洒头结构
US11584993B2 (en) 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
DE102022126073A1 (de) 2022-10-10 2024-04-11 Stephan Wege Prozessstabilität durch Abscheidung
CN115652289A (zh) * 2022-11-08 2023-01-31 拓荆科技股份有限公司 同心定位结构及薄膜沉积设备

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6033480A (en) * 1994-02-23 2000-03-07 Applied Materials, Inc. Wafer edge deposition elimination
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US6086710A (en) * 1995-04-07 2000-07-11 Seiko Epson Corporation Surface treatment apparatus
KR100434790B1 (ko) * 1997-05-20 2004-06-07 동경 엘렉트론 주식회사 처리 장치
US6364957B1 (en) * 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6168668B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Shadow ring and guide for supporting the shadow ring in a chamber
US6589352B1 (en) * 1999-12-10 2003-07-08 Applied Materials, Inc. Self aligning non contact shadow ring process kit
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP4698251B2 (ja) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7048621B2 (en) * 2004-10-27 2006-05-23 Applied Materials Inc. Retaining ring deflection control
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
TWI329136B (en) * 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
JP5280861B2 (ja) * 2006-01-19 2013-09-04 エーエスエム アメリカ インコーポレイテッド 高温aldインレットマニホールド
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
TWI455239B (zh) * 2008-03-14 2014-10-01 Lam Res Corp 凸輪鎖電極夾
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8221582B2 (en) * 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8272346B2 (en) * 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
JP6104157B2 (ja) * 2010-05-21 2017-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 大面積電極にぴったりと嵌合されたセラミックス絶縁体
US8980047B2 (en) * 2010-07-02 2015-03-17 Samsung Electronics Co., Ltd. Microwave plasma processing apparatus
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8470127B2 (en) * 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
KR101306315B1 (ko) * 2011-01-11 2013-09-09 주식회사 디엠에스 화학기상증착 장치
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
KR101234594B1 (ko) * 2011-07-25 2013-02-19 피에스케이 주식회사 배플 및 이를 포함하는 기판 처리 장치
US8647485B2 (en) * 2012-03-30 2014-02-11 Applied Materials, Inc. Process kit shield for plasma enhanced processing chamber
US9058960B2 (en) * 2012-05-09 2015-06-16 Lam Research Corporation Compression member for use in showerhead electrode assembly
CN103388132B (zh) * 2012-05-11 2015-11-25 中微半导体设备(上海)有限公司 气体喷淋头、其制造方法及薄膜生长反应器
SG10202004261TA (en) * 2012-05-14 2020-06-29 Novellus Systems Inc Cross flow manifold for electroplating apparatus
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
JP5793170B2 (ja) * 2013-09-30 2015-10-14 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
CN110797291A (zh) * 2013-12-06 2020-02-14 应用材料公司 用于使预热构件自定中心的装置
JP5764228B1 (ja) * 2014-03-18 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
JP6285411B2 (ja) * 2015-12-25 2018-02-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム

Also Published As

Publication number Publication date
KR20200000582U (ko) 2020-03-13
KR200495609Y1 (ko) 2022-07-06
US20190048467A1 (en) 2019-02-14
DE212018000277U1 (de) 2020-03-12
CN212542358U (zh) 2021-02-12
WO2019032324A1 (en) 2019-02-14

Similar Documents

Publication Publication Date Title
TWM579649U (zh) 噴淋頭及併入噴淋頭的處理室
TWI693298B (zh) 具有漏斗狀氣體分散通道及氣體分配板的原子層沉積腔室
TWI603782B (zh) 基板處理系統
TWI727024B (zh) 微體積沉積腔室
TWI612174B (zh) 化學氣相沉積設備、設備、以及化學氣相沉積之方法
US20050139160A1 (en) Clamshell and small volume chamber with fixed substrate support
US11715667B2 (en) Thermal process chamber lid with backside pumping
TWI801413B (zh) 具有加熱的噴淋頭組件之基板處理腔室
JP2009503876A (ja) 半導体処理用堆積装置
TW202132616A (zh) 用於原子層沉積前驅物運送的噴淋頭
US11555244B2 (en) High temperature dual chamber showerhead
US11421322B2 (en) Blocker plate for use in a substrate process chamber
KR101503256B1 (ko) 기판 처리 장치 및 방법
US20230009859A1 (en) Asymmetric purged block beneath wafer plane to manage non-uniformity
TW202215581A (zh) 基座及反應腔室
TW202410157A (zh) 雙通道噴淋頭組件
TW202334495A (zh) 基座總成及噴淋頭總成