TWI847408B - 積體電路封裝的雷射鑽孔製程 - Google Patents

積體電路封裝的雷射鑽孔製程 Download PDF

Info

Publication number
TWI847408B
TWI847408B TW111146572A TW111146572A TWI847408B TW I847408 B TWI847408 B TW I847408B TW 111146572 A TW111146572 A TW 111146572A TW 111146572 A TW111146572 A TW 111146572A TW I847408 B TWI847408 B TW I847408B
Authority
TW
Taiwan
Prior art keywords
laser
package
insulating layer
pad
subsequent
Prior art date
Application number
TW111146572A
Other languages
English (en)
Other versions
TW202329269A (zh
Inventor
鄭佳申
張家綸
裴浩然
林修任
謝靜華
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/661,940 external-priority patent/US20230230849A1/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202329269A publication Critical patent/TW202329269A/zh
Application granted granted Critical
Publication of TWI847408B publication Critical patent/TWI847408B/zh

Links

Images

Abstract

一種雷射鑽孔製程包括在封裝上形成絕緣層。封裝具有隨後形成開口的多個位置。在整個封裝的每個位置上逐個位置執行第一雷射發射。第一雷射發射的第一雷射點與每個位置重疊。第一雷射發射移除第一雷射點下方絕緣層的第一部分。在整個封裝的每個位置上逐個位置執行另一雷射發射。另一雷射發射的另一雷射點與每個位置重疊。另一雷射發射移除另一雷射點下方的絕緣層的另一部分。對封裝上的每個位置重複多次,逐個位置地執行另一雷射發射,直到移除絕緣層的所需部分。

Description

積體電路封裝的雷射鑽孔製程
本發明實施例是關於積體電路封裝的雷射鑽孔製程。
由於各種電子組件(例如電晶體、二極體、電阻器、電容器等)的積體密度不斷提高,半導體行業經歷了快速增長。在大多數情況下,積體密度的提高是由於最小特徵尺寸的連番減小,這允許將更多組件集成到給定區域中。隨著對縮小電子裝置的需求不斷增長,對更小、更有創意的半導體晶粒封裝技術的需求有所增加。這種封裝系統的一個例子是封裝疊層(PoP)技術。在PoP裝置中,頂部半導體封裝堆疊在底部半導體封裝之上,以提供高等級的集積度和組件密度。PoP技術通常能夠在印刷電路板(PCB)上生產具有增強功能和小尺寸的半導體裝置。
根據一個實施例,一種積體電路封裝的雷射鑽孔製程包括:在封裝上形成絕緣層,其中所述封裝具有隨後形成開口的多個位置;在整個所述封裝的所述位置中的每一個上逐個位置執行第一雷射發射,其中所述第一雷射發射的第一雷射點與所述位置 中的每一個重疊,並且其中所述第一雷射發射移除所述第一雷射點下方的所述絕緣層的第一部分;在整個所述封裝的所述位置中的每一個上逐個位置執行另一雷射發射,其中所述另一雷射發射的另一雷射點與所述位置中的每一個重疊,並且其中所述另一雷射發射移除所述另一雷射點下方的所述絕緣層的另一部分;以及在整個所述封裝的所述位置中的每一個上逐個位置多次重複執行所述另一雷射發射,直到移除所述絕緣層的所需部分。
根據一個實施例,一種積體電路封裝的雷射鑽孔製程,包括:形成封裝,所述封裝包括多個接墊以及所述多個接墊上的絕緣層;以及對所述封裝進行多個雷射順序,以在所述絕緣層中形成多個開口,所述開口中的每一個暴露出各自的接墊,其中進行所述雷射順序包括:在所述封裝上執行第一雷射順序,其中執行所述第一雷射順序包括在所述接墊中的每一個上方的所述絕緣層上連續地執行第一雷射發射,其中在平面圖中,所述第一雷射發射的第一雷射點在與所述接墊中的每一個重疊,並且其中所述第一雷射點移除所述第一雷射點下方的所述絕緣層的第一部分;以及在所述封裝上執行多個後續雷射順序,其中執行所述後續雷射順序中的每一個包括在所述接墊中的每一個上方的所述絕緣層上連續地執行後續雷射發射,其中在所述平面圖中,所述後續雷射發射的後續雷射點在與所述接墊中的每一個重疊,其中所述後續雷射發射的所述後續雷射點與先前雷射發射的的先前雷射點重疊,並且其中所述後續雷射發射移除所述後續雷射點下方的所述絕緣層的後續部分。
根據一個實施例,一種積體電路封裝的雷射鑽孔製程, 包括:形成重分佈結構,所述重分佈結構包括接墊以及在接墊上的絕緣層;以及圖案化所述絕緣層以在所述絕緣層中形成開口,所述開口中的每一個暴露出相應的接墊,其中所述圖案化所述絕緣層包括:對所述絕緣層執行第一雷射順序,其中執行所述第一雷射順序包括在所述絕緣層的多個第一位置執行多個第一雷射發射,其中在平面圖中,每個第一位置與相應的接墊重疊,並且其中每個第一雷射發射從相應的第一位置移除所述絕緣層的第一部分;以及在所述絕緣層上執行多個後續雷射順序,其中執行每個後續雷射順序包括在所述絕緣層的後續位置上執行後續雷射發射,其中在所述平面圖中,每個後續位置與相應的接墊重疊,其中每個後續雷射發射從相應的後續位置移除所述絕緣層的後續部分,並且在所述平面圖中,每個後續位置與先前雷射順序的相應先前位置部分重疊。
50、50A、50B:積體電路晶粒
52:半導體基底
54:裝置
56:層間介電質
58:導電插塞
60:內連線結構
62、PAD1、PAD2、PADm-1、PADm:接墊
64:鈍化膜
66:晶粒連接件
68、107、108、112、124、128、132、136:絕緣層
100:第一封裝組件
102:承載基底
104:離型層
106:背側重佈線結構
110、126、130、134:金屬化圖案
114、OP1、OPm:開口
116:貫穿通孔
118:黏著層
120:包封體
122:前側重佈線結構
138:凸塊底金屬
150、172:導電連接件
152、156:路徑
154、158:箭頭
1601、1602、160k、160x:雷射光束
162:曲線
164、S1x、Sm1、Sm2、S11、S12:雷射點
166:高強度區域
168:低強度區域
170:圓
200、300、400、500:雷射鑽孔製程
202、204、206、304、306、308、310、312、314、404、406、408、410、412、414、502、504、506:步驟
3021、3022、302k、4021、4022、402x:雷射順序
600:第二封裝組件
602:基底
604、606、704:接合接墊
608:導通孔
610:堆疊晶粒
612:金屬線接合
614:模封材料
700:封裝基底
702:基底核心
706:阻焊劑
708:底部填充劑
H1、H2:高度
PKG1、PKG2、PKGn-1、PKGn:封裝區
W1、W2、W3、W4:寬度
θ1、θ2:角
當與附圖一起閱讀時,從以下詳細描述中可以最好地理解本公開的各方面。值得注意的是,根據行業的標準慣例,各種特徵並未按比例繪製。事實上,為了討論的清晰起見,可以任意增加或減少各種特徵的尺寸。
圖1示出根據一些實施例的積體電路晶粒的橫截面圖。
圖2-14、15A-15C、16A、16B、18、20-23、25-28和30示出根據一些實施例的在形成封裝組件的製程期間中間步驟的俯視圖和橫截面圖。
圖17是說明根據一些實施例在封裝組件上執行的雷射鑽 孔製程的流程圖。
圖19是說明根據一些實施例在封裝組件的封裝區上執行的雷射鑽孔製程的流程圖。
圖24是說明根據一些實施例在封裝組件的封裝區上執行的雷射鑽孔製程的流程圖。
圖29是說明根據一些實施例在封裝組件上執行的雷射鑽孔製程的流程圖。
圖31示出根據一些實施例的封裝組件的橫截面圖。
圖32和33示出根據一些實施例的裝置堆疊的形成和實施的橫截面圖。
圖34示出根據一些實施例的裝置堆疊的橫截面圖。
以下公開提供許多不同的實施例或示例,用於實現本發明的不同特徵。下面描述組件和佈置的具體示例以簡化本公開。當然,這些僅僅是示例並且不旨在進行限制。例如,在下面的描述中,在第一特徵上或之上形成第二特徵可以包括其中在第一和第二特徵形成為直接接觸的實施例,並且還可以包括其中在第一和第二特徵之間形成附加特徵的實施例,這樣第一和第二特徵可能不會直接接觸。此外,本公開可以在各種示例中重複參考數字和/或字母。這種重複是為了簡單和清楚的目的,並且其本身並不規定所討論的各種實施例和/或配置之間的關係。
此外,為便於描述,此處可以使用諸如“下方”、“下”、“下部”、“上方”、“上部”等空間相關術語來描述圖中所示的一個構件 或特徵與另一構件或特徵的關係。除圖中描繪的方向之外,空間相對術語旨在涵蓋裝置在使用或操作中的不同方向。設備可依其他方式定向(旋轉90度或在其他方向),並且本文使用的空間相對描述同樣可以相應地解釋。
將針對特定上下文中的實施例來描述實施例,即在諸如堆疊積體扇出(InFO)封裝的封裝上執行的雷射鑽孔製程。本文所述的雷射鑽孔製程也可用於執行絕緣層圖案化的任何其他應用。在一些實施例中,執行雷射鑽孔製程使得在封裝的相同位置執行的兩個連續雷射發射之間的時間增加。在一些實施例中,當在封裝的重分佈結構上執行雷射鑽孔製程以形成暴露重分佈結構的接墊的開口時,在相同的接墊上執行的雷射發射在不同但重疊的位置執行,這允許減少雷射的數量形成開口的雷射點。本文提出的應用於封裝的重分佈結構的各種實施例允許減少重分佈結構的接墊上的熱積累,減少接墊上的枝晶(dendrite)形成,減少或避免接墊和相鄰絕緣層之間的分層,增加晶圓每小時(WPH)產量,並提高可靠性分析(RA)酷刑測試的通過率。
圖1示出根據一些實施例的積體電路晶粒50的橫截面圖。積體電路晶粒50將在後續加工中進行封裝,形成積體電路封裝。積體電路晶粒50可以是邏輯晶粒(例如,中央處理單元(CPU)、圖形處理單元(GPU)、晶片上系統(SoC)、應用處理器(AP)、微控制器等)、存儲器晶粒(例如,動態隨機存取存儲器(DRAM)晶粒、靜態隨機存取存儲器(SRAM)晶粒等),電源管理晶粒(例如,電源管理積體電路(PMIC)晶粒)、射頻(RF)晶粒、傳感器晶粒、微機電系統(MEMS)晶粒、信號處 理晶粒(例如,數字信號處理(DSP)晶粒)、前端晶粒(例如,模擬前端(AFE)晶粒)等或其組合。
積體電路晶粒50可以形成在晶圓中,該晶圓可以包括不同的裝置區域,這些區域在隨後的步驟中被單片化以形成多個積體電路晶粒。可以根據適用的製造製程處理積體電路晶粒50以形成積體電路。例如,積體電路晶粒50包括半導體基底52,例如摻雜或未摻雜的矽,或絕緣體上半導體(SOI)基底的主動層。半導體基底52可以包括其他半導體材料,例如鍺;一種化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和/或銻化銦;合金半導體,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP;或其組合。也可以使用其他基底,例如多層或梯度基底。半導體基底52具有主動表面(例如,圖1中朝上的表面),有時稱為正面,和非主動表面(例如,圖1中朝下的表面),有時稱為背面。
裝置(由電晶體表示)54可以形成在半導體基底52的前表面。裝置54可以是主動裝置(例如電晶體、二極體等)、電容器、電阻器等。層間介電質(ILD)56位於半導體基底52的前表面上方。ILD56圍繞並可能覆蓋裝置54。ILD56可以包括由諸如磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、摻硼磷矽酸鹽玻璃(BPSG)、未摻雜矽酸鹽玻璃(USG)或並且可以使用旋塗、層壓、原子層沉積(ALD)、化學氣相沉積(CVD)等來形成。
導電插塞58延伸穿過ILD56以電性和實體耦合裝置54。例如,當裝置54是電晶體時,導電插塞58可以耦合電晶體的閘極和源極/汲極區。導電插塞58可由鎢、鈷、鎳、銅、銀、金、 鋁等或它們的組合形成。內連線結構60在ILD56和導電插塞58之上。內連線結構60與裝置54互連形成積體電路。內連線結構60可以由例如ILD56上的介電層中的金屬化圖案形成。金屬化圖案包括形成在一個或多個低k介電層中的金屬線和通孔。在一些實施例中,內連線結構60可以由電介質(例如,低k電介質材料)和導電(例如,銅)材料的交替層形成,其中通孔互連導電材料的層並且可以通過任何合適的製程(例如如沉積、鑲嵌、雙鑲嵌等)形成。內連線結構60中的金屬化圖案通過導電插塞58與裝置54電性耦合。
積體電路晶粒50更包括接墊62,例如鋁製接墊,對其進行外部連接。接墊62位於積體電路晶粒50的主動側,例如在內連線結構60中和/或在內連線結構60上。一個或多個鈍化膜64在積體電路晶粒50上,例如在內連線結構60和接墊62的部分上。在一些實施例中,鈍化膜64可以包括一層或多層氧化矽、氮化矽、氮氧化矽等或它們的組合,並且可以使用ALD、CVD等形成。開口穿過鈍化膜64延伸到接墊62。晶粒連接件66如導電柱(例如,由諸如銅的金屬形成)延伸穿過鈍化膜64中的開口,並且實體和電性耦合到相應的接墊62。晶粒連接件66可以通過例如電鍍等形成。晶粒連接件66電性耦合積體電路晶粒50的各個積體電路。
可選地,焊料區域(例如,焊球或焊料凸塊)可以設置在接墊62上。焊球可用於在積體電路晶粒50上執行晶片探針(CP)測試。可以在積體電路晶粒50上執行CP測試以確定積體電路晶粒50是否為已知良好晶片(KGD)。因此,只有作為KGD的積 體電路晶粒50經過後續處理並被封裝,而未通過CP測試的裸片不被封裝。測試後,可在後續製程步驟中移除焊料區域。
絕緣層68可能(或可能不)在積體電路晶粒50的主動側,例如在鈍化膜64和晶粒連接件66上。絕緣層68橫向包封晶粒連接件66,絕緣層68橫向與積體電路晶粒50相連。最初,晶粒連接件66可埋入於絕緣層68,使得絕緣層68的最上表面在晶粒連接件66的最上表面之上。在焊料區設置在晶粒連接件66上的一些實施例中,焊料區也可埋入於絕緣層68。或者,可以在形成絕緣層68之前移除焊料區域。在一些實施例中,絕緣層68可以包括感光材料,其可以是聚合物,例如聚苯並噁唑(PBO)、聚酰亞胺、苯並環丁烯(BCB)等,其可以使用微影罩幕圖案化。在其他實施例中,絕緣層68可以包括非感光材料,其可以是氮化物,例如氮化矽等。氧化物,例如氧化矽、磷矽玻璃(PSG)、硼矽玻璃(BSG)、摻硼磷矽玻璃(BPSG)等等,或它們的組合。例如,可以通過旋塗、層壓、CVD等形成絕緣層68。在一些實施例中,晶粒連接件66在積體電路晶粒50形成期間通過絕緣層68暴露。在一些實施例中,晶粒連接件66保持埋入在並用於封裝積體電路晶粒50的後續製程期間暴露。暴露晶粒連接件66可能會移除晶粒連接件66上可能存在的任何焊料區域。
在一些實施例中,積體電路晶粒50是包括多個半導體基底52的堆疊裝置。例如,積體電路晶粒50可以是存儲器裝置,例如混合存儲器立方體(HMC)模塊、高帶寬存儲器(HBM)模塊等,其包括多個存儲器晶粒。在這樣的實施例中,積體電路晶 粒50包括通過基底穿孔(TSV)互連的多個半導體基底52。每個半導體基底52可能(或可能不)有內連線結構60。
圖2-14、15A-15C、16A、16B、18、20-23、25-28和30示出根據一些實施例的在形成第一封裝組件100的製程期間中間步驟的俯視圖和橫截面圖。示出第一封裝組件100的第一封裝區PKG1和第二封裝區PKG2,積體電路晶粒50中的一個或多個被封裝以在封裝區PKG1和PKG2中的每一個中形成積體電路封裝。積體電路封裝也可以稱為積體扇出(InFO)封裝。第一封裝組件100也可以稱為晶圓級InFO封裝。
在圖2中,提供一個承載基底102,在承載基底102上形成一個離型層104。承載基底102可以是玻璃承載基底、陶瓷承載基底等。承載基底102可以是晶圓,這樣可以在承載基底102上同時形成多個封裝。
離型層104可以由基於聚合物的材料形成,該材料可以與承載基底102一起從將在隨後的步驟中形成的上覆結構中移除。在一些實施例中,離型層104是基於環氧樹脂的熱釋放材料,其在加熱時會失去其黏著特性,例如光熱轉換(LTHC)釋放塗層。在其他實施例中,離型層104可以是紫外線(UV)膠,當暴露於UV光時會失去其黏著特性。離型層104可以作為液體分配並固化,可以是層壓在承載基底102上的層壓膜,或者可以是類似物。離型層104的頂表面可以是水平的並且可以具有高度的平面度。
在圖3中,可以在離型層104上形成背側重佈線結構106。在所示實施例中,背側重佈線結構106包括絕緣層107和108、金屬化圖案110(有時稱為重分佈層或重分佈線)和絕緣層 112。在一些實施例中,在離型層104上形成沒有金屬化圖案的絕緣層來代替背側重佈線結構106。
絕緣層107和108可以形成在離型層104上。絕緣層107的底面可以與離型層104的頂面接觸。在一些實施例中,絕緣層107和108可以使用與上面參考圖1描述的絕緣層68類似的材料和方法形成,並且這裡不再重複描述。在一些實施例中,絕緣層107和108包括相同的材料。在其他實施例中,絕緣層107和108包括不同的材料。在替代實施例中,代替在形成背側重佈線結構106的同時形成絕緣層107,可以在將所得封裝結構與承載基底102分離之後形成絕緣層107。
金屬化圖案110可以形成在絕緣層108上。作為形成金屬化圖案110的示例,在絕緣層108上方形成晶種層。在一些實施例中,晶種層是金屬層,其可以是單層或包括由不同材料形成的多個子層的複合層。在一些實施例中,晶種層包括鈦層和鈦層上方的銅層。可以使用例如物理氣相沉積(PVD)等來形成晶種層。然後在晶種層上形成並圖案化光阻(未示出)。光阻可以通過旋塗等形成並且可以曝光以進行圖案化。光阻的圖案對應於金屬化圖案110。圖案化通過光阻形成開口以暴露晶種層。在光阻的開口中和晶種層的暴露部分上形成導電材料。導電材料可以通過電鍍形成,例如電鍍或化學鍍等。導電材料可以包括金屬,例如銅、鈦、鎢、鋁等。然後,移除光阻和未形成導電材料的晶種層部分。可以通過可接受的灰化或剝離製程移除光阻,例如使用氧電漿等。一旦移除光阻,就移除晶種層的暴露部分,例如通過使 用可接受的蝕刻製程,例如通過濕法或乾法蝕刻。晶種層和導電材料的其餘部分形成金屬化圖案110。
絕緣層112可以形成在金屬化圖案110和絕緣層108上。在一些實施例中,絕緣層112可以使用與上面參考圖1描述的絕緣層68類似的材料和方法形成,並且這裡不再重複描述。在一些實施例中,絕緣層107、108和112包括相同的材料。在其他實施例中,絕緣層107、108和112包括不同的材料。然後將絕緣層112圖案化以形成金屬化圖案110的開口114暴露部分。圖案化可以通過可接受的製程形成,例如當絕緣層112是光敏材料時通過將絕緣層112暴露於光,或者當絕緣層112是非光敏材料時通過使用例如各向異性蝕刻進行蝕刻。如果絕緣層112是感光材料,絕緣層112可以在曝光後顯影。
為了說明的目的,圖3示出具有單個金屬化圖案110的重佈線結構106。在一些實施例中,背側重佈線結構106可以包括任意數量的絕緣層和金屬化圖案。如果要形成更多的介電層和金屬化圖案,則可以重複上面討論的步驟和製程。金屬化圖案可以包括一個或多個導電構件。導電構件可以在形成金屬化圖案期間通過在下層絕緣層的表面上方和下層絕緣層的開口中形成晶種層和金屬化圖案的導電材料來形成,從而互連和電性耦合各種導電構件。
在圖4中,貫穿通孔116形成在開口114中(參見圖3)並從背側重佈線結構106的最頂部絕緣層(例如,絕緣層112)延伸。作為形成貫穿通孔116的示例,晶種層(未示出)形成在背側重佈線結構106之上,例如,在絕緣層112和金屬化圖案110 的被開口114暴露的部分上(參見圖3)。在一些實施例中,晶種層是金屬層,其可以是單層或包括由不同材料形成的多個子層的複合層。在特定實施例中,晶種層包括鈦層和鈦層上方的銅層。可以使用例如PVD等形成晶種層。在晶種層上形成並圖案化光阻。光阻可以通過旋塗等形成並且可以曝光以進行圖案化。光阻的圖案對應於導通孔。圖案化通過光阻形成開口以暴露晶種層。在光阻的開口中和晶種層的暴露部分上形成導電材料。導電材料可以通過電鍍形成,例如電鍍或化學鍍等。導電材料可以包括金屬,例如銅、鈦、鎢、鋁等。移除光阻和未形成導電材料的晶種層部分。可以通過可接受的灰化或剝離製程移除光阻,例如使用氧電漿等。一旦移除光阻,就移除晶種層的暴露部分,例如通過使用可接受的蝕刻製程,例如通過濕法或乾法蝕刻。晶種層和導電材料的剩餘部分形成貫穿通孔116。
在圖5中,積體電路晶粒50(例如,第一積體電路晶粒50A和第二積體電路晶粒50B)通過黏著層118黏附到絕緣層112。在封裝區PKG1和PKG2的每一個中都黏附所需類型和數量的積體電路晶粒50。在所示實施例中,在第一封裝區PKG1和第二封裝區PKG2中的每一個中,多個積體電路晶粒50彼此相鄰地黏附,包括第一積體電路晶粒50A和第二積體電路晶粒50B。第一積體電路晶粒50A可以是邏輯裝置,例如中央處理單元(CPU)、圖形處理單元(GPU)、晶片上系統(SoC)、微控制器等。第二積體電路晶粒50B可以是存儲器裝置,例如動態隨機存取存儲器(DRAM)裸片、靜態隨機存取存儲器(SRAM)裸片、混合存儲立方體(HMC)模塊、高帶寬存儲器(HBM)模塊或喜歡。在一 些實施例中,積體電路晶粒50A和50B可以是相同類型的晶粒,例如SoC晶粒。第一積體電路晶粒50A和第二積體電路晶粒50B可以在同一技術節點的製程中形成,也可以在不同技術節點的製程中形成。例如,第一積體電路晶粒50A可能比第二積體電路晶粒50B具有更先進的製程節點。積體電路晶粒50A和50B可以具有不同的尺寸(例如,不同的高度和/或表面積),或者可以具有相同的尺寸(例如,相同的高度和/或表面積)。第一封裝區PKG1和第二封裝區PKG2中可用於貫穿通孔116的空間可能會受到限制,特別是當積體電路晶粒50包括具有較大佔用空間的裝置(例如SoC)時。當第一封裝區PKG1和第二封裝區PKG2可用於貫穿通孔116的空間有限時,使用背側重佈線結構106可以改進互連佈置。
黏著層118位於積體電路晶粒50的背面,並將積體電路晶粒50黏附到背側重佈線結構106,例如絕緣層112。黏著層118可以是任何合適的黏著、環氧樹脂、晶片附著膜(DAF)等。黏著層118可以應用到積體電路晶粒50的背面,如果沒有使用背側重佈線結構106,可以應用在承載基底102的表面上,或者如果適用,可以應用到背側重佈線結構106的上表面。例如,黏著層118可以在單片化以分離積體電路晶粒50之前應用到積體電路晶粒50的背面。
在圖6中,包封體120形成在各種組件上和周圍。形成後,包封體120包封貫穿通孔116和積體電路晶粒50。包封體120可以是模塑料、環氧樹脂等。包封體120可以通過壓縮模塑、傳遞模塑等來應用,並且可以形成在承載基底102之上,使得貫穿 通孔116和/或積體電路晶粒50被埋入或覆蓋。包封體120進一步形成在積體電路晶粒50之間的間隙區域中。包封體120可以液體或半液體形式應用,然後固化。
在圖7中,對包封體120執行平面化製程以暴露貫穿通孔116和晶粒連接件66。平坦化製程還可以移除貫穿通孔116、絕緣層68和/或晶粒連接件66的材料,直到暴露出晶粒連接件66和貫穿通孔116。在執行平坦化製程之後,貫穿通孔116、晶粒連接件66、絕緣層68和包封體120的頂表面在平坦化製程的製程變化中基本共面或水平。平坦化製程可以是例如化學機械拋光(CMP)、研磨製程、蝕刻製程等。在一些實施例中,例如,如果貫穿通孔116和/或晶粒連接件66已經暴露,則可以省略平坦化製程。
在圖8-11中,前側重佈線結構122(見圖11)形成在包封體120、貫穿通孔116和積體電路晶粒50上。前側重佈線結構122包括絕緣層124、128、132、136;以及金屬化圖案126、130和134。金屬化圖案也可以稱為重分佈層或重分佈線。作為示例,前側重佈線結構122顯示為具有三層金屬化圖案。在前側重佈線結構122中可以形成更多或更少的絕緣層和金屬化圖案。如果要形成較少的絕緣層和金屬化圖案,則可以省略下面討論的步驟和製程。如果要形成更多的絕緣層和金屬化圖案,則可以重複下面討論的步驟和製程。
在圖8中,絕緣層124沉積在包封體120、貫穿通孔116和晶粒連接件66上。在一些實施例中,絕緣層124由光敏材料形成,該光敏材料可以是聚合物,例如PBO、聚酰亞胺、BCB等, 其可以使用微影罩幕圖案化。絕緣層124可以通過旋塗、層壓、CVD等或其組合形成。然後對絕緣層124進行圖案化。圖案化形成開口暴露部分貫穿通孔116和晶粒連接件66。圖案化可以通過可接受的製程進行,例如當絕緣層124是光敏材料時通過將絕緣層124曝光和顯影,或者通過使用例如各向異性蝕刻的蝕刻。
然後形成金屬化圖案126。金屬化圖案126包括沿著絕緣層124的主表面延伸並延伸通過絕緣層124以實體和電性耦合到貫穿通孔116和積體電路晶粒50的導電構件。作為形成金屬化圖案126的示例,晶種層形成在絕緣層124上方並且在開口中延伸通過絕緣層124。在一些實施例中,晶種層是金屬層,其可以是單層或包括由不同材料形成的多個子層的複合層。在一些實施例中,晶種層包括鈦層和鈦層上方的銅層。可以使用例如PVD等形成晶種層。然後在晶種層上形成和圖案化光阻。光阻可以通過旋塗等形成並且可以曝光以進行圖案化。光阻的圖案對應於金屬化圖案126。圖案化通過光阻形成開口以暴露晶種層。然後在光阻的開口中和晶種層的暴露部分上形成導電材料。導電材料可以通過電鍍形成,例如電鍍或化學鍍等。導電材料可以包括金屬,例如銅、鈦、鎢、鋁等。導電材料和晶種層的下面部分的組合形成金屬化圖案126。移除光阻和未形成導電材料的晶種層部分。可以通過可接受的灰化或剝離製程移除光阻,例如使用氧電漿等。一旦移除光阻,就移除晶種層的暴露部分,例如通過使用可接受的蝕刻製程,例如通過濕法或乾法蝕刻。
在圖9中,絕緣層128沉積在金屬化圖案126和絕緣層124上。絕緣層128可以以與絕緣層124相似的方式和相似的材料 形成。然後形成金屬化圖案130。金屬化圖案130包括在絕緣層128的主表面上並沿其延伸的部分。金屬化圖案130更包括延伸穿過絕緣層128以實體和電性耦合金屬化圖案126的部分。金屬化圖案130可以以與金屬化圖案126相似的方式和相似的材料形成。在一些實施例中,金屬化圖案130具有與金屬化圖案126不同的尺寸。例如,金屬化圖案130的導電線和/或通孔可以比金屬化圖案126的導電線和/或通孔更寬或更厚。此外,金屬化圖案130可以形成為比金屬化圖案126更大的間距。
在圖10中,絕緣層132沉積在金屬化圖案130和絕緣層128上。絕緣層132可以以與絕緣層124相似的方式和相似的材料形成。然後形成金屬化圖案134。金屬化圖案134包括在絕緣層132的主表面上並沿其延伸的部分。金屬化圖案134更包括延伸穿過絕緣層132以實體和電性耦合金屬化圖案130的部分。金屬化圖案134可以以與金屬化圖案126相似的方式和相似的材料形成。金屬化圖案134是前側重佈線結構122中最上面的金屬化圖案。因此,前側重佈線結構122的所有中間金屬化圖案(例如,金屬化圖案126和130)都設置在金屬化圖案134和積體電路晶粒50之間。在一些實施例中,金屬化圖案134具有與金屬化圖案126和130不同的尺寸。例如,金屬化圖案134的導電線和/或通孔可以比金屬化圖案126和130的導電線和/或通孔更寬或更厚。此外,金屬化圖案134可以形成為比金屬化圖案130更大的間距。
在圖11中,絕緣層136沉積在金屬化圖案134和絕緣層132上。絕緣層136可以以與絕緣層124相似的方式和相似的材料形成。絕緣層136是前側重佈線結構122中最上面的絕緣層。 因此,前側重佈線結構122的所有金屬化圖案(例如,金屬化圖案126、130和134)都設置在絕緣層136和積體電路晶粒50之間。此外,前側重佈線結構122的所有中間絕緣層(例如,絕緣層124、128、132)都設置在絕緣層136和積體電路晶粒50之間。
在圖12中,形成凸塊底金屬138用於外部連接到前側重佈線結構122。凸塊底金屬138具有在絕緣層136主表面上並沿其主表面延伸的凸塊部分,並且具有延伸穿過絕緣層136以實體和電性耦合金屬化圖案134的通孔部分。結果,凸塊底金屬138電性耦合到貫穿通孔116和積體電路晶粒50。在一些實施例中,凸塊底金屬138包括三層導電材料,例如鈦層、銅層和鎳層。材料和層的其他佈置,例如鉻/鉻-銅合金/銅/金的佈置、鈦/鈦鎢/銅的佈置或銅/鎳/金的佈置,可用於形成凸塊底金屬138。可用於凸塊底金屬138的任何合適的材料或材料層完全旨在包括在當前申請的範圍內。在一些實施例中,凸塊底金屬138具有與金屬化圖案126、130和134不同的尺寸。
在圖13中,導電連接件150在凸塊底金屬138上形成。導電連接件150可以是球柵陣列(BGA)連接器、焊球、金屬柱、可控塌陷晶片連接(C4)凸塊、微凸塊、化學鍍鎳-化學鍍鈀浸金技術(ENEPIG)形成的凸塊等。導電連接件150可以包括諸如焊料、銅、鋁、金、鎳、銀、鈀、錫等或它們的組合的導電材料。在一些實施例中,導電連接件150通過最初通過蒸發、電鍍、印刷、焊料轉移、球放置等形成焊料層而形成。一旦在結構上形成一層焊料,就可以進行回流焊以將材料成型為所需的凸塊形狀。在另一個實施例中,導電連接件150包括通過濺射、印刷、電鍍、 化學鍍、CVD等形成的金屬柱(例如銅柱)。金屬柱可以是無焊料的並且具有基本上垂直的側壁。在一些實施例中,金屬蓋層形成在金屬柱的頂部。金屬蓋層可以包括鎳、錫、錫-鉛、金、銀、鈀、銦、鎳-鈀-金、鎳-金等或它們的組合,並且可以通過電鍍製程形成。
在圖14中,執行承載基底分離以將承載基底102從背側重佈線結構106(例如絕緣層107)分離(或“剝離”)。根據一些實施例,剝離包括在離型層104上投射諸如雷射或UV光的光,使得離型層104在光的熱量下分解並且可以移除承載基底102。然後將該結構翻轉並放置在膠帶上(未示出)。
圖15A-15C示出根據一些實施例在翻轉並放置在膠帶(未示出)上之後的第一封裝組件100。圖15A示出包括封裝區PKG1到PKGn的第一封裝組件100的俯視圖。圖15B示出第一封裝組件100的封裝區PKG1至PKGn的俯視圖。圖15C示出沿圖15A和15B中的線AA’的第一封裝組件100的橫截面圖。
參考圖15A-15C,在一些實施例中,第一封裝組件100是晶圓級結構並且包括多個封裝區PKG1至PKGn,其中n在150和600之間。在一些實施例中,封裝區PKG1和PKG2中的每一個的金屬化圖案110包括接墊PAD1到PADm,其中m在200和600之間。
在所示實施例中,在形成背面內連線結構106時同時形成絕緣層107,如上文參考圖3所述。在替代實施例中,在形成背側重佈線結構106時不形成絕緣層107。在這樣的實施例中,絕緣 層107可以在如上面參考圖14所述的將承載基底102從背面內連線結構106剝離之後形成在背側重佈線結構106上。
圖16A和16B示出在圖案化絕緣層107和108以分別將接墊PAD1暴露於PADm以形成開口OP1到OPm之後第一封裝組件100的俯視圖和橫截面圖。圖16A示出第一封裝組件100的封裝區PKG1到PKGn的俯視圖。圖16B示出沿圖16A中的線AA’的第一封裝組件100的橫截面圖。在一些實施例中,開口OP1至OPm可以使用如下文參考圖17-23描述的雷射鑽孔製程形成,並且在那時提供詳細描述。在其他實施例中,開口OP1至OPm可以使用如下文參考圖24-28描述的雷射鑽孔製程形成,並且在那時提供詳細描述。在又一實施例中,開口OP1至OPm可以使用如下文參照圖29描述的雷射鑽孔製程形成,並且在那時提供詳細描述。
圖17是說明根據一些實施例在第一封裝組件100上執行的雷射鑽孔製程200的流程圖。圖18示出根據一些實施例在執行雷射鑽孔製程200期間中間步驟的俯視圖。參考圖17和18,雷射鑽孔製程200從步驟202開始,此時雷射鑽孔製程在第一封裝組件100的第一封裝區PKG1上執行並且在第一封裝區PKG1中形成開口OP1到OPm(參見圖16A)。在步驟204中,在第一封裝組件100的第二封裝區PKG2上執行雷射鑽孔製程,並在第二封裝區PKG2中形成開口OP1到OPm(參見圖16A)。隨後,對第一封裝組件100的封裝區PKG2至PKGn-1進行雷射鑽孔製程,並在封裝區PKG2至PKGn-1中形成開口OP1至OPm(見圖16A)。在步驟206中,在第一封裝組件100的最後封裝區PKGn上執行雷射鑽 孔製程,並在最後封裝區PKGn中形成開口OP1到OPm(參見圖16A)。
在一些實施例中,雷射鑽孔製程200在由箭頭154定義的方向上沿路徑152在第一封裝組件100上執行。在所示實施例中,路徑152跟隨第一封裝組件100中封裝區的每一列。在其他實施例中,路徑152可以遵循以第一封裝區PGK1開始、以最後封裝區PGKn結束並以任何次序通過所有中間封裝區。
圖19是說明根據一些實施例在第一封裝組件100的封裝區(例如封裝區PKG1至PKGn中的任一個)上執行的雷射鑽孔製程300的流程圖。圖20示出根據一些實施例在執行雷射鑽孔製程300期間中間步驟的俯視圖。圖21-23分別示出根據雷射鑽孔製程300在接墊PAD1至PADm上方形成開口OP1至OPm的製程期間中間步驟的橫截面圖。在一些實施例中,雷射鑽孔製程300可用於實施雷射鑽孔製程200的步驟202-206(參見圖17)。
參考圖19和20,在一些實施例中,通過執行多個雷射順序3021到302k,在封裝區(例如封裝區PKG1到PKGn中的任何一個)上執行雷射鑽孔製程300,其中順序k的數量介於2和50。在一些實施例中,雷射順序3021至302k中的每一個在由箭頭158定義的方向上沿路徑156在封裝區上執行。在所示實施例中,路徑156跟隨封裝區中接墊的每一列(例如接墊PAD1到PADm)。在其他實施例中,路徑156可以遵循以第一接墊PAD1開始、以最後接墊PADm結束並以任何次序通過所有中間接墊。
參考圖19、20和21,在一些實施例中,第一雷射順序3021從步驟304開始,此時在封裝區的接墊PAD1上方的第一所需 位置上執行第一雷射發射。在一些實施例中,第一雷射發射(laser shot)由雷射光束(laser beam)1601執行。在一些實施例中,由雷射光束1601產生的雷射點(laser spot)164的中心在平面圖中與接墊PAD1的中心對齊並且照射第一所需位置。第一雷射發射移除第一所需位置的絕緣層107和108的一部分,並在不暴露接墊PAD1的情況下形成開口OP1。在一些實施例中,雷射光束1601具有由曲線162示出的高斯強度分佈,使得雷射點164包括被低強度區域168包圍的高強度區域166。由於雷射光束1601的強度不均勻,因此開口OP1具有傾斜的側壁。
在一些實施例中,第一雷射發射在接墊PAD2到PADm-1上以與上面參考步驟304描述的第一接墊PAD1上進行第一雷射發射類似的方式進行,並且這裡不再重複描述。隨後,在步驟306中,在封裝區的最後接墊PADm上方的第一所需位置上執行第一雷射發射。在一些實施例中,第一雷射發射由雷射光束1601執行。在一些實施例中,由雷射光束1601產生的雷射點164的中心與平面圖中的最後接墊PADm的中心對齊並照射第一所需位置。第一雷射發射移除第一所需位置的絕緣層107和108的一部分,並形成開口OPm,而不會暴露最後接墊PADm。由於雷射光束1601的強度不均勻,開口OPm具有傾斜的側壁。
參考圖19、20和22,在執行第一雷射順序3021之後,在第一封裝組件100的封裝區上執行第二雷射順序3022。在一些實施例中,第二雷射順序3022從步驟308開始,當在封裝區的接墊PAD1上方的第二所需位置上執行第二雷射發射時,第二所需位置與第一雷射順序3021的步驟304的第一所需位置相同。在一些 實施例中,第二雷射發射由雷射光束1602執行。雷射光束1602可以與雷射光束1601類似,在此不再贅述。在一些實施例中,由雷射光束1602產生的雷射點164的中心在平面圖中與接墊PAD1的中心對齊並且照射第二所需位置。第二雷射發射移除第二所需位置中的絕緣層107和108的一部分,並將開口OP1向接墊PAD1延伸而不暴露接墊PAD1。由於雷射光束1602的強度不均勻,擴展的開口OP1具有傾斜的側壁。
在一些實施例中,第二雷射發射在接墊PAD2到PADm-1上以與上面參考步驟308描述的第一接墊PAD1上進行第二雷射發射類似的方式進行,並且這裡不再重複描述。隨後,在步驟310中,在封裝區的最後接墊PADm上的第二所需位置上執行第二雷射發射,其中第二所需位置與第一雷射順序3021的步驟306的第一所需位置相同。在一些實施例中,第二雷射發射由雷射光束1602執行。在一些實施例中,由雷射光束1602產生的雷射點164的中心與平面圖中的最後接墊PADm的中心對齊並照射第二所需位置。第二雷射發射移除第二所需位置中的絕緣層107和108的一部分,並將開口OPm向最後接墊PADm延伸,而不暴露最後接墊PADm。由於雷射光束1602的強度不均勻,擴展的開口OPm具有傾斜的側壁。
在一些實施例中,在執行第二雷射順序3022之後,在第一封裝組件100的封裝區上執行附加雷射順序,直到在封裝區上執行最後雷射順序302k。附加雷射順序與第一雷射順序3021類似,在此不再贅述。附加雷射順序分別移除部分絕緣層107和 108,並將開口OP1到OPm分別向接墊PAD1到PADm延伸,而不將接墊PAD1暴露到PADm
參考圖19、20和23,在一些實施例中,最後雷射順序302k從步驟312開始,當最後雷射發射在封裝區的第一接墊PAD1上的最後所需位置上執行時,最後所需位置與第一雷射順序3021的步驟304的第一所需位置相同。在一些實施例中,最後雷射發射由雷射光束160k執行。雷射光束160k可以與雷射光束1601類似,在此不再贅述。在一些實施例中,由雷射光束160k產生的雷射點164的中心在平面圖中與第一接墊PAD1的中心對齊並照射最後所需的位置。最後一次雷射發射在最後所需位置移除絕緣層107和108的一部分,將開口OP1向第一接墊PAD1延伸並暴露第一接墊PAD1。由於雷射光束160k的強度不均勻,擴展的開口OP1具有傾斜的側壁。
在一些實施例中,在接墊PAD2到PADm-1上執行最後雷射發射的方式與上面參考步驟312描述的在第一接墊PAD1上執行的最後雷射發射類似的方式,並且在此不再重複描述。隨後,在步驟314中,在封裝區的最後接墊PADm上的最後所需位置上執行最後雷射發射,最後所需位置與第一雷射順序3021的步驟306的第一所需位置相同。在一些實施例中,最後雷射發射由雷射光束160k執行。在一些實施例中,由雷射光束160k產生的雷射點164的中心與平面圖中的最後接墊PADm的中心對齊並照射最後所需位置。最後一次雷射發射在最後所需位置移除絕緣層107和108的一部分,將開口OPm向最後接墊PADm延伸並暴露最後接墊 PADm。由於雷射光束160k的強度不均勻,擴展的開口OPm具有傾斜的側壁。
如上面更詳細地描述的,在雷射鑽孔製程300期間,在封裝區的相同接墊上執行的每個雷射發射在相同位置上執行。這種雷射發射模式也可以稱為點模式(dot mode)。此外,在雷射鑽孔製程300期間,在接墊或封裝區上執行的連續雷射發射之間的時間增加,這允許熱量在連續雷射發射之間消散。因此,減少接墊PAD1至PADm上的熱量積累,這減少接墊PAD1至PADm上的枝晶形成,並減少或避免接墊PAD1至PADm與相鄰的絕緣層108和112之間的分層。在一些實施例中,連續雷射發射之間的時間在約0.1秒和約1秒之間。在一些實施例中,雷射鑽孔製程300(參見圖19)的雷射發射也可以稱為雷射脈衝。在一些實施例中,每個雷射發射具有約3W和約10W之間的功率。
進一步參考圖23,開口OP1至OPm中的每一個具有高度H1、在開口OP1至OPm中的每一個的頂部的寬度W1和在開口OP1至OPm的每一個的底部的寬度W2。開口OP1至OPm中的每一個的側壁和底部形成角θ1。在一些實施例中,高度H1在約10μm和約80μm之間。在一些實施例中,寬度W1在約200μm和約330μm之間。在一些實施例中,寬度W2在約200μm和約270μm之間。在一些實施例中,寬度W1與寬度W2之比(W1/W2)在約1與約1.5之間。在一些實施例中,寬度W1與高度H1的比率(W1/H1)在約3和約8之間。在一些實施例中,寬度W2與高度H1的比率(W2/H1)在約2.5和約27之間。在一些實施例中,角θ1在大約90度和大約150度之間。
圖24是圖示根據一些實施例的在第一封裝組件100的封裝區(例如封裝區PKG1到PKGn中的任何一個)上執行的雷射鑽孔製程400的流程圖。圖25示出根據一些實施例在執行雷射鑽孔製程400期間中間步驟的俯視圖。圖26-28示出根據雷射鑽孔製程400在接墊PAD1至PADm上方形成開口OP1至OPm的製程期間中間步驟的橫截面圖。在一些實施例中,雷射鑽孔製程400可用於實施雷射鑽孔製程200的步驟202-206(參見圖17)。
參考圖24和25,在一些實施例中,通過執行多個雷射順序4021到402x在封裝區(例如封裝區PKG1到PKGn中的任何一個)上執行雷射鑽孔製程400,其中雷射順序的數量x為3到50之間。在一些實施例中,雷射順序4021至402x中的每一個在封裝區上沿路徑執行,類似於上面參考圖20描述的路徑156,並且在此不再重複描述。在其他實施例中,路徑可以遵循以第一接墊PAD1開始、以最後接墊PADm結束並以任何次序通過所有中間接墊。
參考圖24、25和26,在一些實施例中,第一雷射順序4021以步驟404開始,此時在封裝區的第一接墊PAD1上方的第一所需位置上執行第一雷射發射。在一些實施例中,第一雷射發射由雷射光束1601執行。在一些實施例中,雷射光束1601被定位成使得由雷射光束1601產生的整個雷射點S11在第一接墊PAD1的周邊內並且在平面圖中與第一接墊PAD1完全重疊。在一些實施例中,雷射光束1601的雷射點S11的邊緣在平面圖中與第一接墊PAD1的邊緣重合。雷射點S11照射第一所需位置。第一雷射發射移除第一所需位置的絕緣層107和108的一部分,並在不暴露第 一接墊PAD1的情況下形成開口OP1。由於雷射光束1601的強度不均勻,因此開口OP1具有傾斜的側壁。
在一些實施例中,第一雷射發射在接墊PAD2到PADm-1上方以與上面參考步驟404描述的第一接墊PAD1上進行第一雷射發射類似的方式進行,並且這裡不再重複描述。隨後,在步驟406中,在封裝區的最後接墊PADm上方的第一所需位置上執行第一雷射發射。在一些實施例中,第一雷射發射由雷射光束1601執行。在一些實施例中,雷射光束1601被定位為使得由雷射光束1601產生的整個雷射點Sm1在最後接墊PADm的周邊內並且在平面圖中與最後接墊PADm完全重疊。在一些實施例中,雷射光束1601的雷射點Sm1的邊緣與平面圖中最後接墊PADm的邊緣重合。雷射點Sm1照射第一所需位置。第一雷射發射移除第一所需位置的絕緣層107和108的一部分,並形成開口OPm,而不會暴露最後接墊PADm。由於雷射光束1601的強度不均勻,開口OPm具有傾斜的側壁。
參考圖24、25和27,在執行第一雷射順序4021之後,在第一封裝組件100的封裝區上執行第二雷射順序4022。在一些實施例中,第二雷射順序4022以步驟408開始,當在封裝區的第一接墊PAD1上方的第二所需位置上執行第二雷射發射時,第二所需位置不同於第一雷射順序4021的步驟404的第一所需位置。在一些實施例中,第二雷射發射由雷射光束1602執行。在一些實施例中,雷射光束1602被定位成使得由雷射光束1602產生的整個雷射點S12在第一接墊PAD1的周邊內並且在平面圖中與第一接墊PAD1完全重疊。在一些實施例中,雷射光束1602的雷射點S12 的邊緣在平面圖中與第一接墊PAD1的邊緣重合。雷射點S12照射第二所需位置。在一些實施例中,雷射光束1602的雷射點S12與雷射光束1601的雷射點S11部分重疊。在一些實施例中,雷射點S12的中心相對於雷射點S11的中心沿順時針方向沿著圓170移動。在平面圖中,圓170的中心與第一PAD1的中心一致。第二雷射發射移除第二所需位置中的絕緣層107和108的一部分,並將開口OP1向第一接墊PAD1延伸而不暴露第一接墊PAD1。由於雷射光束1602的強度不均勻,擴展的開口OP1具有傾斜的側壁。
在一些實施例中,第二雷射發射在接墊PAD2到PADm-1上以與上面參考步驟408描述的第一接墊PAD1上進行第二雷射發射類似的方式進行,並且在此不再重複描述。隨後,在步驟410中,在封裝區的最後接墊PADm上方的第二所需位置上執行第二雷射發射,其中第二所需位置不同於第一雷射順序4021的步驟406的第一所需位置。在一些實施例中,第二雷射發射由雷射光束1602執行。在一些實施例中,雷射光束1602被定位為使得由雷射光束1602產生的整個雷射點Sm2在最後接墊PADm的周邊內並且在平面圖中與最後接墊PADm完全重疊。在一些實施例中,雷射光束1602的雷射點Sm2的邊緣與平面圖中最後接墊PADm的邊緣重合。雷射點Sm2照射第二所需位置。在一些實施例中,雷射光束1602的雷射點Sm2與雷射光束1601的雷射點Sm1部分重疊。在一些實施例中,雷射點Sm2的中心相對於雷射點Sm1的中心沿著圓170在順時針方向上偏移。第二雷射發射移除第二所需位置中的絕緣層107和108的一部分,並將開口OPm向最後接墊PADm 延伸,而不暴露最後接墊PADm。由於雷射光束1602的強度不均勻,擴展的開口OPm具有傾斜的側壁。
在一些實施例中,在執行第二雷射順序4022之後,在第一封裝組件100的封裝區上執行附加雷射順序,直到在封裝區上執行最後雷射順序402x。附加雷射順序類似於第一雷射順序4021,區別在於每個雷射順序從不同於先前雷射順序的先前位置的新位置移除絕緣層107和108的一部分。在一些實施例中,新位置的中心相對於先前位置的中心沿順時針方向沿著圓170移動。附加雷射順序分別移除部分絕緣層107和108,並將開口OP1到OPm分別向接墊PAD1到PADm延伸,而不將接墊PAD1暴露到PADm
參考圖24、25和28,在一些實施例中,最後雷射順序402x以步驟412開始,當最後雷射發射在封裝區的第一接墊PAD1上方的最後所需位置上執行時,最後所需位置不同於所需位置先前雷射順序的位置。在一些實施例中,最後雷射發射由雷射光束160x執行。在一些實施例中,雷射光束1602被定位成使得由雷射光束160x產生的整個雷射點S1x在第一接墊PAD1的周邊內並且在平面圖中與第一接墊PAD1完全重疊。在一些實施例中,雷射光束160x的雷射點S1x的邊緣在平面圖中與第一接墊PAD1的邊緣重合。雷射點S1x照射最後所需位置。在一些實施例中,雷射光束160x的雷射點S1x與雷射光束1601的雷射點S11部分重疊。在一些實施例中,雷射點S1x的中心相對於雷射點S11的中心沿著圓170以逆時針方向偏移。最後一次雷射發射在最後所需位置移除絕緣層107和108的一部分,將開口OP1向第一接墊PAD1延伸 並暴露第一接墊PAD1。由於雷射光束160x的強度不均勻,延伸的開口OP1具有傾斜的側壁。
在一些實施例中,最後雷射發射在接墊PAD2到PADm-1上以與上面參考步驟412描述的在第一接墊PAD1上執行的最後雷射發射類似的方式執行,並且這裡不再重複描述。隨後,在步驟414中,在封裝區的最後接墊PADm上方的最後所需位置上執行最後雷射發射,最後所需位置不同於先前雷射順序的所需位置。在一些實施例中,最後雷射發射由雷射光束160x執行。在一些實施例中,雷射光束160x被定位成使得由雷射光束160x產生的整個雷射點Smx在最後接墊PADm的周邊內並且在平面圖中與最後接墊PADm完全重疊。在一些實施例中,雷射光束160x的雷射點Smx的邊緣與平面圖中最後接墊PADm的邊緣重合。雷射點Smx照射最後所需位置。在一些實施例中,雷射光束160x的雷射點Smx與雷射光束1601的雷射點Sm1部分重疊。在一些實施例中,雷射點Smx的中心相對於雷射點Sm1的中心沿著圓170在逆時針方向上偏移。最後一次雷射發射在最後所需位置移除絕緣層107和108的一部分,將開口OPm向最後接墊PADm延伸並暴露最後接墊PADm。由於雷射光束160x的強度不均勻,擴展的開口OPm具有傾斜的側壁。
在一些實施例中,雷射鑽孔製程400(參見圖24)的雷射順序的數量k小於雷射鑽孔製程300(參見圖19)的雷射順序的數量n。因此,雷射鑽孔製程400比雷射鑽孔製程300更快地形成開口。在一些實施例中,通過使用雷射鑽孔製程400,與雷射鑽孔製程300相比,晶圓每小時(WPH)產量提高約15%。
此外,在雷射鑽孔製程400期間,在接墊或封裝區上執行的連續雷射發射之間的時間增加,這允許熱量在連續雷射發射之間消散。因此,減少接墊PAD1至PADm上的熱量積累,這減少接墊PAD1至PADm上的枝晶形成,並減少或避免接墊PAD1至PADm與相鄰的絕緣層108和112之間的分層。在一些實施例中,連續雷射發射之間的時間在約0.1秒和約1秒之間。在一些實施例中,雷射鑽孔製程400(參見圖24)的雷射發射也可以稱為雷射脈衝。在一些實施例中,每個雷射發射具有約3W和約10W之間的功率。
如上面更詳細地描述的,在雷射鑽孔過程400期間,在封裝區的相同接墊上執行的每個雷射發射在不同的位置上執行,使得每個位置的中心相對於鑽孔的中心偏移。在順時針方向上沿著圓170的先前位置,並且使得雷射發射覆蓋形成開口的預期位置的總區域。這種雷射發射模式也可以稱為螺旋模式。在其他實施例中,可以使用任何雷射發射模式,只要雷射發射覆蓋形成開口的預期位置的總區域即可。例如,在隨機模式下,針對每個接墊在隨機位置進行雷射發射,使得雷射發射覆蓋形成開口的預期位置的總區域。
進一步參考圖28,開口OP1到OPm中的每一個具有高度H2,寬度W3在開口OP1到OPm的每一個的頂部,寬度W4在開口OP1到OPm的每一個的底部。開口OP1至OPm中的每一個的側壁和底部形成角θ2。在一些實施例中,高度H2在約10μm和約80μm之間。在一些實施例中,寬度W3在約200μm和約380μm之間。在一些實施例中,寬度W4在約200μm和約270μm之間。 在一些實施例中,寬度W3與寬度W4的比率(W3/W4)在約1.1和約1.7之間。在一些實施例中,寬度W3與高度H2之比(W3/H2)在約5和約8之間。在一些實施例中,寬度W4與高度H2之比(W4/H2)在約2.5至約27之間。在一些實施例中,角θ2在大約130度和大約160度之間。
圖29是說明根據一些實施例的在第一封裝組件100(見圖15A-15C)上執行的雷射鑽孔製程500的流程圖。在一些實施例中,雷射鑽孔製程500從步驟502開始,此時在第一封裝組件100的所有封裝區PKG1至PKGn上執行第一雷射順序。在一些實施例中,第一雷射順序可以包括在所有封裝區PKG1到PKGn上連續執行的雷射鑽孔製程300(參見圖19)的第一雷射順序3021。在步驟504中,對第一封裝組件100的所有封裝區PKG1到PKGn執行第二雷射順序。在一些實施例中,第二雷射順序可以包括在所有封裝區PKG1到PKGn上連續執行的雷射鑽孔製程300(參見圖19)的第二雷射順序3022
在一些實施例中,在執行第二雷射順序之後,對第一封裝組件100的所有封裝區PKG1到PKGn執行附加雷射順序。在一些實施例中,附加雷射順序可以類似於步驟502的第一雷射順序,在此不再贅述。隨後,在步驟506中,對第一封裝組件100的所有封裝區PKG1到PKGn執行最後雷射順序。在一些實施例中,最後雷射順序可以包括在所有封裝區PKG1到PKGn上連續執行的雷射鑽孔製程300(參見圖19)的最後雷射順序302k
進一步參考圖29,在備選實施例中,步驟502的第一雷射順序可以包括在第一封裝組件100的所有封裝區PKG1至PKGn 上連續執行的雷射鑽孔製程400(參見圖24)的第一雷射順序4021。步驟504的第二雷射順序可以包括在第一封裝組件100的所有封裝區PKG1到PKGn上連續執行的雷射鑽孔製程400(參見圖24)的第二雷射順序4022。步驟506的最後雷射順序可以包括在第一封裝組件100的所有封裝區PKG1到PKGn上連續執行的雷射鑽孔製程400(參見圖24)的最後雷射順序402x。此外,在第二雷射順序和最後雷射順序之間執行的雷射鑽孔製程500的中間雷射順序可以類似於在第二雷射順序4022和最後雷射順序402x的之間執行的雷射鑽孔製程400的中間雷射順序。
在圖30中,導電連接件172形成在開口OP1到OPm(參見圖16A和16B)中以接觸金屬化圖案110的接墊PAD1到PADm。在一些實施例中,導電連接件172包括焊劑並且在焊劑浸漬製程中形成。在一些實施例中,導電連接件172包括諸如焊膏、銀膏等的導電膏,並且在印刷製程中分配。在一些實施例中,導電連接件172以類似於導電連接件150的方式形成,並且可以由與導電連接件150類似的材料形成。在所示實施例中,導電連接件172完全填充開口OP1至OPm並在絕緣層107的頂面上方延伸。在其他實施例中,導電連接件172部分地填充開口OP1至OPm,使得導電連接件172的頂部低於絕緣層107的頂表面並且導電連接件172的高度小於開口OP1至OPm的高度。圖31示出這種封裝組件。
圖32和33示出根據一些實施例的裝置堆疊的形成和實施。裝置堆疊由形成在第一封裝組件100中的積體電路封裝形成。裝置堆疊也可以稱為封裝疊層(PoP)結構。
在圖32中,第二封裝組件600耦合到第一封裝組件100。第二封裝組件600中的一個耦合在每個封裝區中(例如封裝區PKG1和封裝區PKG2)並在第一封裝組件100的每個封裝區中形成積體電路裝置堆疊。
第二封裝組件600包括例如基底602和耦合到基底602的一個或多個堆疊晶粒610(例如610A和610B)。儘管示出一組堆疊晶粒610(610A和610B),但在其他實施例中,多個堆疊晶粒610(每個具有一個或多個堆疊晶粒)可以並排佈置,耦合到基底602的同一表面。基底602可以由諸如矽、鍺、金剛石等的半導體材料製成。在一些實施例中,也可以使用諸如矽鍺、碳化矽、砷化鎵、砷化銦、磷化銦、碳化矽鍺、磷化砷化鎵、磷化鎵銦、這些的組合等的化合物材料。另外,基底602可以是絕緣體上矽(SOI)基底。通常,SOI基底包括一層半導體材料,例如磊晶矽、鍺、矽鍺、SOI、絕緣體上矽鍺(SGOI)或其組合。在一個替代實施例中,基底602是基於絕緣芯,例如玻璃纖維增強樹脂芯。一種示例芯材是玻璃纖維樹脂,例如FR4。核心材料的替代品包括雙馬來醯亞胺三嗪(BT)樹脂,或者其他印刷電路板(PCB)材料或薄膜。基底602可以使用堆積膜,例如味之素堆積膜(ABF)或其他層壓材料。
基底602可以包括主動和被動裝置(未示出)。各種各樣的裝置,例如電晶體、電容器、電阻器、它們的組合等,可用於生成第二封裝組件600設計的結構和功能要求。裝置可以使用任何合適的方法形成。
基底602還可以包括金屬化層(未示出)和導通孔608。金屬化層可以形成在主動和被動裝置之上,並且被設計成連接各種裝置以形成功能電路。金屬化層可以由電介質材料(例如低k電介質材料)和導電材料(例如銅)的交替層形成,其中通孔互連導電材料層並且可以通過任何合適的製程(例如沉積、鑲嵌、雙鑲嵌等)。在一些實施例中,基底602基本上沒有主動和被動裝置。
基底602可以在基底602的第一側有接合接墊604以耦合到堆疊晶粒610,在基底602的第二側有接合接墊606,第二側與基底602的第一側相對,以耦合到導電連接件172。在一些實施例中,接合接墊604和606通過在基底602的第一和第二側上的介電層(未示出)中形成凹槽(未示出)來形成。可以形成凹槽以允許接合接墊604和606嵌入到介電層中。在其他實施例中,凹槽被省略,因為接合接墊604和606可以形成在介電層上。在一些實施例中,接合接墊604和606包括由銅、鈦、鎳、金、鈀等或其組合製成的薄晶種層(未示出)。接合接墊604和606的導電材料可以沉積在薄晶種層上。導電材料可以通過電化學鍍製程、化學鍍製程、CVD、原子層沉積(ALD)、PVD等或它們的組合形成。在一個實施例中,接合接墊604和606的導電材料為銅、鎢、鋁、銀、金等或它們的組合。
在一些實施例中,接合接墊604和接合接墊606是包括三層導電材料的凸塊底金屬,例如鈦層、銅層和鎳層。材料和層的其他佈置,例如鉻/鉻-銅合金/銅/金的佈置、鈦/鈦鎢/銅的佈置或銅/鎳/金的佈置,可用於形成接合接墊604和606。可用於接合 接墊604和606的任何合適的材料或材料層完全旨在包括在當前申請的範圍內。在一些實施例中,導通孔608延伸穿過基底602並且將接合接墊604中的至少一個耦合到接合接墊606中的至少一個。
在所示實施例中,堆疊晶粒610通過金屬線接合612耦合到基底602,儘管可以使用其他連接,例如導電凸塊。在一個實施例中,堆疊晶粒610是堆疊的存儲器晶粒。例如,堆疊晶粒610可以是諸如低功率(LP)雙倍數據速率(DDR)存儲器模塊的存儲器晶粒,諸如LPDDR1、LPDDR2、LPDDR3、LPDDR4或類似存儲器模塊。
堆疊晶粒610和金屬線接合612可以被模封材料614包封。模封材料614可以在堆疊晶粒610和金屬線接合612上模製,例如,使用壓縮模製。在一些實施例中,模封材料614是模塑料、聚合物、環氧樹脂、氧化矽填充材料等或它們的組合。可執行固化製程以固化模封材料614。固化製程可以是熱固化、UV固化等或它們的組合。
在一些實施例中,堆疊晶粒610和金屬線接合612被包封在模封材料614中,並且在模封材料614固化之後,進行平坦化步驟,例如研磨,以移除模封材料614的多餘部分並為第二封裝組件600提供基本平坦的表面。
第二封裝組件600形成後,第二封裝組件600通過背側重佈線結構106的導電連接件172、接合接墊606、金屬化圖案110與第一封裝組件100進行機械和電性接合。在一些實施例中,堆疊晶粒610可以通過金屬線接合612、接合接墊604和606、導通 孔608、導電連接件172、背側重佈線結構106、貫穿通孔116和前側重佈線結構122耦合到積體電路晶粒50。
在一些實施例中,阻焊劑(未示出)形成在基底602的與堆疊晶粒610相對的一側上。導電連接件172可以設置在阻焊劑中的開口中以電性和機械地耦合到基底602中的導電特徵(例如,接合接墊606)。阻焊劑可用於保護基底602的區域免受外部損壞。
在一些實施例中,導電連接件172具有在它們被回流之前在其上形成的環氧樹脂焊劑(未示出),在第二封裝組件600附接到第一封裝組件100之後剩餘環氧樹脂焊劑的至少一些環氧樹脂部分。
在一些實施例中,底部填充劑(未示出)形成在第一封裝組件100和第二封裝組件600之間,圍繞導電連接件172。底部填充劑可以減少應力並保護因導電連接件172回流而產生的接頭。底部填充劑可以在貼附第二封裝組件600之後通過毛細流動製程形成,或者可以在貼附第二封裝組件600之前通過合適的沉積方法形成。在形成環氧樹脂焊劑的實施例中,它可以充當底部填充劑。
在圖33中,通過沿切割線區域(例如,在封裝區PKG1到PKGn中的相鄰區域之間)進行鋸切來執行分割製程(參見圖15A)。鋸切將封裝區PKG1到PKGn中的每一個從封裝區PKG1到PKGn的其餘部分中分離出來。由此產生的單個裝置堆疊是從封裝區PKG1到PKGn之一。在一些實施例中,在第二封裝組件600耦合到第一封裝組件100之後執行分割過程。在其他實施例(未 示出)中,在第二封裝組件600耦合到第一封裝組件100之前執行分割製程,例如在承載基底102被剝離並且導電連接件172形成之後。
然後可以使用導電連接件150將每個單獨的第一封裝組件100(其上結合有相應的第二封裝組件600)安裝到封裝基底700。封裝基底700包括基底核心702和基底核心702之上的接合接墊704。基底核心702可以由諸如矽、鍺、金剛石等的半導體材料製成。或者,也可以使用矽鍺、碳化矽、砷化鎵、砷化銦、磷化銦、碳化矽鍺、磷化砷化鎵、磷化鎵銦、它們的組合等的化合物材料。另外,基底核心702可以是SOI基底。通常,SOI基底包括一層半導體材料,例如磊晶矽、鍺、矽鍺、SOI、SGOI或它們的組合。在一個替代實施例中,基底核心702是基於絕緣芯,例如玻璃纖維增強樹脂芯。一種示例芯材是玻璃纖維樹脂,例如FR4。核心材料的替代品包括雙馬來醯亞胺三嗪BT樹脂,或者其他PCB材料或薄膜。基底核心702可使用諸如ABF或其他層壓材料的堆積膜。
基底核心702可以包括主動和被動裝置(未示出)。各種各樣的裝置,例如電晶體、電容器、電阻器、它們的組合等,可用於生成裝置堆疊設計的結構和功能要求。裝置可以使用任何合適的方法形成。
基底核心702還可以包括金屬化層和通孔(未示出),其中接合接墊704實體和/或電性耦合到金屬化層和通孔。金屬化層可以形成在主動和被動裝置之上,並且被設計成連接各種裝置以形成功能電路。金屬化層可以由電介質材料(例如低k電介質 材料)和導電材料(例如銅)的交替層形成,其中通孔互連導電材料層並且可以通過任何合適的製程(例如沉積、鑲嵌、雙鑲嵌等)。在一些實施例中,基底核心702基本上沒有主動和被動裝置。
在一些實施例中,導電連接件150被回流以將第一封裝組件100附接到接合接墊704。導電連接件150將封裝基底700(包括基底核心702中的金屬化層)電性和/或實體耦合到第一封裝組件100。在一些實施例中,阻焊劑706形成在基底核心702上。導電連接件150可以設置在阻焊劑706中的開口中以電性和機械地耦合到接合接墊704。阻焊劑706可用於保護基底核心702的區域免受外部損壞。
導電連接件150可以在回流之前在其上形成環氧樹脂助焊劑(未示出),在第一封裝組件100附接到封裝基底700之後,環氧樹脂助焊劑剩餘至少一些環氧樹脂部分。剩餘的環氧樹脂部分可用作底部填充劑以減少應力並保護因導電連接件150回流而產生的接頭。在一些實施例中,底部填充劑708可以形成在第一封裝組件100和封裝基底700之間並且圍繞導電連接件150。底部填充劑708可以在貼附第一封裝組件100之後通過毛細流動製程形成,或者可以在貼附第一封裝組件100之前通過合適的沉積方法形成。
在一些實施例中,被動裝置(例如,表面貼裝裝置(SMD),未示出)也可以附接到第一封裝組件100(例如,附接到凸塊底金屬138)或附接到封裝基底700(例如,附接到接合接墊704)。例如,被動裝置可以與導電連接件150接合到第一封裝 組件100或封裝基底700的同一表面。被動裝置可以在將第一封裝組件100安裝到封裝基底700之前連接到封裝組件100,或者可以在將第一封裝組件100安裝到封裝基底700之前或之後連接到封裝基底700。
第一封裝組件100可以在其他裝置堆疊中實現。例如,示出PoP結構,但第一封裝組件100也可以在倒裝晶片球柵陣列(FCBGA)封裝中實現。在這樣的實施例中,第一封裝組件100安裝到諸如封裝基底700之類的基底上,但省略第二封裝組件600。相反,可以將蓋子或散熱器連接到第一封裝組件100。當省略第二封裝組件600時,也可以省略背側重佈線結構106和貫穿通孔116。
進一步在圖33中,在所示實施例中,單個第一封裝組件100包括兩個積體電路晶粒50。在其他實施例中,單個第一封裝組件100可以包括一個或多於兩個積體電路晶粒50。例如,圖34中所示的裝置堆疊包括單個第一封裝組件100,該第一封裝組件100包括一個積體電路晶粒50。
也可以包括其他特徵和過程。例如,可以包括測試結構以幫助對3D封裝或3DIC裝置進行驗證測試。測試結構可以包括例如形成在重分佈層中或在允許測試3D封裝或3DIC、使用探針和/或探針卡等的基底上的測試接墊。驗證測試可以在中間結構以及最終結構上執行。此外,本文公開的結構和方法可以與結合已知良好晶粒的中間驗證的測試方法結合使用,以提高產量並降低成本。
實施例可以實現優勢。上述雷射鑽孔製程允許通過增加在相同接墊上執行的兩次連續雷射發射之間的時間來減少重分佈結構的接墊上的熱量積累,通過減少接墊上的熱量積累來減少接墊上的枝晶形成,減少或避免接墊和相鄰絕緣層之間的分層,通過減少形成開口超過接墊的雷射發射次數來提高晶圓每小時(WPH)產量,並增加可靠性分析(RA)酷刑測試的通過率。
根據一個實施例,一種方法包括在封裝上形成絕緣層。封裝具有隨後形成開口的多個位置。在整個封裝的每個位置上逐個位置執行第一雷射發射。第一雷射發射的第一雷射點與每個位置重疊。第一雷射發射移除第一雷射點下方絕緣層的第一部分。在整個封裝的每個位置上逐個位置執行另一雷射發射。另一雷射發射的另一雷射點與每個位置重疊。另一雷射發射移除另一雷射點下方的絕緣層的另一部分。在封裝上的每個位置重複多次,逐個位置地執行另一雷射發射,直到移除絕緣層的所需部分。在一個實施例中,第一雷射點與另一雷射點完全重疊。在一個實施例中,第一雷射點與另一雷射點部分重疊。在一個實施例中,封裝包括多個位置中的多個接墊。在一個實施例中,第一雷射點與接墊中的每一個重疊。在一個實施例中,另一雷射點與接墊中的每一個重疊。在一個實施例中,開口中的每一個暴露相應的接墊。
根據另一個實施例,一種方法包括形成封裝。封裝包括多個接墊以及接墊之上的絕緣層。在封裝上執行多個雷射順序以在絕緣層中形成多個開口。每個開口暴露一個相應的接墊。執行雷射順序包括在封裝上執行第一雷射順序。執行第一雷射順序包括在每個接墊的絕緣層上連續地執行第一雷射發射。在平面圖 中,第一雷射點的第一雷射點與接墊中的每一個重疊。第一雷射發射移除第一雷射點下方的絕緣層的第一部分。在封裝上執行多個後續雷射順序。執行每個後續雷射順序包括在在每個接墊上方的絕緣層上連續地執行後續雷射發射。在平面圖中,後續雷射發射的後續雷射點與接墊中的每一個重疊。後續雷射發射的後續雷射點與先前雷射發射的先前雷射點重疊。後續雷射發射移除隨後雷射點下方的絕緣層的後續部分。在一個實施例中,後續雷射發射的後續雷射點與先前雷射發射的先前雷射點完全重疊。在一個實施例中,後續雷射發射的後續雷射點與先前雷射發射的先前雷射點部分重疊。在一個實施例中,在平面圖中,第一雷射點的邊緣與接墊中的每一個的邊緣重合。在一個實施例中,在平面圖中,後續雷射點的邊緣與接墊中的每一個的邊緣重合。在一個實施例中,開口具有傾斜的側壁。在一個實施例中,在平面圖中,第一雷射點和所有後續雷射點覆蓋開口中的每一個的區域。
根據又一實施例,一種方法包括形成重分佈結構。重佈線結構包括接墊和接墊之上的絕緣層。絕緣層被圖案化以在絕緣層中形成開口。每個開口都暴露一個相應的接墊。絕緣層的圖案化包括在絕緣層上執行第一雷射順序。執行第一雷射順序包括在絕緣層的第一位置執行第一雷射發射。在平面圖中,每個第一位置與相應的接墊重疊。每個第一雷射發射從相應的第一位置移除絕緣層的第一部分。後續雷射順序在絕緣層上執行。執行每個後續雷射順序包括在絕緣層的後續位置執行後續雷射發射。在平面圖中,每個後續位置與相應接墊重疊。每個後續的雷射發射從相應的後續位置移除絕緣層的後續部分。在平面圖中,每個後續位 置與先前雷射順序的相應先前位置部分重疊。在一個實施例中,第一位置與後續位置相同。在一個實施例中,第一位置不同於後續位置。在一個實施例中,每個開口具有傾斜的側壁。在一個實施例中,該方法更包括在開口中形成導電連接件。在一個實施例中,導電連接件的頂部低於絕緣層的頂面。
前述概述幾個實施例的特徵,以便本領域的技術人員可以更好地理解本公開的各個方面。本領域技術人員應當理解,他們可以容易地使用本公開作為設計或修改用於執行相同目的和/或實現本文介紹的實施例的相同優點的其他過程和結構的基礎。本領域技術人員也應該意識到,這樣的等效結構並不脫離本發明的精神和範圍,並且可以在不脫離本發明的精神和範圍的情況下對本文進行各種改動、替換和變更。
200:雷射鑽孔製程
202、204、206:步驟

Claims (10)

  1. 一種積體電路封裝的雷射鑽孔製程包括:在封裝上形成絕緣層,其中所述封裝具有隨後形成開口的多個位置;在整個所述封裝的所述位置中的每一個上逐個位置執行第一雷射發射,其中所述第一雷射發射的第一雷射點與所述位置中的每一個重疊,並且其中所述第一雷射發射移除所述第一雷射點下方的所述絕緣層的第一部分;在整個所述封裝的所述位置中的每一個上逐個位置執行另一雷射發射,其中所述另一雷射發射的另一雷射點與所述位置中的每一個重疊,並且其中所述另一雷射發射移除所述另一雷射點下方的所述絕緣層的另一部分;以及在整個所述封裝的所述位置中的每一個上逐個位置多次重複執行所述另一雷射發射,直到移除所述絕緣層的所需部分。
  2. 如請求項1所述的積體電路封裝的雷射鑽孔製程,其中所述第一雷射點與所述另一雷射點完全重疊。
  3. 如請求項1所述的積體電路封裝的雷射鑽孔製程,其中所述第一雷射點與所述另一雷射點部分重疊。
  4. 如請求項1所述的積體電路封裝的雷射鑽孔製程,其中所述封裝包括所述多個位置中的多個接墊。
  5. 如請求項4所述的積體電路封裝的雷射鑽孔製程,其中所述第一雷射點與所述接墊中的每一個重疊。
  6. 如請求項4所述的積體電路封裝的雷射鑽孔製程,其中所述另一雷射點與所述接墊中的每一個重疊。
  7. 如請求項4所述的積體電路封裝的雷射鑽孔製程,其中所述開口中的每一個暴露相應的接墊。
  8. 一種積體電路封裝的雷射鑽孔製程,包括:形成封裝,所述封裝包括多個接墊以及所述多個接墊上的絕緣層;以及對所述封裝進行多個雷射順序,以在所述絕緣層中形成多個開口,所述開口中的每一個暴露出各自的接墊,其中進行所述雷射順序包括:在所述封裝上執行第一雷射順序,其中執行所述第一雷射順序包括在所述接墊中的每一個上方的所述絕緣層上連續地執行第一雷射發射,其中在平面圖中,所述第一雷射發射的第一雷射點在與所述接墊中的每一個重疊,並且其中所述第一雷射點移除所述第一雷射點下方的所述絕緣層的第一部分;以及在所述封裝上執行多個後續雷射順序,其中執行所述後續雷射順序中的每一個包括在所述接墊中的每一個上方的所述絕緣層上連續地執行後續雷射發射,其中在所述平面圖中,所述後續雷射發射的後續雷射點在與所述接墊中的每一個重疊,其中所述後續雷射發射的所述後續雷射點與先前雷射發射的的先前雷射點重疊,並且其中所述後續雷射發射移除所述後續雷射點下方的所述絕緣層的後續部分。
  9. 如請求項8所述的積體電路封裝的雷射鑽孔製程,其中所述開口具有傾斜的側壁。
  10. 一種積體電路封裝的雷射鑽孔製程,包括:形成重分佈結構,所述重分佈結構包括接墊以及在接墊上的 絕緣層;以及圖案化所述絕緣層以在所述絕緣層中形成開口,所述開口中的每一個暴露出相應的接墊,其中所述圖案化所述絕緣層包括:對所述絕緣層執行第一雷射順序,其中執行所述第一雷射順序包括在所述絕緣層的多個第一位置執行多個第一雷射發射,其中在平面圖中,每個第一位置與相應的接墊重疊,並且其中每個第一雷射發射從相應的第一位置移除所述絕緣層的第一部分;以及在所述絕緣層上執行多個後續雷射順序,其中執行每個後續雷射順序包括在所述絕緣層的後續位置上執行後續雷射發射,其中在所述平面圖中,每個後續位置與相應的接墊重疊,其中每個後續雷射發射從相應的後續位置移除所述絕緣層的後續部分,並且在所述平面圖中,每個後續位置與先前雷射順序的相應先前位置部分重疊。
TW111146572A 2022-01-06 2022-12-05 積體電路封裝的雷射鑽孔製程 TWI847408B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202263266480P 2022-01-06 2022-01-06
US63/266,480 2022-01-06
US202263267324P 2022-01-31 2022-01-31
US63/267,324 2022-01-31
US17/661,940 2022-05-04
US17/661,940 US20230230849A1 (en) 2022-01-06 2022-05-04 Laser drilling process for integrated circuit package

Publications (2)

Publication Number Publication Date
TW202329269A TW202329269A (zh) 2023-07-16
TWI847408B true TWI847408B (zh) 2024-07-01

Family

ID=

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210127478A1 (en) 2019-10-23 2021-04-29 At&S (China) Co. Ltd. Component carrier with embedded component and horizontally elongated via

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210127478A1 (en) 2019-10-23 2021-04-29 At&S (China) Co. Ltd. Component carrier with embedded component and horizontally elongated via

Similar Documents

Publication Publication Date Title
TWI683410B (zh) 半導體封裝及其形成方法
TWI773260B (zh) 封裝結構及其製造方法
TWI724653B (zh) 半導體裝置及其形成方法
US12002767B2 (en) Integrated circuit package and method
TWI783449B (zh) 半導體封裝及其形成方法
TW202134713A (zh) 積體電路封裝及其形成方法
TWI803310B (zh) 積體電路元件和其形成方法
TWI807618B (zh) 封裝結構及其製造方法
KR102308482B1 (ko) 집적 회로 패키지 및 방법
US20220328467A1 (en) Molded dies in semicondcutor packages and methods of forming same
TWI777437B (zh) 半導體封裝體及其製造方法
TWI838073B (zh) 積體電路封裝及其形成方法
US11854994B2 (en) Redistribution structure for integrated circuit package and method of forming same
TW202310306A (zh) 半導體封裝及其製造方法
TWI847408B (zh) 積體電路封裝的雷射鑽孔製程
TW202230679A (zh) 半導體封裝及其形成方法
US20230230849A1 (en) Laser drilling process for integrated circuit package
TWI844266B (zh) 半導體封裝及其形成方法
TWI824395B (zh) 封裝結構及其製造方法
TWI767791B (zh) 封裝結構及其製造方法
US11444034B2 (en) Redistribution structure for integrated circuit package and method of forming same
TWI841187B (zh) 半導體封裝及方法
KR102358285B1 (ko) 반도체 패키지 디바이스 및 그 제조 방법
TW202329377A (zh) 半導體封裝及其製造方法
TW202347678A (zh) 積體電路裝置