TWI836384B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI836384B
TWI836384B TW111111024A TW111111024A TWI836384B TW I836384 B TWI836384 B TW I836384B TW 111111024 A TW111111024 A TW 111111024A TW 111111024 A TW111111024 A TW 111111024A TW I836384 B TWI836384 B TW I836384B
Authority
TW
Taiwan
Prior art keywords
gate
layer
drain region
source
metal structure
Prior art date
Application number
TW111111024A
Other languages
English (en)
Other versions
TW202332047A (zh
Inventor
高境鴻
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/586,685 external-priority patent/US20230119077A1/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202332047A publication Critical patent/TW202332047A/zh
Application granted granted Critical
Publication of TWI836384B publication Critical patent/TWI836384B/zh

Links

Abstract

一種半導體裝置,包括一主動閘極金屬結構設置於一基體上方,主動閘極金屬結構具有彼此相對之一第一側壁及一第二側壁。半導體裝置包括一第一源極/汲極區域,以一第一側向距離設置相鄰於主動閘極金屬結構之第一側壁。半導體裝置包括一第二源極/汲極區域,以一第二側向距離設置相鄰於主動閘極金屬結構之第二側壁,其中第二側向距離係實質上地大於第一側向距離。半導體裝置包括一抗蝕保護氧化物(RPO),抗蝕保護氧化物包含一第一部分,第一部分在側向地位於第二側壁與第二源極/汲極區域之間之基體的主要表面之一部分上方延伸,其中抗蝕保護氧化物不具有在主動閘極金屬結構之一頂部表面上方延伸之部分。

Description

半導體裝置及其製造方法
本發明實施例係關於一種半導體裝置及其製造方法。
由於各種電子組件(例如電晶體、二極體、電阻器、電容器等)之積體密度的改進,半導體工業歷經快速地成長。在很大程度上,這種在積體密度的改進來自於半導體製程節點的縮小(例如縮小製程節點至亞10(sub-10)奈米或埃節點)。隨著半導體裝置的比例減小,需要新的技術來保持電子組件從一世代至下一世代的性能,例如,電晶體之低的閘極至汲極電容及高的崩潰電壓是高功率應用所需要的。
本發明的一實施例係關於一種半導體裝置,包含:一第一閘極金屬結構,以一第一高度設置於一基體上方,其中一第一閘極間隔物及一第二閘極間隔物分別地沿著該第一閘極金屬結構之第一側壁及第二側壁延伸;一第一源極/汲極區域,從該第一閘極金屬結構設置於該第一閘極間隔物對面;一第二源極/汲極區域,從該第一閘極金屬結構設置於該第二閘極間隔物對面,其中該 第一側壁與該第一源極/汲極區域之間的一第一側向距離係小於該第二閘極間隔物與該第二源極/汲極區域之間的一第二側向距離;以及一介電層,延伸於該基體之一主要表面之一部分上方,該部分從該第一閘極金屬結構側向地位於該第二閘極間隔物對面,其中該介電層進一步以一第二高度沿著該第二閘極間隔物之一側壁延伸,該第二高度實質上相同於該第一高度。
本發明的一實施例係關於一種半導體裝置,包含:一主動閘極金屬結構,設置於一基體上方,該主動閘極金屬結構具有彼此相對之一第一側壁及一第二側壁;一第一源極/汲極區域,以一第一側向距離設置相鄰於該主動閘極金屬結構之該第一側壁;一第二源極/汲極區域,以一第二側向距離設置相鄰於該主動閘極金屬結構之該第二側壁,其中該第二側向距離係實質上大於該第一側向距離;以及一抗蝕保護氧化物(RPO),包含一第一部分,該第一部分在側向地位於該第二側壁與該第二源極/汲極區域之間之該基體的一主要表面之一部分上方延伸,其中該抗蝕保護氧化物不具有在該主動閘極金屬結構之一頂部表面上方延伸之部分。
本發明的一實施例係關於一種用於製造半導體裝置的方法,包含:形成一第一多晶矽閘極結構於一基體上方,其中該第一多晶矽閘極結構係被一第一遮罩層覆蓋;沿著該基體之一主要表面形成一第一源極/汲極區域及一第二源極/汲極區域,其中該第一源極/汲極區域及該第二源極/汲極區域相對於該第一多晶矽閘極結構係非對稱地設置;以一介電層覆蓋該第一多晶矽閘極結構、該第一源極/汲極區域、及該第二源極/汲極區域;以及圖案化該介電層,從而導致該介電層之以下所述部分之至少一者被移除:一第一部分,覆蓋該第一遮罩層之一頂部表面;一第二部分,沿著該第一多晶矽閘極結構及該第一遮罩 層之一或多個側壁延伸;一第三部分,覆蓋該第一源極/汲極區域;或一第四部分,覆蓋該第二源極/汲極區域。
100:方法
102:操作
104:操作
106:操作
108:操作
110:操作
112:操作
114:操作
116:操作
118:操作
120:操作
122:操作
124:操作
126:操作
128:操作
130:操作
132:操作
200:半導體裝置
202:基體/p基體
302:絕緣體結構/STI
304:絕緣體結構/STI
402:絕緣體結構/STI
404:絕緣體結構/STI
406:絕緣體結構/STI
502:第一井/N井
602:第一井/N井
702:第二井/P井
802:第二井/P井
902:犧牲閘極結構
904:犧牲閘極介電層
906:犧牲閘極層
908:硬遮罩層
1002:犧牲閘極結構
1004:犧牲閘極介電層
1006:犧牲閘極層
1008:硬遮罩層
1012:犧牲閘極結構
1014:犧牲閘極介電層
1016:犧牲閘極層
1018:硬遮罩層
1102:少量摻雜汲極(LDD)區域
1104:少量摻雜汲極(LDD)區域
1106:少量摻雜汲極(LDD)區域
1202:少量摻雜汲極(LDD)區域
1204:少量摻雜汲極(LDD)區域
1206:少量摻雜汲極(LDD)區域
1302:閘極間隔物
1402:閘極間隔物
1412:閘極間隔物
1502:源極區域
1504:汲極區域
1602:源極區域
1604:汲極區域
1702:抗蝕保護氧化物(RPO)
1802:抗蝕保護氧化物(RPO)
1902:圖案化的RPO
1902A:第一部分
1902B:第二部分
2002:圖案化的RPO
2102:圖案化的RPO
2102A:第一部分
2102B:第二部分
2102C:第三部分
2202:圖案化的RPO
2202A:第一部分
2202B:第二部分
2302:圖案化的RPO
2402:矽化物層
2502:矽化物層
2602:矽化物層
2702:矽化物層
2802:矽化物層
2902:蝕刻停止層
3402:層間介電質(ILD)
3902:平坦化或拋光製程(CMP製程)
4402:閘極金屬結構
4404:閘極介電層
4406:閘極金屬層
4602:閘極金屬結構
4604:閘極介電層
4606:閘極金屬層
4612:閘極金屬結構
4614:閘極介電層
4616:閘極金屬層
4912:接觸結構
4914:接觸結構
4916:接觸結構
5102:接觸結構
5104:接觸結構
5106:接觸結構
5108:接觸結構
當結合附圖閱讀時,自以下詳細描述最佳瞭解本揭露之態樣。應注意,根據業界中之標準實踐,各種構件未按比例繪製。具體言之,為了清楚論述起見,可任意增大或減小各種構件之尺寸。
圖1係為依據一些實施例之用於製造半導體裝置的方法的範例流程圖。
圖2、圖3、圖4、圖5、圖6、圖7、圖8、圖9、圖10、圖11、圖12、圖13、圖14、圖15、圖16、圖17、圖18、圖19、圖20、圖21、圖22、圖23、圖24、圖25、圖26、圖27、圖28、圖29、圖30、圖31、圖32、圖33、圖34、圖35、圖36、圖37、圖38、圖39、圖40、圖41、圖42、圖43、圖44、圖45、圖46、圖47、圖48、圖49、圖50、圖51、圖52、及圖53例示依據一些實施例藉由圖1之方法所製成之半導體裝置在不同的製造階段期間之若干範例實施例之各自的剖面圖。
本申請案主張2021年10月20日申請之美國專利申請案序號63/257,875、名稱為「在側向擴散金屬氧化物半導體裝置上之抗蝕保護氧化物層的製造」之優先權,該案揭露之全文特此以引用的方式併入。
本揭露內容提供用於實施所提供標的物之不同特徵之許多不同實施例或實例。下文描述組件及配置之特定實例以簡化本揭露。當然,此等僅 為實例且不旨在限制。例如,在下列描述中之一第一構件形成於一第二構件上方或上可包含其中該第一構件及該第二構件經形成直接連接之實施例,且亦可包含其中額外構件可形成在該第一構件與該第二構件之間,使得該第一構件及該第二構件可不直接連接之實施例。另外,本揭露可在各種實例中重複元件符號及/或字母。此重複出於簡化及清楚之目的,且本身不指示所論述之各項實施例及/或組態之間之一關係。
此外,為便於描述,可在本揭露中使用諸如「在…下面」、「在…下方」、「下」、「在…上方」、「上」及類似者之空間相對術語來描述一個元件或構件與另一(些)元件或構件之關係,如圖中繪示。空間相對術語旨在涵蓋除在圖中描繪之定向以外之使用或操作中之裝置之不同定向。設備可以其它方式定向(旋轉90度或按其它定向)且本揭露中使用之空間相對描述符同樣可相應地解釋。
隨著半導體技術的發展,金屬氧化物半導體場效應電晶體(MOSFET)已被廣泛地使用在現今的積體電路。MOSFETs係為電壓控制裝置,當一控制電壓施加至MOSFET的閘極且控制電壓大於MOSFET的閾值時,會在MOSFET的汲極與源極之間建立一導電通道,結果,一電流在MOSFET的汲極與源極之間流動。在另一方面,當控制電壓小於MOSFET的閾值時,MOSFET會據此而關閉。
MOSFETs可包括兩個主要類別,一個是n通道MOSFETs,且另一個是p通道MOSFETs。依據結構的不同,MOSFETs可以進一步分類為三個子類別,平面MOSFETs、側向擴散MOS(LDMOS)FETs及垂直擴散MOSFETs。相較於其它MOSFETs,LDMOS係能夠在每單位面積傳遞更多電流,因為其非對稱結構在LDMOS的汲極與源極之間提供一短的通道。此種LDMOS典型地可以在相 對高的電壓下操作,這使其成為使用於射頻(RF)功率應用之有吸引力的功率裝置(例如蜂巢式基礎建設功率放大器應用)。
為了有效地及大量地生產此種功率裝置,LDMOS通常以當代的基於CMOS(CMOS-based)技術來製造。以另一種方式說,製造邏輯電晶體(其典型地在相對低的電壓下操作)之若干製程步驟可以被共享來製造LDMOS,例如,邏輯電晶體及LDMOS兩者具有它們基於一金屬閘極置換製程所形成之閘極結構。為了以一閘極金屬結構來製造LDMOS,通常必須在實行金屬閘極置換製程之前實行至少一拋光製程(例如一化學機械拋光(CMP)製程)。然而,在現存的技術中,隨著製程節點持續縮小,此種拋光製程可能變得愈來愈具有挑戰性,其繼而會使金屬閘極置換製程失敗。
例如,當形成用於LDMOS的源極/汲極區域(或結構)時,一介電保護層係典型地形成在工件上方以罩覆不需要形成矽化物層之一或多個面積。此種矽化物層係形成在源極/汲極區域上方以減少其對應的接觸電阻。沒有矽化物層形成的面積典型地稱之為LDMOS的漂移區域,對應的電阻(有時候稱之為”漂移電阻”)係被有意地最佳化為足夠大,從而允許LDMOS承受相對高的操作電壓(例如施加至其汲極區域上)。在現存的技術中,通常介電保護層在被以一閘極金屬結構置換之一多晶矽閘極結構上方側向地延伸(例如覆蓋多晶矽閘極結構之一頂部表面之一部分),藉由這種在多晶矽閘極結構之頂部上側向覆蓋,可以形成一隆起圍繞多晶矽閘極結構之一上部角落,其高度可以進一步以沉積於其上之一或多個層(例如一蝕刻停止層)增加。隆起會妨礙接下來的CMP製程(例如被隆起的蝕刻停止層阻擋),其繼而會使接下來的金屬閘極置換製程失敗。因此,已存在的LDMOS及其形成方法在很多方面已無法完全地被滿足。
本揭示提供在形成一功率裝置的背景下製造半導體裝置之方法的各種實施例,例如,此處所揭示之方法可以被使用為做出一側向擴散金屬氧 化物半導體(LDMOS)電晶體。於一些實施例中,揭示的方法包括圖案化一介電層,其被配置成罩覆未形成矽化物層之一或多個面積,使得圖案化的介電層並不在一犧牲閘極結構(例如一多晶矽閘極結構)之頂部表面上方延伸,犧牲閘極結構之後以一新的閘極結構(例如一閘極金屬結構)來置換。藉由揭示的方法製造的LDMOS電晶體可以免遭受到現存技術中常見之”隆起”問題,例如,在沒有任何介電層的部分覆蓋犧牲閘極結構之頂部表面的情況下,任何之後的沉積層(例如一蝕刻停止層)不應該升高到一不正常的高度,以另一種方式說,蝕刻停止層之隆起不應該出現在基於揭示的方法製造的LDMOS電晶體中。因而,接下來的CMP製程不應該被任何隆起結構干擾,並且可以成功地暴露犧牲閘極結構,其允許犧牲閘極結構以新的閘極結構來置換。
圖1例示依據一些實施例之用於形成一半導體裝置200之至少一部分之一範例的方法100的流程圖。需注意到方法100僅為範例,且並不意欲限制本揭示。據此,需瞭解到圖1之方法100的操作順序可以改變,在圖1之方法100之前、期間、及之後可提供額外的操作,且一些其它的操作在此只大略地描述。於一些實施例中,方法100的操作可與分別地顯示在圖2、圖3、圖4、圖5、圖6、圖7、圖8、圖9、圖10、圖11、圖12、圖13、圖14、圖15、圖16、圖17、圖18、圖19、圖20、圖21、圖22、圖23、圖24、圖25、圖26、圖27、圖28、圖29、圖30、圖31、圖32、圖33、圖34、圖35、圖36、圖37、圖38、圖39、圖40、圖41、圖42、圖43、圖44、圖45、圖46、圖47、圖48、圖49、圖50、圖51、圖52、及圖53之範例的半導體裝置200在不同的製造階段期間之剖面圖相關聯。
再者,圖2至圖53所示之半導體裝置200可以包括形成在一基體之一第一面積中之一或多個電晶體,其在相對高的汲極電壓(例如約5至6V)下操作,這些電晶體有時候稱之為高電壓電晶體(例如LDMOS電晶體)。需理解到圖1之方法100之至少一些操作可被共享(例如同時地實行)以在相同的基體之一第二 面積中形成一或多個電晶體,其在相對低的閘極及/或汲極電壓下操作。這些電晶體有時候稱之為低電壓或中間電壓電晶體(例如邏輯電晶體)。高電壓電晶體、中間電壓電晶體、及低電壓電晶體之各者具有一導電型式,舉例而言,諸如一n型式電晶體或一p型式電晶體。此處所使用之用語”n型式”可稱之為具有電子做為其導電載子之電晶體的導電型式;此處所使用之用語”p型式”可稱之為具有電洞做為其導電載子之電晶體的導電型式。
於簡要的概述中,方法100開始於操作102,提供一基體。方法100繼續至操作104,形成若干絕緣體結構。方法100繼續至操作106,形成一第一井於基體中。方法100繼續至操作108,形成一第二井於基體中。方法100繼續至操作110,形成若干犧牲閘極結構。方法100繼續至操作112,形成少量摻雜汲極區域。方法100繼續至操作114,形成閘極間隔物。方法100繼續至操作116,形成源極/汲極區域。方法100繼續至操作118,形成一抗蝕保護氧化物(RPO)。方法100繼續至操作120,圖案化RPO。方法100繼續至操作122,形成矽化物層。方法100繼續至操作124,形成一蝕刻停止層。方法100繼續至操作126,形成一層間介電質。方法100繼續至操作128,實行一拋光或平坦化製程。方法100繼續至操作130,形成若干閘極金屬結構。方法100繼續至操作132,形成若干接觸結構。
對應於圖1之操作102,圖2係為依據各種實施例之包括一基體202之半導體裝置200的剖面圖。如上所述,基體202可具有一第一面積及一第二面積,其處分別地形成一或多個高電壓電晶體及低/中間電壓電晶體。圖2的剖面圖(及接下來的圖面)係指向基體202之第一面積。
基體202可包括一半導體晶圓,諸如一矽晶圓。替代地,基體202可包括其它基礎半導體,諸如鍺。基體202亦可包括一複合半導體,諸如碳化矽、砷化鎵、砷化銦、及磷化銦。基體202可包括一合金半導體,諸如矽鍺、矽鍺碳化物、砷化鎵磷化物、及磷化銦鎵化物。於一實施例中,基體202包括一磊晶層 (epi layer),覆蓋一主體半導體。再者,基體202可包括一絕緣體上半導體(SOI)結構,例如,基體202可包括藉由諸如分離植入氧氣(SIMOX)製程所形成之一埋入式氧化物(BOX)層。於各種實施例中,基體202可包括一埋入層,諸如一n型式埋入層(NBL)、一p型式埋入層(PBL)、及/或包括一埋入式氧化物(BOX)層之一埋入式介電層。
於接下來形成一n型式高電壓電晶體的範例中,基體202包括一p型式矽基體(p基體)202。為了形成互補電晶體,除了n型式電晶體之外,有時候稱之為深n井(deep n-well,DNW)之一n型式埋入層可深深地植入p基體中(例如在n型式高電壓電晶體之一主動區域下)形成一p型式高電壓電晶體。
對應於圖1之操作104,圖3係為包括若干絕緣體結構302及304之半導體裝置200之一第一實施例的剖面圖。對應於相同操作,圖4係為包括若干絕緣體結構402、404及406之半導體裝置200之一第二實施例的剖面圖。
諸如淺溝槽絕緣體(STI)或矽局部氧化(LOCOS)之絕緣體結構302至304及402至406可形成於基體202中以界定及電性地絕緣各種主動區域。據此,絕緣體結構302至304及402至406有時候可分別地稱之為STIs 302至304及402至406,例如,可以形成STIs 302及304以界定基體202之一面積,諸如絕緣於其上形成之裝置。STIs 402及404可以類似目的形成。額外地,側向地設置於STIs 402及404之間的STIs 406可選擇性地形成以延伸在藉由STIs 402及404所界定之面積內形成之一高電壓電晶體之漂移區域。
做為一範例,一STI(例如302至304及402至406)的形成可包括在一基體(例如202)中乾蝕刻一溝槽並以諸如氧化矽、氮化矽、或氮氧化矽之絕緣體材料填充溝槽。填充的溝槽可具有一多層結構,諸如以氮化矽或氧化矽填充之一熱氧化物襯料層。於進一步的實施例中,STI可使用諸如下列之製程次序來建立:成長一襯墊氧化物;形成一低壓化學氣相沉積(LPCVD)氮化物層;使用光 阻及遮罩圖案畫一STI;蝕刻一溝槽於基體中;選擇性地成長一熱氧化物溝槽襯料以改善溝槽界面;以CVD氧化物填充溝槽;使用化學機械拋光(CMP)製程以回蝕(etch back)及平坦化;以及使用一氮化物剝離(stripping)製程以移除氮化矽。
對應於圖1之操作106,圖5係為於基體202中包括一第一井(well)502之半導體裝置200之第一實施例的剖面圖,於其中,絕緣體結構302及304(圖3)已被形成。對應於相同操作,圖6係為於基體202中包括一第一井602之半導體裝置200之第二實施例的剖面圖,於其中,絕緣體結構402至406(圖4)已被形成。
第一井502及第一井602各者具有一第一導電型式,例如,第一導電型式係為一n型式,其使第一井有時候稱之為一N井(NW)。於圖5例示的範例中,此種N井502可形成在靠近STIs、例如304之一者之p基體202中。於圖6例示的範例中,此種N井602可形成在介於兩個STIs、例如404及406之間的p基體202中。N井502/N井602有時候可稱之為一延伸汲極或汲極延伸井。
對應於圖1之操作108,圖7係為於基體202中包括一第二井702之半導體裝置200之第一實施例的剖面圖,於其中,第一井502(圖5)已被形成。對應於相同操作,圖8係為於基體202中包括一第二井802之半導體裝置200之第二實施例的剖面圖,於其中,第一井602(圖6)已被形成。
第二井702及第二井802各者具有一第二導電型式,例如,第二導電型式係為一p型式,其使第二井有時候稱之為一P井(PW)。於圖7例示的範例中,此種P井702可形成在靠近其它STIs、例如302之p基體202中。於圖8例示的範例中,此種P井802可形成在介於兩個STIs、例如402及404之間的p基體202中。
如此處所揭示,N井及P井可為基體202之一部分,且可藉由各種離子植入製程來形成。替代地,N井及P井可為一磊晶層的部分,諸如藉由磊晶製程形成之一矽磊晶層。N井可具有一n型式摻雜劑,諸如磷,且P井可具有一p 型式摻雜劑,諸如硼。於一實施例中,N井及P井可藉由複數個製程步驟來形成,無論是現在已知的還是將要開發的,諸如:成長一犧牲氧化物於基體上;對P井區域或N井區域的位置打開一圖案;以及植入雜質。
對應於圖1之操作110,圖9係為於基體202上方包括一犧牲閘極結構902之半導體裝置200之第一實施例的剖面圖,於其中,第二井702(圖7)已被形成。對應於相同的操作,圖10係為於基體202上方包括犧牲閘極結構1002及1012之半導體裝置200之第二實施例的剖面圖,於其中,第二井802(圖8)已被形成。
各形成犧牲閘極結構902、1002及1012以界定一閘極金屬結構之足跡。如圖9之範例所示,犧牲閘極結構902具有覆蓋第一井502之一第一部分及覆蓋第二井702之一第二部分。於圖10的範例中,犧牲閘極結構1002係設置於第二井802內,犧牲閘極結構1012具有一主要部分以覆蓋STI 406。於一些其它的實施例中,犧牲閘極結構1012可側向地偏置以不覆蓋STI 406,或者具有一第一部分覆蓋STI 406且具有一第二部分覆蓋第一井602。
犧牲閘極結構1012可與犧牲閘極結構1002同時地形成及製成,然而其可做為一虛設閘極結構(在以一閘極金屬結構置換之後)。相較於置換犧牲閘極結構1002的主動閘極結構,此種虛設閘極結構可作用為一場板(field plate),其幫助維持一形成的高壓電晶體的崩潰電壓。此外,藉由分離虛設閘極結構(現在是犧牲閘極結構1012)與主動閘極結構(現在是犧牲閘極結構1002),高壓電晶體介於一閘極區域與一汲極區域之間的重疊會據此減少。此種在閘極區域與汲極區域之間之小的重疊幫助減少高壓電晶體之閘極對汲極的電荷。再者,虛設閘極結構可以藉由一電壓源被偏壓,例如當高壓電晶體係為一20V電晶體時,耦接至虛設閘極結構的偏壓電壓可往上達到20V,此種偏壓電壓幫助減少高壓電晶體之導通電阻。
為了形成犧牲閘極結構902、1002及1012,一或多個包覆(blanket)犧牲閘極介電層及一或多個包覆犧牲閘極層可相繼地沉積於基體202上方,接下來沉積一硬遮罩層。接著,圖案化硬遮罩層以界定犧牲閘極結構902、1002及1012之輪廓及尺寸。據此,硬遮罩層之圖案可以轉移到包覆犧牲閘極層且然後至包覆犧牲閘極介電層,從而形成犧牲閘極結構902、1002及1012。如此,犧牲閘極結構902、1002及1012之各者包括至少一犧牲閘極介電層、於犧牲閘極介電層上方之至少一犧牲閘極層、及犧牲閘極層上方之一硬遮罩層。如所示,犧牲閘極結構902包括一犧牲閘極介電層904、一犧牲閘極層906、及一硬遮罩層908;犧牲閘極結構1002包括一犧牲閘極介電層1004、一犧牲閘極層1006、及一硬遮罩層1008;且犧牲閘極結構1012包括一犧牲閘極介電層1014、一犧牲閘極層1016、及一硬遮罩層1018。
犧牲閘極介電層可包括一二氧化矽(稱之為氧化矽)層。替代地,犧牲閘極介電層可選擇性地包括一高k介電材料、氮氧化矽、其它合適的材料、或其組合。高k介電材料可選自金屬氧化物、金屬氮化物、金屬矽化物、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽化物、金屬之氮氧化物、金屬鋁、矽酸鋯、鋁酸鋯、HfO2、或其組合。犧牲閘極介電層可具有一多層結構,諸如一層氧化矽及其它層高k材料。犧牲閘極介電層可具有一厚度,其介於約10埃(Å)與約200Å之間的範圍。犧牲閘極介電層可使用化學氣相沉積(CVD)、物理氣相沉積(PVD)、離子層沉積(ALD)、熱氧化物、其它合適的製程、或其組合來形成。犧牲閘極層可包括一摻雜或未摻雜多晶矽(或複晶矽),其將會以一金屬來置換,舉例而言,諸如Al、Cu、W、Ti、Ta、TiN、TaN、NiSi、CoSi、其它合適的導電材料、或其組合。犧牲閘極層可藉由CVD、PVD、ALD、電鍍、及其它適當的製程來形成。犧牲閘極層可具有一多層結構且可以一多步驟製程來形成。硬 遮罩層可包括氮化矽、氮氧化矽、碳化矽、及/或其它合適的介電材料,且可使用諸如CVD或PVD之方法來形成。
對應於圖1之操作112,圖11係為包括少量摻雜汲極(LDD)區域1102及1104之半導體裝置200之第一實施例的剖面圖,於其中,犧牲閘極結構902(圖9)已被形成。對應於相同操作,圖12係為包括LDD區域1202、1204及1206之半導體裝置200之第二實施例的剖面圖,於其中,犧牲閘極結構1002及1012(圖10)已被形成。
如圖11所示,LDD區域1102及1104係分別地形成在第二井702及第一井502中,且與犧牲閘極結構902對齊。LDD區域1102及1104各者係側向地定位於犧牲閘極結構902之一側壁,其可以為自對齊(self-aligned)至那些側壁。類似地,於圖12中,LDD區域1202、1204及1206係分別地形成在第二井802及第一井602中,而且,LDD區域1202至1206係(例如自)對齊於犧牲閘極結構1002及1012。在將要形成的高壓電晶體被配置為一n型式電晶體的目前範例中,LDD區域1102至1104及1202至1206各者具有第一導電型式(例如n型式),例如,LDD區域1102至1104及1202至1206各者可包括n型式摻雜劑,諸如磷或砷。LDD區域1102至1104及1202至1206可以藉由包括離子植入或擴散之方法來形成。
對應於圖1之操作114,圖13係為包括閘極間隔物1302之半導體裝置200之第一實施例的剖面圖,閘極間隔物1302沿著犧牲閘極結構902之相對側壁設置(圖9)。對應於相同操作,圖14係為包括閘極間隔物1402及1412之半導體裝置200之第二實施例的剖面圖,閘極間隔物1402沿著犧牲閘極結構1002之相對側壁設置,閘極間隔物1412沿著犧牲閘極結構1012之相對側壁設置(圖12)。
分別地如圖13及圖14所示,閘極間隔物1302可形成為沿著犧牲閘極結構902之側壁延伸(連同對應的硬遮罩層);閘極間隔物1402可形成為沿著犧牲閘極結構1002之側壁延伸(連同對應的硬遮罩層);以及閘極間隔物1412可形成 為沿著犧牲閘極結構1012之側壁延伸(連同對應的硬遮罩層)。據此,閘極間隔物有時候可稱之為側壁間隔物。閘極間隔物1302、1402及1412各者可包括一介電材料,舉例而言,諸如氧化矽。替代地,閘極間隔物1302、1402及1412各者可選擇性地包括氮化矽、碳化矽、氮氧化矽、或其組合。雖然閘極間隔物各者在圖13及圖14例示的範例中係顯示為具有一單層,需瞭解到閘極間隔物1302、1402及1412各者可植入為一多層結構,同時仍保持在本揭示的範疇內。閘極間隔物1302、1402及1412可藉由沉積及蝕刻(異向性蝕刻技術)來形成。
對應於圖1之操作116,圖15係為包括分別地形成在第二井702及第一井502(圖13)中之一源極區域1502及一汲極區域1504之半導體裝置200之第一實施例的剖面圖。對應於相同操作,圖16係為包括分別地形成在第二井802及第一井602(圖14)中之一源極區域1602及一汲極區域1604之半導體裝置200之第二實施例的剖面圖。
在將要形成的電晶體被配置為一n型式的目前範例中,源極/汲極區域1502至1504及1602至1604各者可具有第一導電型式(例如n型式)。一n型式之一源極/汲極區域有時候稱之為一N+或一重摻雜區域。據此,源極/汲極區域1502至1504及1602至1604各者包括n型式摻雜劑,諸如P或As。源極/汲極區域1502至1504及1602至1604可藉由諸如離子植入或擴散之方法來形成。一快速熱退火(RTA)製程可被使用於活化植入摻雜劑。於各種實施例中,源極及汲極區域可具有藉由多製程植入所形成之不同的摻雜輪廓。
於圖15的範例中,源極區域1502及汲極區域1504係定位在犧牲閘極結構902的相對側上。源極區域1502可包括一邊緣,其實質上地自對齊於閘極間隔物1302之其中一者。然而,汲極區域1504可包括一邊緣,其與其它閘極間隔物1302側向地間隔開一確切距離。據此,一圖案化的光阻層可保護延伸於閘極間隔物1302之其它一者之外邊緣(或側壁)之外的LDD區域1104之一部分。於圖 16的範例中,源極區域1602係定位於犧牲閘極結構1002之一第一側上,LDD區域1204係定位於犧牲閘極結構1002之一第二側上。源極區域1602可包括一邊緣,其在第一側上實質上地自對齊於閘極間隔物1402之其中一者。汲極區域1604係定位於犧牲閘極結構1012之與在其處形成LDD區域1204之一第二側相對的一第一側上。再者,汲極區域1604可包括一邊緣,其與在犧牲閘極結構1012之第二側上之閘極間隔物1412之其中一者側向地間隔開一確切距離。據此,一圖案化的光阻層可保護在第二側上延伸於閘極間隔物1412之一外邊緣(或側壁)之外的LDD區域1204及LDD區域1106之一部分。
如此,在圖15或圖16的範例中,源極區域及汲極區域相對於一對應的主動閘極結構係為非對稱地設置。以另一種方式說,源極區域可設置在一閘極結構之一第一側壁間隔物旁邊,同時汲極區域可與閘極結構之一第二相對側壁間隔物間隔開一距離,汲極區域與一對應的側壁間隔物間隔開之此種距離可構成將要形成之高壓電晶體之一漂移長度的至少一部分。藉由調整漂移長度,高壓電晶體之一崩潰電壓可以據此最佳化。
對應於圖1之操作118,圖17係為包括形成在犧牲閘極結構902及基體202(圖15)上方之一抗蝕保護氧化物(RPO)1702之半導體裝置200之第一實施例的剖面圖。對應於相同操作,圖18係為包括形成在犧牲閘極結構1002及1102及基體202(圖16)上方之一RPO 1802之半導體裝置200之第二實施例的剖面圖。RPOs 1702及1802各者可在後續的矽化物製程期間作用為一矽化物阻擋層。RPOs 1702及1802各者可第一次形成為一包覆層。接著,將如下所討論,RPOs 1702及1802各者可圖案化以具有一輪廓,其罩覆基體202未形成矽化物層之一或多個部分。RPO 1702/1802通常係由氧化矽形成。於一些實施例中,使用於形成RPO 1702/1802之氧化矽可具有大於填充在STIs(例如302至304、402至406)中之氧化 物之一細孔率。替代地,RPO 1702/1802可包括一介電材料,其選自以下組成之群組:氮化矽、氮氧化矽、氧摻雜氮化矽、氮化氧化物、及其組合。
對應於圖1之操作120,圖19係為半導體裝置200之第一實施例的剖面圖,於其中,RPO 1702(圖17)係圖案化以具有一第一輪廓。對應於相同操作,圖20係為半導體裝置200之第一實施例的剖面圖,於其中,RPO 1702(圖17)係圖案化以具有一第二輪廓。又對應於相同操作,圖21、圖22、及圖23例示半導體裝置200之第二實施例的剖面圖,於其中,RPO 1802(圖18)係圖案化以分別具有第一、第二及第三輪廓。
首先參考圖19,(包覆)RPO 1702係圖案化(此之後稱”圖案化的RPO 1902”)以具有第一輪廓,其包括一第一部分1902A及一第二部分1902B。第一部分1902A沿著閘極間隔物1302(靠近汲極區域1504)之其中一者之一側壁垂直地延伸。第二部分1902B側向地覆蓋至少LDD區域1104。此種第一輪廓有時候稱之為一”L形狀”輪廓。於一些實施例中,第二部分1902B可具有一邊緣,其對齊於LDD區域1104與汲極區域1504之間的一界面。於一些其它的實施例中,第二部分1902B可具有一邊緣,其延伸超過LDD區域1104與汲極區域1504之間的界面,亦即汲極區域1504的一部分被第二部分1902B覆蓋。藉由具有第一輪廓之圖案化的RPO 1902,可以確保對應於將要形成的高壓電晶體之一漂移區域之至少一部分的LDD區域1104可以被罩覆。
接著參考圖20,(包覆)RPO 1702係圖案化(此之後稱”圖案化的RPO 2002”)以具有第二輪廓,其側向地覆蓋至少LDD區域1104。此種第二輪廓有時候稱之為一”I形狀”輪廓。於一些實施例中,圖案化的RPO 2002可具有一邊緣,其對齊於LDD區域1104與汲極區域1504之間的一界面。於一些其它的實施例中,圖案化的RPO 2002可具有一邊緣,其延伸超過LDD區域1104與汲極區域1504之間的界面,亦即汲極區域1504的一部分被圖案化的RPO 2002覆蓋。藉由 具有第二輪廓之圖案化的RPO 2002,可以確保對應於將要形成的高壓電晶體之一漂移區域之至少一部分的LDD區域1104可以被罩覆。
接著參考圖21,(包覆)RPO 1802係圖案化(此之後稱”圖案化的RPO 2102”)以具有第三輪廓,其包括一第一部分2102A、第二部分2102B、及第三部分2102C。第一部分2102A沿著閘極間隔物1402之其中一者之一側壁(從相鄰於源極區域1602之其它閘極間隔物1402相對犧牲閘極結構1002)垂直地延伸。第二部分2102B側向地覆蓋至少LDD區域1204。第三部分2102C沿著閘極間隔物1412之其中一者之一側壁(從相鄰於汲極區域1604之其它閘極間隔物1412相對犧牲閘極結構1012)垂直地延伸。此種第三輪廓有時候稱之為一”U形狀”輪廓。藉由具有第三輪廓之圖案化的RPO 2102,可以確保對應於將要形成的高壓電晶體之一漂移區域之至少一部分的LDD區域1204可以被罩覆。
接著參考圖22,(包覆)RPO 1802係圖案化(此之後稱”圖案化的RPO 2202”)以具有第四輪廓,其包括一第一部分2202A及一第二部分2202B。第一部分2202A沿著閘極間隔物1402之其中一者之一側壁(從相鄰於源極區域1602之其它閘極間隔物1402相對犧牲閘極結構1002)垂直地延伸。第二部分2202B側向地覆蓋至少LDD區域1204。此種第四輪廓有時候稱之為一”L形狀”輪廓。於各種實施例中,第二部分2202B具有兩端部,其分別地接觸於閘極間隔物1402之其中一者及閘極間隔物1412之其中一者。這兩個閘極間隔物1402及1412彼此面對,LDD區域1204插入於其之間。藉由具有第四輪廓之圖案化的RPO 2202,可以確保對應於將要形成的高壓電晶體之一漂移區域之至少一部分的LDD區域1204可以被罩覆。
接著參考圖23,(包覆)RPO 1802係圖案化(此之後稱”圖案化的RPO 2302”)以具有第五輪廓,其側向地覆蓋至少LDD區域1204。此種第五輪廓有時候稱之為一”I形狀”輪廓。於各種實施例中,圖案化的RPO 2302具有兩端部, 其分別地接觸於閘極間隔物1402之其中一者及閘極間隔物1412之其中一者。這兩個閘極間隔物1402及1412彼此面對,LDD區域1204插入於其之間。藉由具有第五輪廓之圖案化的RPO 2302,可以確保對應於將要形成的高壓電晶體之一漂移區域之至少一部分的LDD區域1204可以被罩覆。
依據本揭示之各種實施例,圖案化的RPOs 1902、2002、2102、2202、及2302各者可透過至少一些以下製程來形成:形成一可圖案化的光阻層於一對應的包覆RPO上方(例如包覆RPO之一或多個部分被可圖案化的光阻層罩覆);實行至少一乾及/或濕蝕刻製程以轉移可圖案化的光阻層的圖案至包覆RPO;以及移除可圖案化的光阻層。
藉由轉移至包覆RPO的圖案,圖案化的RPO不具有延伸到相鄰閘極結構之一頂部表面或分別地設置於其上之遮罩層之一頂部表面之上方的部分。例如,於圖19中,圖案化的RPO 1902之最高點具有一高度(H1),其較短於犧牲閘極結構902與其遮罩層908結合的高度(H2);於圖20中,圖案化的RPO 2002之最高點具有一高度(H1),其較短於犧牲閘極結構902與其遮罩層908結合的高度(H2);於圖21中,圖案化的RPO 2102之最高點具有一高度(H1),其較短於犧牲閘極結構1002及1012與它們分別的遮罩層1008及1018結合的高度(H2);於圖22中,圖案化的RPO 2202之最高點具有一高度(H1),其較短於犧牲閘極結構1002及1012與它們分別的遮罩層1008及1018結合的高度(H2);以及於圖23中,圖案化的RPO 2202之最高點具有一高度(H1),其較短於犧牲閘極結構1002及1012與它們分別的遮罩層1008及1018結合的高度(H2)。
做為一非限制性的範例,一混合蝕刻製程可被使用於蝕刻包覆RPO。首先,一高度異向性(乾)電漿蝕刻製程係被使用於蝕刻大部分設計用於移除之包覆RPO。一典型的製程使用像CF4+CHF3、C5F8、及/或C4F8之氣體與像N2、Ar、及/或O2之添加氣體的氣體電漿。使用的蝕刻反應器可為具有或不具有 磁場增強的平行板型式或市售的電子迴旋共振(ECR)型式。在定時電漿蝕刻之後,RPO的剩餘部分(設計為被移除)使用濕蝕刻製程(例如使用稀釋的HF溶液及/或水性緩衝HF溶液)蝕刻相對較短的時間。電漿蝕刻產生具有出色尺寸控制的非底切輪廓,而最終大略的濕蝕刻製程達到對底層膜的高選擇性。
對應於圖1之操作122,圖24係為包括依據圖案化的RPO 1902形成的若干矽化物層2402之半導體裝置200之第一實施例的剖面圖。對應於相同操作,圖25係為包括依據圖案化的RPO 2002形成的若干矽化物層2502之半導體裝置200之第一實施例的剖面圖。又對應於相同操作,圖26、圖27、及圖28分別地例示包括依據圖案化的RPOs 2102、2202、及2302形成的若干矽化物層2602、2702、及2802之半導體裝置200之第二實施例的剖面圖。
矽化物層2402、2502、2602、2702、及2802各者係藉由諸如一自對齊矽化物製程(有時候稱之為一矽化物製程)的矽化來形成,於其中,一金屬材料形成在含有矽的結構或區域旁邊,然後升高溫度以退火並導致矽與金屬之間的反應以形成一矽化物層,並且未反應的金屬係被蝕刻掉。矽化物層可在各種特徵上自對齊,諸如源極區域、汲極區域、及/或閘極電極,以減少對應的接觸電阻。
依據本揭示之各種實施例,圖案化的RPOs 1902、2002、2102、2202、及2302各者係被形成以阻擋基體202沒有想要形成矽化物層的各別部分(亦即沒有發生矽化物製程,即使具有矽)。此種”阻擋”部分可用作為將要形成之高電壓電晶體之漂移區域的至少一部分,其中通常希望對應的漂移電阻保持相對較高。
例如,於圖24中,依據圖案化的RPO 1902,矽化物層2402沿著源極區域1502及汲極區域1504各者之各別的頂部表面來形成(亦即沒有矽化物層沿著LDD區域1104之頂部表面來形成);於圖25中,依據圖案化的RPO 2002,矽化 物層2502沿著源極區域1502及汲極區域1504各者之各別的頂部表面來形成(亦即沒有矽化物層沿著LDD區域1104之頂部表面來形成);於圖26中,依據圖案化的RPO 2102,矽化物層2602沿著源極區域1602、LDD區域1206、及汲極區域1604各者之各別的頂部表面來形成(亦即沒有矽化物層沿著LDD區域1204之頂部表面來形成);於圖27中,依據圖案化的RPO 2202,矽化物層2702沿著源極區域1602、LDD區域1206、及汲極區域1604各者之各別的頂部表面來形成(亦即沒有矽化物層沿著LDD區域1204之頂部表面來形成);以及於圖28中,依據圖案化的RPO 2302,矽化物層2802沿著源極區域1602、LDD區域1206、及汲極區域1604各者之各別的頂部表面來形成(亦即沒有矽化物層沿著LDD區域1204之頂部表面來形成)。
對應於圖1之操作124,圖29、圖30、圖31、圖32、及圖33係為半導體裝置200之第一/第二實施例的剖面圖,於其中,一蝕刻停止層2902係形成於分別地具有圖案化的RPOs 1902、2002、2102、2202、及2302之工件上方。
蝕刻停止層2902(由例如氮化矽或任何其它合適的氮基介電材料所形成)通常用作為用於實行來形成一源極區域、一汲極區域或一閘極結構之一接觸孔之一或多個蝕刻製程的停止層。蝕刻停止層2902可形成為沿著當前形成於基體202上方之特徵之暴露表面的一襯料結構,例如於圖29中,蝕刻停止層2902延伸於沿著基體202之頂部表面形成之各種特徵(例如STIs 302及304、矽化物層2402)、閘極間隔物1902之其中一者、及硬遮罩層908上方。藉由圖案化的RPOs 1902、2002、2102、2202、及2302各者不具有延伸於任何相鄰的犧牲閘極結構及它們的遮罩層之上的部分,蝕刻停止層2902沒有形成在犧牲閘極結構之上之不正常的隆起,此種不正常的隆起會不利地導致接下來的CMP製程失敗,因為典型地形成在硬遮罩層的上部角落的隆起會防止設置在硬遮罩層之頂部表面上方的蝕刻停止層的特定部分被拋光。如果這部分蝕刻停止層在CMP製程之 後保留,則犧牲柵極結構在接下來的柵極置換製程中不能暴露,如此,犧牲柵極結構不能以期望的柵極金屬結構置換。
對應於圖1之操作126,圖34、圖35、圖36、圖37、及圖38係為半導體裝置200之第一/第二實施例的剖面圖,於其中,一第一層間介電質(ILD)3402係形成於分別地具有圖案化的RPOs 1902、2002、2102、2202、及2302之工件上方。
通常,第一ILD 3402係以一相對厚的高度(厚度)來形成以嵌入或以其它方式覆蓋當前形成在基體202上方之每一特徵,例如,半導體裝置200在當前製造階段被蝕刻停止層2902襯裹之每一特徵可進一步被第一ILD 3402覆蓋。ILD 3402係以諸如氧化矽、磷矽酸鹽玻璃(PSG)、硼矽玻璃(BSG)、硼摻雜磷矽酸鹽玻璃(BPSG)、未摻雜矽玻璃(USG)、或類似者之介電材料來形成,且可藉由諸如CVD、PECVD、或FCVD之任何合適的方法來沉積。在ILD形成之後,一可選擇的介電保護層(未顯示)係形成在ILD上方。介電保護層可以防止或減少ILD在隨後的蝕刻製程中損失。介電保護層可以諸如氮化矽、碳氮化矽、或類似者之合適的材料、且可使用諸如CVD、PECVD、或FCVD之合適的方法來形成。
對應於圖1之操作128,圖39、圖40、圖41、圖42、及圖43係為半導體裝置200之第一/第二實施例的剖面圖,於其中,一平坦化或拋光製程(例如一CMP製程)3902係實行在分別地具有圖案化的RPOs 1902、2002、2102、2202、及2302之工件上。
在形成第一ILD 3402時,CMP製程3902係實行在工件上直到暴露下方的犧牲閘極層,例如於半導體裝置200之第一實施例中(圖39及圖40),CMP製程3902係被實行以首先移除第一ILD 3402、設置在硬遮罩層908之頂部表面上方之蝕刻停止層2902的一部分、且然後移除硬遮罩層908直到暴露犧牲閘極層906之頂部表面。除了暴露犧牲閘極層,亦可分別地暴露閘極間隔物1302之部 分、圖案化的RPO 1902、及蝕刻停止層2902(圖39);並且亦可分別地暴露閘極間隔物1302之部分、及蝕刻停止層2902(圖40)。於半導體裝置200之第二實施例的另一範例中(圖41、圖42、及圖43),CMP製程3902係被實行以首先移除第一ILD 3402、設置在硬遮罩層1008及1018之頂部表面上方之蝕刻停止層2902的一部分、且然後移除硬遮罩層1008及1018直到暴露犧牲閘極層1006及1016之頂部表面。除了暴露犧牲閘極層,亦可分別地暴露閘極間隔物1402之部分、閘極間隔物1412、圖案化的RPO 2102、及蝕刻停止層2902(圖41);亦可分別地暴露閘極間隔物1402之部分、閘極間隔物1412、圖案化的RPO 2202、及蝕刻停止層2902(圖42);並且亦可分別地暴露閘極間隔物1402之部分、閘極間隔物1412、及蝕刻停止層2902(圖43)。
對應於圖1之操作130,圖44及圖45係為半導體裝置200之第一實施例的剖面圖,於其中,犧牲閘極結構902係以一閘極金屬結構4402來置換。對應於相同操作,圖46、圖47、及圖48係為半導體裝置200之第二實施例的剖面圖,於其中,犧牲閘極結構1002及1012係分別地以閘極金屬結構4602及4612來置換。
在暴露犧牲閘極層時,包括犧牲閘極層及下方之犧牲閘極介電層之犧牲閘極結構係被移除(閘極間隔物保持實質上完整),從而形成一閘極溝槽。閘極溝槽隨後係以有時候共同地稱之為一閘極金屬結構之一閘極介電層及一閘極金屬層來填充。替代地說,犧牲閘極層及犧牲閘極介電層係分別地被閘極介電層及閘極金屬層置換。於一些其它的實施例中,犧牲閘極介電層可以保留(亦即僅移除犧牲閘極層),例如,於圖44至圖45中,閘極金屬結構4402包括一閘極介電層4404及一閘極金屬層4406;並且於圖46至圖48中,閘極金屬結構4602包括一閘極介電層4604及一閘極金屬層4606,且閘極金屬結構4612包括一閘極介電層4614及一閘極金屬層4616。
沒有可圖案化的RPO的部分設置於硬遮罩層上方,CMP可以成功地移除硬遮罩層以暴露犧牲閘極結構。如此,在以一金屬閘極結構置換犧牲閘極結構之後,金屬閘極結構可以具有一高度,其等於或實質上高於可圖案化的RPO之一最高點的高度,例如,於圖44中,金屬閘極結構4402具有一高度(H3),其約等於可圖案化的RPO 1902之一最高點的高度(H4);於圖45的範例中,金屬閘極結構4402具有一高度(H3),其實質上高於可圖案化的RPO 2002之一最高點的高度(H4);於圖46的範例中,金屬閘極結構4602及4612具有一高度(H3),其約等於可圖案化的RPO 2102之一最高點的高度(H4);於圖47的範例中,金屬閘極結構4602及4612具有一高度(H3),其約等於可圖案化的RPO 2202之一最高點的高度(H4);以及於圖48的範例中,金屬閘極結構4602及4612具有一高度(H3),其實質上高於可圖案化的RPO 2302之一最高點的高度(H4)。
閘極介電層可以不同的高k介電材料或一類似的高k介電材料來形成。範例的高k介電材料包括一金屬氧化物或Hf、Al、Zr、La、Mg、Ba、Ti、Pb之一矽化物、以及其組合。閘極介電層可包括多個高k介電材料之堆疊。閘極介電層可以使用任何合適的方法來沉積,例如包括分子束沉積(MBD)、原子層沉積(ALD)、PECVD、及類似者。於一些實施例中,閘極介電層可選擇性地包括一實質上薄的氧化物(例如SiOx)層,其可為形成在基體202之表面上的一原生氧化物層。
閘極金屬層可包括多個金屬材料之堆疊,例如,閘極金屬層可為一p型式工作函數(work function)層、一n型式工作函數層、其多個層、或其組合。工作函數層亦可稱之為一工作函數金屬。範例的p型式工作函數金屬可包括TiN、TaN、Ru、Mo、Al、WN、ZrSi2、MoSi2、TaSi2、NiSi2、WN、其它合適的p型式工作函數材料、或其組合。範例的n型式工作函數金屬可包括Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其它合適的n型式工作 函數材料、或其組合。一工作函數值可關聯於工作函數層之材料組成,且因此,選擇工作函數層之材料以調整其工作函數值,使得在將要形成的裝置中達到一目標閾值電壓Vt。工作函數層可藉由CVD、PVD、ALD、及/或其它合適的製程來沉積。
對應於圖1之操作132,圖49及圖50係為包括若干接觸結構4912、4914、及4916之半導體裝置200之第一實施例的剖面圖。對應於相同操作,圖51、圖52、及圖53係為包括若干接觸結構5102、5104、5106、及5108之半導體裝置200之第二實施例的剖面圖。
在閘極金屬結構形成之後,可以形成一第二ILD覆蓋工件(例如第一ILD 3402)。接著,可以形成若干接觸結構延伸通過第二ILD以(例如電性地)分別地耦接至若干裝置特徵。於各種實施例中,接觸特徵可以藉由實行一些下列的製程來形成:形成一可圖案化層於第二ILD上方,可圖案化層包括界定接觸結構之位置的圖案;蝕刻至少第二ILD以形成若干凹穴,其中可圖案化為做為一遮罩;以及以一金屬材料(例如Al、Cu、W、Ti、Ta、TiN、TaN)填充凹穴。
於圖49及圖50的範例中,接觸結構4912、4914、及4916延伸通過第二ILD 4902及/或第一ILD 3402以(例如電性地)分別地耦接至閘極金屬結構4402(具體地,閘極金屬層4406)、源極區域1502(透過矽化物層2402/2502)、及汲極區域1504(透過矽化物層2402/2502)。於一些實施例中,金屬閘極結構4402可作用為一主動或控制閘極。於圖51、圖52、及圖53的範例中,接觸結構5102、5104、5106、及5108延伸通過第二ILD 4902及/或第一ILD 3402以(例如電性地)分別地耦接至閘極金屬結構4602(具體地,閘極金屬層4606)、閘極金屬結構4612(具體地,閘極金屬層4616)、源極區域1602(透過矽化物層2602/2702/2802)、及汲極區域1504(透過矽化物層2602/2702/2802)。於一些實施例中,相較於金屬閘極結構4602作用為一主動或控制閘極,金屬閘極結構4612可作用為一虛設或 非控制閘極。如此處所使用,一主動或控制閘極係為接收一電壓以致動一對應的電晶體之閘極,例如關閉或打開,且一虛設或非控制閘極可以漂移或耦接至一預定參考電壓(未顯示)。
於本揭示之一態樣中,揭示一種半導體裝置。該半導體裝置包括一第一閘極金屬結構,以一第一高度設置於一基體上方,其中一第一閘極間隔物及一第二閘極間隔物分別地沿著該第一閘極金屬結構之第一側壁及第二側壁延伸。該半導體裝置包括一第一源極/汲極區域,從該第一閘極金屬結構設置於該第一閘極間隔物對面。該半導體裝置包括一第二源極/汲極區域,從該第一閘極金屬結構設置於該第二閘極間隔物對面,其中該第一側壁與該第一源極/汲極區域之間的一第一側向距離係小於該第二閘極間隔物與該第二源極/汲極區域之間的一第二側向距離。該半導體裝置包括一介電層,延伸於該基體之一主要表面之一部分上方,該部分從該第一閘極金屬結構側向地位於該第二閘極間隔物對面,其中該介電層進一步以一第二高度沿著該第二閘極間隔物之一側壁延伸,該第二高度實質上相同於該第一高度。
於本揭示之另一態樣中,揭示一種半導體裝置。該半導體裝置包括一主動閘極金屬結構,設置於一基體上方,該主動閘極金屬結構具有彼此相對之一第一側壁及一第二側壁。該半導體裝置包括一第一源極/汲極區域,以一第一側向距離設置相鄰於該主動閘極金屬結構之該第一側壁。該半導體裝置包括一第二源極/汲極區域,以一第二側向距離設置相鄰於該主動閘極金屬結構之該第二側壁,其中該第二側向距離係實質上大於該第一側向距離。該半導體裝置包括一抗蝕保護氧化物(RPO),包含一第一部分,該第一部分在側向地位於該第二側壁與該第二源極/汲極區域之間之該基體的一主要表面之一部分上方延伸,其中該抗蝕保護氧化物不具有在該主動閘極金屬結構之一頂部表面上方延伸之部分。
於本揭示之又一態樣中,揭示一種用於製造半導體裝置的方法。該方法包括形成一第一多晶矽閘極結構於一基體上方,其中該第一多晶矽閘極結構係被一第一遮罩層覆蓋。該方法包括沿著該基體之一主要表面形成一第一源極/汲極區域及一第二源極/汲極區域,其中該第一源極/汲極區域及該第二源極/汲極區域相對於該第一多晶矽閘極結構係非對稱地設置。該方法包括以一介電層覆蓋該第一多晶矽閘極結構、該第一源極/汲極區域、及該第二源極/汲極區域。該方法包括圖案化該介電層,從而導致該介電層之以下所述部分之至少一者被移除:一第一部分,覆蓋該第一遮罩層之一頂部表面;一第二部分,沿著該第一多晶矽閘極結構及該第一遮罩層之一或多個側壁延伸;一第三部分,覆蓋該第一源極/汲極區域;或一第四部分,覆蓋該第二源極/汲極區域。
如本文所使用,用語”約(about)”及”大約(approximately)”通常意指所述之值加或減10%,例如,約0.5會包括0.45及0.55,約10會包括9至11,約1000會包括900至1100。
以上概述了數個實施方式的特徵,以便本領域具有通常知識者可較佳地瞭解本揭示內容的各方面。本領域具有通常知識者將瞭解,他們可能容易地使用本揭示內容,作為其它製程與結構之設計或修改的基礎,以實現與在此介紹的實施方式之相同的目的,及/或達到相同的優點。本領域具有通常知識者亦會瞭解,與這些均等的建構不脫離本揭示內容的精神與範圍,並且他們可能在不脫離本揭示內容的精神與範圍的情況下,進行各種改變、替換、與變更。
100:方法
102:操作
104:操作
106:操作
108:操作
110:操作
112:操作
114:操作
116:操作
118:操作
120:操作
122:操作
124:操作
126:操作
128:操作
130:操作
132:操作

Claims (10)

  1. 一種半導體裝置,包含:一第一閘極金屬結構,以一第一高度設置於一基體上方,其中一第一閘極間隔物及一第二閘極間隔物分別地沿著該第一閘極金屬結構之第一側壁及第二側壁延伸;一第一源極/汲極區域,從該第一閘極金屬結構設置於該第一閘極間隔物對面;一第二源極/汲極區域,從該第一閘極金屬結構設置於該第二閘極間隔物對面,其中該第一側壁與該第一源極/汲極區域之間的一第一側向距離係小於該第二閘極間隔物與該第二源極/汲極區域之間的一第二側向距離;以及一介電層,延伸於該基體之一主要表面之一部分上方,該部分從該第一閘極金屬結構側向地位於該第二閘極間隔物對面,其中該介電層進一步以一第二高度沿著該第二閘極間隔物之一側壁延伸,該第二高度實質上相同於該第一高度;其中該第一源極/汲極區域及該第二源極/汲極區域係分別地被一第一矽化物層及一第二矽化物層覆蓋,該主要表面之該部分沒有任何矽化物層。
  2. 如請求項1所述之半導體裝置,進一步包含一第二閘極金屬結構,以該第一高度設置於該基體上方,其中一第三閘極間隔物及一第四閘極間隔物分別地沿著該第二閘極金屬結構之第一側壁及第二側壁延伸,且其中該第三閘極間隔物面向該第二閘極間隔物。
  3. 如請求項2所述之半導體裝置,其中該介電層具有一側向端部,終止在該第三閘極間隔物之一側壁。
  4. 如請求項1所述之半導體裝置,進一步包含一蝕刻停止層,該蝕刻停止層包含襯裹該介電層之一第一部分,其中該蝕刻停止層不具有在該第一閘極金屬結構之一頂部表面上方延伸之部分。
  5. 一種半導體裝置,包含:一主動閘極金屬結構,設置於一基體上方,該主動閘極金屬結構具有彼此相對之一第一側壁及一第二側壁;一第一源極/汲極區域,以一第一側向距離設置相鄰於該主動閘極金屬結構之該第一側壁;一第二源極/汲極區域,以一第二側向距離設置相鄰於該主動閘極金屬結構之該第二側壁,其中該第二側向距離係實質上大於該第一側向距離;一抗蝕保護氧化物(RPO),包含一第一部分,該第一部分在側向地位於該第二側壁與該第二源極/汲極區域之間之該基體的一主要表面之一部分上方延伸,其中該抗蝕保護氧化物不具有在該主動閘極金屬結構之一頂部表面上方延伸之部分;以及一虛設閘極金屬結構,側向地設置於該抗蝕保護氧化物之該第一部分與該第二源極/汲極區域之間,其中該虛設閘極金屬結構具有面朝該主動閘極金屬結構之一第一側壁及遠離該主動閘極金屬結構之一第二側壁。
  6. 如請求項5所述之半導體裝置,其中該光阻保護氧化物進一步包含一第二部分及一第三部分,分別地沿著該主動閘極金屬結構之該第二側壁及該虛設閘極金屬結構之該第一側壁延伸。
  7. 如請求項5所述之半導體裝置,進一步包含一蝕刻停止層,該蝕刻停止層包含一部分,該部分襯裹該抗蝕保護氧化物之該第一部分,其中該蝕刻停止層不具有在該主動閘極金屬結構之該頂部表面上方延伸之部分。
  8. 一種用於製造半導體裝置的方法,包含: 形成一第一多晶矽閘極結構於一基體上方,其中該第一多晶矽閘極結構係被一第一遮罩層覆蓋;沿著該基體之一主要表面形成一第一源極/汲極區域及一第二源極/汲極區域,其中該第一源極/汲極區域及該第二源極/汲極區域相對於該第一多晶矽閘極結構係非對稱地設置;以一介電層覆蓋該第一多晶矽閘極結構、該第一源極/汲極區域、及該第二源極/汲極區域;以及圖案化該介電層,從而導致該介電層之以下所述部分之至少一者被移除:一第一部分,覆蓋該第一遮罩層之一頂部表面;一第二部分,沿著該第一多晶矽閘極結構及該第一遮罩層之一或多個側壁延伸;一第三部分,覆蓋該第一源極/汲極區域;或一第四部分,覆蓋該第二源極/汲極區域;形成一第二多晶矽閘極結構於該基體上方,其中該第二多晶矽閘極結構係被一第二遮罩層覆蓋,其中該第二多晶矽閘極結構係側向地間隔於該第一多晶矽閘極結構,且側向地設置於該第一多晶矽閘極結構與該第二源極/汲極區域之間;形成一第一矽化物層及一第二矽化物層分別地覆蓋該第一源極/汲極區域及該第二源極/汲極區域;形成一蝕刻停止層覆蓋該第一矽化物層、該第一多晶矽閘極結構、該第二多晶矽閘極結構、圖案化之該介電層、及該第二矽化物層;拋光該蝕刻停止層、該第一遮罩層、及該第二遮罩層,直到該第一多晶矽閘極結構之一頂部表面及該第二多晶矽閘極結構之一頂部表面被同時地暴露;以及以一第一閘極金屬結構及一第二閘極金屬結構分別地置換該第一多晶矽閘極結構及該第二多晶矽閘極結構。
  9. 如請求項8所述之方法,進一步包含: 形成一第一矽化物層及一第二矽化物層分別地覆蓋該第一源極/汲極區域及該第二源極/汲極區域;形成一蝕刻停止層覆蓋該第一矽化物層、該第一多晶矽閘極結構、圖案化之該介電層、及該第二矽化物層;拋光該蝕刻停止層及該第一遮罩層,直到該第一多晶矽閘極結構之一頂部表面被暴露;以及以一第一閘極金屬結構置換該第一多晶矽閘極結構。
  10. 如請求項8所述之方法,其中該第一閘極金屬結構作用為一主動閘極結構,而該第二閘極金屬結構作用為一虛設閘極結構。
TW111111024A 2021-10-20 2022-03-24 半導體裝置及其製造方法 TWI836384B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163257875P 2021-10-20 2021-10-20
US63/257,875 2021-10-20
US17/586,685 US20230119077A1 (en) 2021-10-20 2022-01-27 Semiconductor devices and methods of manufacturing thereof
US17/586,685 2022-01-27

Publications (2)

Publication Number Publication Date
TW202332047A TW202332047A (zh) 2023-08-01
TWI836384B true TWI836384B (zh) 2024-03-21

Family

ID=

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202133244A (zh) 2020-02-26 2021-09-01 台灣積體電路製造股份有限公司 半導體裝置及其製造方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202133244A (zh) 2020-02-26 2021-09-01 台灣積體電路製造股份有限公司 半導體裝置及其製造方法

Similar Documents

Publication Publication Date Title
US8461647B2 (en) Semiconductor device having multi-thickness gate dielectric
US11676819B2 (en) Method for metal gate cut and structure thereof
US9947766B2 (en) Semiconductor device and fabricating method thereof
EP3258498B1 (en) Ldmos design for a finfet device
US10438854B2 (en) Method for manufacturing CMOS structure
TW202046505A (zh) 半導體裝置
CN108878529B (zh) 半导体器件及其制造方法
US11855162B2 (en) Contacts for semiconductor devices and methods of forming the same
CN113270473A (zh) 半导体装置及其形成方法
TW202107618A (zh) 半導體裝置形成方法
CN114784003A (zh) 一种半导体器件及其制作方法
US10332804B2 (en) Method for manufacturing CMOS structure
US20220367452A1 (en) Semiconductor structure and method of forming thereof
US9230990B2 (en) Silicon-on-insulator integrated circuit devices with body contact structures
TWI836384B (zh) 半導體裝置及其製造方法
US20230119077A1 (en) Semiconductor devices and methods of manufacturing thereof
US11942541B2 (en) Semiconductor device and method for forming the same
US11764288B2 (en) Semiconductor device and manufacturing method thereof
US11380779B2 (en) Semiconductor device and manufacturing method thereof
TWI808828B (zh) 形成半導體裝置結構的方法
US20230016468A1 (en) Semiconductor devices and methods of manufacturing thereof
US20240021619A1 (en) Finfet device and method
US10056455B1 (en) Semiconductor device and method of fabricating the same
TW202320228A (zh) 半導體裝置及其製造方法
CN103811321A (zh) 半导体器件及其制造方法