TWI835349B - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TWI835349B
TWI835349B TW111138994A TW111138994A TWI835349B TW I835349 B TWI835349 B TW I835349B TW 111138994 A TW111138994 A TW 111138994A TW 111138994 A TW111138994 A TW 111138994A TW I835349 B TWI835349 B TW I835349B
Authority
TW
Taiwan
Prior art keywords
forming
semiconductor device
channel region
layer
source
Prior art date
Application number
TW111138994A
Other languages
English (en)
Other versions
TW202324511A (zh
Inventor
黃瑞乾
思雅 廖
王振印
王世豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/861,052 external-priority patent/US20230178435A1/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202324511A publication Critical patent/TW202324511A/zh
Application granted granted Critical
Publication of TWI835349B publication Critical patent/TWI835349B/zh

Links

Images

Abstract

方法包含形成第一半導體裝置的第一電晶體,第一電晶體包含第一通道區及在第一通道區上的第一閘極電極。透過設置於第一半導體裝置與第二半導體裝置之間的接合層來將第二半導體裝置接合至第一半導體裝置。形成第二半導體裝置的第二電晶體,第二電晶體包含第二通道區及在第二通道區上的第二閘極電極。接合層設置於第一電晶體的第一閘極電極與第二電晶體的第二閘極電極之間。

Description

半導體裝置及其形成方法
本發明實施例係有關於半導體技術,且特別是有關於半導體裝置及其形成方法。
對包含智慧型手機、平板電腦、桌上型電腦、筆記型電腦和許多其他類型的電子裝置在內的電子裝置的運算能力不斷提高。 半導體裝置為這些電子裝置提供運算能力。 在半導體裝置中增加運算能力的方法之一是增加可被包含在半導體基底的給定區域中的電晶體及其他半導體裝置部件的數量。
奈米結構電晶體可協助增加運算能力,因為奈米結構電晶體可以非常小,且相較於傳統電晶體可具有改善的功能。奈米結構電晶體可包含複數個半導體奈米結構(例如奈米線、奈米片等)作為電晶體的通道區。源極及汲極區可耦接至奈米結構。可能難以形成具有所期望特性的源極和汲極區。
在一些實施例中,提供半導體裝置的形成方法,此方法包含形成第一半導體裝置的第一電晶體,形成第一電晶體的步驟包含:形成第一通道區;及在第一通道區上形成第一閘極電極;透過在第一半導體裝置與第二半導體裝置之間形成第一接合層來將第二半導體裝置接合至第一半導體裝置;以及形成第二半導體裝置的第二電晶體,形成第二電晶體的步驟包含:形成第二通道區;及在第二通道區上形成第二閘極電極,其中第一接合層設置於第一電晶體的第一閘極電極與第二電晶體的第二閘極電極之間。
在一些實施例中,提供半導體裝置的形成方法,此方法包含在第一基底上或第一基底中形成第一半導體裝置,形成第一半導體裝置的步驟包含:形成第一通道區;在第一通道區上方形成第一閘極電極;及形成接觸第一通道區的第一源極/汲極區,第一源極/汲極區沿第一方向相鄰於第一通道區;在第一半導體裝置上形成第一接合層;在第二基底上形成第二接合層;將第一接合層和第二接合層彼此接合;以及在第二基底上或第二基底中形成第二半導體裝置,形成第二半導體裝置的步驟包含:形成第二通道區;在第二通道區上方形成第二閘極電極;及形成接觸第二通道區的第二源極/汲極區,第二源極/汲極區沿第一方向相鄰於第二通道區。
在另外一些實施例中,提供半導體裝置,半導體裝置包含基底;第一電晶體,位於基底上,第一電晶體包含:第一通道區;第一閘極電極,位於第一通道區上方;及第一源極/汲極區,接觸第一通道區,第一源極/汲極區沿第一方向相鄰於第一通道區;絕緣層,位於第一電晶體上;以及第二電晶體,位於絕緣層上,第二電晶體包含:第二通道區;第二閘極電極,位於第二通道區上方;及第二源極/汲極區,接觸第二通道區,第二源極/汲極區沿第一方向相鄰於第二通道區。
在以下描述中,描述用於半導體裝置中的各種層及結構的許多厚度及材料。對於各種實施例,具體尺寸及材料以範例的方式提供。本領域技術人員將理解依據本發明實施例,在不脫離本發明實施例的範圍的情況下,許多情況可使用其他尺寸及材料。
要瞭解的是以下的揭露內容提供許多不同的實施例或範例,以實施提供之主體的不同部件。以下敘述各個構件及其排列方式的特定範例,以求簡化揭露內容的說明。當然,這些僅為範例並非用以限定本發明。例如,以下的揭露內容敘述了將一第一部件形成於一第二部件之上或上方,即表示其包含了所形成的上述第一部件與上述第二部件是直接接觸的實施例,亦包含了尚可將附加的部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與上述第二部件可能未直接接觸的實施例。此外,揭露內容中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖式中一元件或部件與另一(複數)元件或(複數)部件的關係,可使用空間相關用語,例如“在...之下”、“下方”、“下部”、“在...之上”、“上部”及類似的用語。除了圖式所繪示的方位之外,空間相關用語也涵蓋裝置在使用或操作中的不同方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。
在以下描述中,為了提供對本發明各種實施例的透徹理解,闡述了某些具體細節。然而,本領域技術人員將理解,可以在沒有這些具體細節的情況下實踐本發明實施例。在其他情況下,沒有詳細描述與電子組件及製造技術相關的已知結構,以避免不必要地混淆本發明實施例的描述。
除非上下文另有要求,否則在隨後的說明書及請求項中,“包括(comprise)”一詞及其變型,例如“包括(comprises)”和“包括(comprising)”,應以開放、包容的意義解釋,例如“包含,但不限於此”。
諸如第一、第二和第三等序數的使用並不一定意味著排序的順序,而是可能僅區分多個範例的行為或結構。
在整個說明書中對 “一些實施例”或“一實施例”的引用意味著結合此實施例描述的特定特徵、結構或特性被包括在至少一些實施例中。因此,在整個說明書的各個地方出現的用語“在一實施例中(in one embodiment)”、“在一實施例中(in an embodiment)”、“在一些實施例中(in some embodiments)”不一定都指相同的實施例。再者,可以在一個或多個實施例中以任何合適的方式組合特定特徵、結構或特性。
如在本說明書和所附請求項中使用,單數形式“a”、“an”和“the”包括複數指示物,除非內容另有明確規定。 也應注意的是,除非內容另有明確規定,否則術語“或”通常以其包含“及/或”的含義使用。
第1圖為依據一些實施例之半導體裝置10的透視圖。半導體裝置10包含第一半導體裝置100及第二半導體裝置200,第一半導體裝置100及第二半導體裝置200透過接合層70彼此隔開。
如第1圖所示,第一半導體裝置100及第二半導體裝置200的每一者可為電晶體或包含電晶體。電晶體可為具有任何類型的電晶體架構的任何類型的電晶體,例如可包含奈米片或全繞式閘極電晶體、鰭式場效電晶體、二維結構或任何其他類型的電晶體結構。在第1圖顯示的範例中,第一半導體裝置100及第二半導體裝置200的每一者包含至少一個全繞式閘極電晶體。舉例來說,第一半導體裝置100包含電晶體,電晶體具有由半導體奈米結構106的堆疊物、閘極電極108及源極/汲極區110形成的通道區。相似地,第二半導體裝置200包含電晶體,電晶體具有由半導體奈米結構206的堆疊物、閘極電極208及源極/汲極區210形成的通道區。
如下文所詳述,可透過在基底上形成第一半導體裝置100,接著使用接合層70將第一半導體裝置100接合至第二半導體裝置200來形成半導體裝置10。因此,接合層70可將第一半導體裝置100及第二半導體裝置200的每一者的電性部件物理隔開及電性隔離。在接合之後,可進一步將第二半導體裝置200加工,以形成或定義電性部件,例如第二半導體裝置200的電晶體。
在一些實施例中,電性接點16可形成於第一半導體裝置100的背側。電性接點16可例如為電性耦接至或接觸源極/汲極區110的源極/汲極接點。在一些實施例中,在第一半導體裝置100的背側上的電性接點16可為電性耦接至第一半導體裝置100的源極/汲極區110或閘極電極108的一個或多個的背側電源軌。
如第1圖所示,源極/汲極接點214形成於源極/汲極區210上,且在一些實施例中,源極/汲極接點214接觸源極/汲極區210上的矽化物層。半導體奈米結構106及206用作第一半導體裝置100及第二半導體裝置200的電晶體的通道區,且電晶體可透過施加電壓至閘極電極108及208、源極/汲極接點214以及電性接點16來操作,以啟用或防止電流通過源極/汲極區110及210之間的半導體奈米結構106及206。
半導體奈米結構106及206的每一者延伸於鄰近的源極/汲極區110及210之間。半導體奈米結構106及206可包含單晶半導體材料,例如矽、矽鍺或其他半導體材料。半導體奈米結構106及206可為本質(intrinsic)半導體材料或可為摻雜半導體材料。半導體奈米結構106及206可包含奈米片、奈米線或其他類型的奈米結構。
閘極電極108及208包含一個或多個導電材料。閘極電極108及208可包含鎢、鋁、鈦、鉭、銅、金或其他導電材料的一個或多個。在一些實施例中,閘極電極108及208分別圍繞(例如圍繞至少四個面)半導體奈米結構106及206,使得半導體奈米結構106及206的每一者延伸通過源極/汲極區110及210之間對應的閘極電極108及208。閘極介電質圍繞半導體奈米結構106及206,且用作半導體奈米結構106及206與閘極電極108及208之間的介電護套(dielectric sheath)。因此,第一半導體裝置100及第二半導體裝置200的電晶體可被視為全繞式閘極奈米結構電晶體。雖然本文顯示範例主要使用全繞式閘極電晶體,但是在不脫離本發明實施例的範圍的情況下,可使用其他類型的電晶體。
在一些實施例中,半導體裝置10包含基底12及在基底12上或延伸至基底12中的淺溝槽隔離區130。基底12可為任何合適基底,且在一些實施例中,為半導體基底。
如本文將進一步詳細描述,本發明實施例提供半導體裝置及方法,其中半導體裝置(例如半導體裝置10)的第一及第二半導體裝置(例如電晶體)可彼此堆疊,且可能具有彼此不同的裝置架構或電晶體結構,例如奈米片或全繞式閘極電晶體、鰭式場效電晶體、二維結構或任何其他類型的電晶體結構。在一些實施例中,第一及第二半導體裝置具有不同導電型、不同半導體材料或不同晶格曲向。在一些實施例中,提供兩層或更多層透過接合層彼此隔開的源極/汲極接點和閘極電極,這有助於將半導體裝置實現為順序互補式場效電晶體(complementary field-effect transistor,CFET)裝置,例如第一半導體裝置的電性部件可與第二半導體裝置的電性部件隔離。
由於互補式場效電晶體裝置可相對堆疊,因此相較於其他類型的電晶體,互補式場效電晶體裝置可以有利地形成為具有增加的密度。再者,本文提供的半導體裝置(包含第1圖所示的半導體裝置10)可為形成於比單片互補式場效電晶體更簡單的方式的順序互補式場效電晶體,因為可形成第一半導體裝置10,接著接合至第二半導體裝置200,之後可進一步加工。
本文提供的半導體裝置及方法有利地促進形成具有第一及第二半導體裝置的互補式場效電晶體裝置,第一及第二半導體裝置可為通道及應變彼此獨立,例如第一及第二半導體裝置透過接合層彼此隔離。相似地,堆疊半導體裝置的第一及第二半導體裝置之間的通道方向及通到材料可為不同,且在第一及第二半導體裝置中,源極/汲極區也可由不同材料形成。
鑑於上述情況並且如本文將進一步詳細描述,本文提供的半導體裝置促進改善裝置效能調整,例如因為第一及第二半導體裝置的電晶體可以不同地形成並且彼此獨立。舉例來說,第一及第二半導體裝置的電晶體可形成具有不同臨界電壓Vt調整,例如具有不同的功函數金屬厚度。
在一些實施例中,在使用接合層將第一及第二半導體裝置彼此接合之後,在第二半導體裝置的加工期間,第一及第二半導體器件之間的接合層可以有利地用作蝕刻停止層。這避免了為了形成蝕刻停止層而進行的加工步驟的額外複雜性及成本。
第2A到3J圖為依據一些實施例,半導體裝置300在加工的各種階段的剖面示意圖。半導體裝置300可為互補式場效電晶體(CFET)裝置,互補式場效電晶體裝置包含彼此不同的第一半導體裝置100及第二半導體裝置200。可使用本文中關於半導體裝置300更詳細闡述的一個或多個製程來形成第1圖顯示的半導體裝置10。
第2A到2Y圖顯示形成包含奈米結構電晶體的第一半導體裝置100的例示性製程,且第3A到3J圖一般顯示形成包含奈米結構電晶體的第二半導體裝置200。第2A到3J圖顯示依據本發明實施例的原理,如何在簡易且有效的製程中形成半導體裝置300的電晶體。在不脫離本發明實施例的範圍的情況下,可使用其他製程步驟及製程步驟的組合。奈米結構電晶體可包含全繞式閘極電晶體、多橋接電晶體、奈米片電晶體、奈米線電晶體或其他類型的奈米結構電晶體。
奈米結構電晶體結構可透過使用任何合適方法圖案化。舉例來說,這些結構可透過使用一個或多個光微影製程圖案化,光微影製程包含雙重圖案化或多重圖案化製程。一般來說,雙重圖案化或多重圖案化製程結合了光微影和自對準製程,以創造具有較小間距的圖案,舉例來說,此圖案具有比使用單一直接光微影製程可獲得的間距更小的圖案。舉例來說,在一些實施例中,犧牲層形成於基底上方,並使用光微影製程來圖案化。間隔物透過使用自對準製程形成於圖案化犧牲層旁邊。接著,移除犧牲層,且可接著使用剩下的間隔物將奈米結構電晶體結構圖案化。
本發明實施例的圖式可包含指示圖式的剖面示意圖的方向的軸。這些軸包含橫向軸X和Y及垂直軸Z。所有軸彼此互相垂直。X 軸從右向左延伸的圖式將被稱為“X 視圖”。Y軸從右向左延伸的圖式將被稱為“Y 視圖”。
如第2A圖所示,第一半導體裝置100包含基底102(有時被稱為半導體基底)。在一些實施例中,基底102包含在至少一表面部分上的單晶半導體層。基底102可包含單晶半導體材料,例如Si、 Ge、 SiGe、 GaAs、 InSb、 GaP、 GaSb、 InAlAs、 InGaAs、 GaSbP、 GaAsSb及InP,但不限於此。在本文描述的範例製程中,基底102包含矽,但是在不脫離本發明實施例的範圍的情況下,可使用其他半導體材料。
基底102可包含一個或多個緩衝層(未顯示)在基底的表面區域中。緩衝層可用來逐漸改變從基底的晶格常數到源極/汲極區的晶格常數。緩衝層可由磊晶成長單晶半導體材料形成,例如Si、 Ge、GeSn、 SiGe、 GaAs、 InSb、 GaP、 GaSb、 InAlAs、 InGaAs、 GaSbP、 GaAsSb、 GaN、 GaP及InP,但不限於此。基底102可包含已合適摻雜雜質(例如p型或n型導電型)的各種區域。摻雜物可例如為用於n型電晶體的硼(BF 2)及用於p型電晶體的磷。
第一半導體裝置100包含複數個半導體層116,半導體層116可形成半導體奈米結構106。半導體奈米結構106為半導體材料層。半導體層116形成於基底102上方。半導體層116可包含一層或多層的Si、 Ge、 SiGe、 GaAs、 InSb、 GaP、 GaSb、 InAlAs、 InGaAs、 GaSbP、 GaAsSb或InP。在一些實施例中,半導體層116由相同於基底102的半導體材料形成。在不脫離本發明實施例的範圍的情況下,其他半導體材料可用於半導體層116。在本文描述的主要非限制性範例中,半導體層116及基底102為矽。
犧牲半導體層118設置於半導體層116之間。犧牲半導體層118包含不同於半導體層116的半導體材料。在半導體層116包含矽的範例中,犧牲半導體層118可包含SiGe。在一範例中,犧牲半導體層118可包含20%與30%之間的鍺,但是在不脫離本發明實施例的範圍的情況下,可使用其他濃度的鍺。選擇犧牲半導體層118中的鍺濃度不同於後續形成的SiGe犧牲包覆層中的鍺濃度。選擇犧牲半導體層118及犧牲包覆層的組成,使兩者具有不同的蝕刻特性。下文將更詳細地描述其目的和優點。
在一些實施例中,例如透過在基底102上透過交替的磊晶成長製程依序交替形成半導體層116及犧牲半導體層118。舉例來說,第一磊晶成長製程可導致在基底102的頂表面上形成最下方犧牲半導體層118。第二磊晶成長製程可導致在最下方犧牲半導體層118的頂表面上形成最下方半導體層116。第三磊晶成長製程可導致在最下方半導體層116的頂表面上形成第二下方犧牲半導體層118。可進行交替的磊晶成長製程,直到已形成選擇數量的半導體層116及犧牲半導體層118。
如第2B圖所示,在一些實施例中,層120形成於最上方半導體層116的頂部上。在一些實施例中,層120可為相同於犧牲半導體層118的半導體材料。替代地,層120可包含介電材料或其他類型的材料。在一些實施例中,不形成層120。
在第2B圖顯示的第一半導體裝置100的範例中,包含四個半導體層116。然而,在各種實施例中,第一半導體裝置100可包含更多或更少的半導體層116。在一些實施例中,第一半導體裝置100可包含透過單一的犧牲半導體層118與基底102間隔開的單一的半導體層116。
在一些實施例中,半導體層116的垂直厚度可在2nm與15nm之間。在一些實施例中,犧牲半導體層118的厚度可在5nm與15nm之間。在不脫離本發明實施例的範圍的情況下,其他厚度及材料可用於半導體層116及犧牲半導體層118。
在一些實施例中,犧牲半導體層118對應至具有第一半導體組成的第一犧牲磊晶半導體區。在後續步驟中,將移除犧牲半導體層118,並以其他材料及結構取代犧牲半導體層118,因此將其稱為犧牲半導體層118。如以下將進一步描述,將半導體層116圖案化,以形成電晶體的半導體奈米結構106。
如第2C圖所示,形成溝槽126延伸通過犧牲半導體層118、半導體層116,並至少部分延伸至基底102中。溝槽126定義鰭124,每個鰭124包含對應的半導體層116及犧牲半導體層118的堆疊物。雖然第2C圖顯示形成兩個鰭124,但是應當理解的是,在各種實施例中,多於或少於兩個鰭結構可形成於第一半導體裝置100中。
溝槽126可透過使用任何合適技術形成。在一些實施例中,溝槽126可透過在層120上沉積硬遮罩層來形成。在一些實施例中,層120可自身為硬遮罩層,且在其他實施例中,硬遮罩層形成於層120上方,並可透過使用標準光微影製程將層120圖案化及蝕刻。在已圖案化並蝕刻硬遮罩層之後,例如透過蝕刻製程選擇性移除犧牲半導體層118、半導體層116及基底102未被硬遮罩層覆蓋的部分。蝕刻製程導致形成溝槽126。蝕刻製程可包含單一蝕刻步驟。替代地,蝕刻製程可包含多個蝕刻步驟。舉例來說,第一蝕刻步驟可蝕刻頂部犧牲半導體層118。第二蝕刻步驟可蝕刻頂部半導體層116。可重複這些交替蝕刻步驟,直到蝕刻所有在暴露區域的犧牲半導體層118及半導體層116。最終的蝕刻步驟可蝕刻至少部分至基底102中。
如第2D圖所示,淺溝槽隔離區130可形成於溝槽126中。在一些實施例中,淺溝槽隔離區130的上表面設置於最下方犧牲半導體層118的水平高度之下或基底102的上表面的水平高度之下。淺溝槽隔離區130可透過任何合適技術形成。舉例來說,在一些實施例中,淺溝槽隔離區130透過在溝槽126中沉積介電材料來形成,且透過將沉積的介電材料凹陷,使得介電材料的頂表面低於最下方犧牲半導體層118 。
淺溝槽隔離區130可用以將形成與基底102結合的個別電晶體或電晶體組隔開。用於淺溝槽隔離區130的介電材料可包含氧化矽、氮化矽、氮氧化矽(SiON)、SiOCN、SiCN、氟摻雜矽酸鹽玻璃(fluorine-doped silicate glass,FSG)或低介電常數介電材料,透過低壓化學氣相沉積(low pressure chemical vapor deposition,LPCVD)、電漿輔助化學氣相沉積或可流動化學氣相沉積形成。在不脫離本發明實施例的範圍的情況下,其他材料或結構可用於淺溝槽隔離區130。
第2E到2H圖顯示形成混合鰭的製程,混合鰭可被包含在一些實施例中。然而,在其他實施例中,可省略混合鰭。如此一來,在一些實施例中,可省略第2E到2H圖顯示的一個或多個製程。如第2E圖所示,包覆層132可形成於鰭124的側面上。舉例來說,包覆層132可沉積於半導體層116及犧牲半導體層118的側面上及層120上。在一些實施例中,包覆層132可透過從半導體層116、犧牲半導體層118及層120的一個或多個磊晶成長來形成。替代地,包覆層132可透過化學氣相沉積(CVD)製程沉積。在不脫離本發明實施例的範圍的情況下,其他製程可用於沉積包覆層132。
在一些實施例中,包覆層132包含SiGe。特別來說,包覆層132可包含具有與犧牲半導體層118不同鍺濃度的SiGe。在不脫離本發明實施例的範圍的情況下,包覆層132可包含其他濃度、材料或組成。
如第2F圖所示,混合鰭133可形成於包覆層132之間的間隙中。混合鰭133可包含第一介電層134及第二介電層136。
在一些實施例中,第一介電層134由介電材料形成,介電材料可為低介電常數介電材料。在一些實施例中,第一介電層134可包含氮化矽。在一些實施例中,第一介電層134由介電材料形成,介電材料可包含氧化矽。第一介電層134可沉積於淺溝槽隔離區130上及包覆層132的側面上。
第二介電層136可沉積於溝槽中填充鰭124之間的剩下空間中的第一介電層134上。第一介電層134及第二介電層136可透過任何合適技術沉積,合適技術包含化學氣相沉積、原子層沉積(ALD)或其他合適的沉積製程。在沉積第一介電層134及第二介電層136之後,可透過化學機械平坦化(chemical mechanical planarization,CMP)製程將混合鰭133平坦化。在不脫離本發明實施例的範圍的情況下,其他材料及沉積製程可用於形成混合鰭133。
如第2G圖所示,將混合鰭133凹陷。舉例來說,可進行蝕刻製程,以將混合鰭133的頂表面凹陷。在一些實施例中,可進行定時蝕刻,以降低混合鰭133的頂表面至大致相等或低於層120的底表面的水平高度。蝕刻製程可包含濕蝕刻、乾蝕刻或用於將混合鰭133凹陷至選擇深度的任何合適蝕刻。
在第2G圖中,高介電常數介電層138已沉積於混合鰭133上。高介電常數介電層138可包含HfO 2、 HfSiO、 HfSiON、 HfTaO、 HfTiO、 HfZrO、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO 2—Al 2O 3)合金、其他合適的高介電常數介電材料及/或前述之組合。高介電常數介電層138可透過化學氣相沉積、原子層沉積或任何合適方法形成。可進行平坦化製程(例如化學機械平坦化製程),以將高介電常數介電層138的頂表面平坦化。高介電常數介電層138可為混合鰭133的帽蓋層。在不脫離本發明實施例的範圍的情況下,其他製程及材料可用於形成高介電常數介電層138。
如第2H圖所示,選擇性移除層120及包覆層132的一部分。舉例來說,在一些實施例中,可進行蝕刻製程,以移除層120並將包覆層132凹陷。蝕刻製程可在一個或多個步驟中進行。此一個或多個步驟相對於高介電常數介電層138的材料選擇性蝕刻層120及包覆層132的材料。因此,在第2H圖中,高介電常數介電層138大致不變保持突出,而已凹陷或移除其他層。此一個或多個步驟可包含濕蝕刻、乾蝕刻、定時蝕刻或其他類型的蝕刻製程。
如第2I圖所示,薄介電層140已沉積於包覆層132、頂部半導體層116的頂表面上及高介電常數介電層138上。在一些實施例中,薄介電層140可具有厚度在1nm與5nm之間。薄介電層140可由任何介電材料形成,且在一些實施例中,薄介電層140可包含氧化矽。在不脫離本發明實施例的範圍的情況下,其他材料、沉積製程及厚度可用於薄介電層140。
在第2I圖中,多晶矽層142已沉積於介電層140上。多晶矽層142可具有厚度在20nm與100nm之間。多晶矽層142可透過任何合適技術形成,包含透過磊晶成長、化學氣相沉積製程、物理氣相沉積(physical vapor deposition,PVD)製程或原子層沉積製程。在不脫離本發明實施例的範圍的情況下,其他厚度及沉積製程可用於沉積多晶矽層142。
在第2I圖中,介電層144已形成(例如透過沉積)於多晶矽層142上。介電層146已形成於介電層144上。在一範例中,介電層144包含氮化矽。在一範例中,介電層146包含氧化矽。在一些實施例中,介電層144和146可透過化學氣相沉積來沉積,但是在各種實施例中,可使用任何合適技術來形成介電層144和146。介電層144可具有厚度在5nm與15nm之間。介電層146可具有厚度在15nm與50nm之間。在不脫離本發明實施例的範圍的情況下,其他厚度、材料及沉積製程可用於介電層144和146。
可圖案化及蝕刻介電層144和146,以形成用於多晶矽層142的遮罩。使用標準的光微影製程圖案化及蝕刻介電層144和146。在圖案化及蝕刻介電層144和146以形成遮罩之後,蝕刻多晶矽層142,使得僅保留在介電層144和146正下方的多晶矽。最終結構為多晶矽鰭。
第2J圖為沿第2I圖的切線I截取的第一半導體裝置100的剖面示意圖。在第2A到2I圖中,X 軸是圖式從左到右的橫軸,而 Y 軸進出圖式。在第2J到2N圖中,Y軸是圖式從左到右的橫軸,而 X 軸進出圖式。
如第2J圖所示,已圖案化及蝕刻介電層144和146、多晶矽層142及薄介電層140,以形成虛設閘極結構147。可使用標準光微影製程完成虛設閘極結構147的形成,標準光微影製程包含在所期望圖案的虛設閘極結構147中形成光罩,並使用光罩進行蝕刻製程。光微影製程也可包含形成硬遮罩。
如第2K圖所示,閘極間隔層148已沉積於頂部半導體層116的頂表面上以及薄介電層140、多晶矽層142和介電層144和146的側面上。在一範例中,閘極間隔層148包含SiCON。閘極間隔層148可透過化學氣相沉積、物理氣相沉積或原子層沉積來沉積。在不脫離本發明實施例的範圍的情況下,其他材料及沉積製程可用於閘極間隔層148。
如第2L圖所示,形成凹口150延伸通過半導體層116、犧牲半導體層118,並至少部分進入基底102中。凹口150可透過任何合適技術形成,包含透過選擇性移除半導體層116、犧牲半導體層118及基底102的一部分。在一些實施例中,凹口150可透過使用虛設閘極結構147作為遮罩蝕刻半導體層116、犧牲半導體層118及基底102來形成。凹口150的形成同時從半導體層116的剩下部分形成或定義了半導體奈米結構106。相似地,犧牲半導體奈米結構151透過犧牲半導體層118的剩下部分來形成或定義。
每個虛設閘極結構147對應至將形成電晶體的位置。更特別來說,閘極電極108將最終形成於虛設閘極結構147及犧牲半導體奈米結構151的位置。每個半導體奈米結構106的堆疊物將對應至個別電晶體的通道區。第2L圖顯示兩個電晶體的位置。如將在下文更詳細地描述,兩個電晶體可分享共用的源極/汲極區110。
如第2M圖所示,移除犧牲半導體奈米結構151的橫向部分,並以內部間隙壁154取代。犧牲半導體奈米結構151的橫向部分可透過任何合適技術移除,包含例如透過蝕刻製程,以相對於半導體奈米結構106橫向凹陷犧牲半導體奈米結構151。蝕刻製程可透過化學浴進行,化學浴相對於半導體奈米結構106選擇性蝕刻犧牲半導體奈米結構151。將蝕刻過程定時,使得將犧牲半導體奈米結構151凹陷但是不完全移除。使用凹陷製程,使得內部間隔層能夠形成於半導體奈米結構106之間犧牲半導體奈米結構151被凹陷的位置。
內部間隙壁154透過任何合適技術(例如透過沉積)形成於犧牲半導體奈米結構151的側面。內部間隙壁154可透過原子層沉積製程、化學氣相沉積製程或其他合適製程來沉積。在一範例中,內部間隙壁154包含氮化矽。
第2N圖為第一半導體裝置100的Y視圖。第2O圖為沿第2N圖的切線N截取的第一半導體裝置100的X視圖。
如第2N圖所示,已形成源極/汲極區110。源極/汲極區110包含半導體材料。在一些實施例中,源極/汲極區110可從半導體奈米結構106磊晶成長。源極/汲極區110可從半導體奈米結構106及從基底102磊晶成長。源極/汲極區110在N型電晶體的情況下可摻雜N型摻雜物,且在P型電晶體的情況下可摻雜P型摻雜物。摻雜可在磊晶成長期間原位進行。在一些實施例中,源極/汲極區110具有厚度在2nm與10nm之間。源極/汲極區110可直接接觸半導體奈米結構106。
如第2O圖所示,源極/汲極區110延伸於相鄰混合鰭133之間。在一些實施例中,源極/汲極區110可具有頂表面延伸至水平高度高於混合鰭133的上表面的水平高度。如圖所示,在形成源極/汲極區110期間,高介電常數介電層138可保留在混合鰭133上。
第2P圖為第一半導體裝置100的Y視圖。第2Q圖為沿第2P圖的切線P截取的第一半導體裝置100的X視圖。如第2Q圖所示,移除高介電常數介電層138。高介電常數介電層138可透過任何合適製程移除,在一些實施例中,合適製程可包含蝕刻製程。在移除高介電常數介電層138之後,暴露混合鰭133的上表面。舉例來說,透過移除高介電常數介電層138,可暴露第一介電層134及第二介電層136的上表面。
第2R圖為第一半導體裝置100的Y視圖。第2S圖為沿第2R圖的切線R截取的第一半導體裝置100的X視圖。
如第2R圖所示,介電層158已沉積於閘極間隔層148的側壁上及源極/汲極區110的頂部上。介電層158可包含氮化矽或其他合適材料,且可透過原子層沉積製程、化學氣相沉積製程或物理氣相沉積來沉積。介電層160已沉積於介電層158上。介電層160可包含氧化矽或其他合適材料,且可透過原子層沉積製程、化學氣相沉積製程或物理氣相沉積來沉積。在不脫離本發明實施例的範圍的情況下,其他材料及沉積製程可用於介電層158及160。
如第2S圖所示,介電層158及160沉積於混合鰭133上。
在一些實施例中,可例如透過化學機械平坦化將第一半導體裝置100平坦化,以形成平坦的上表面。平坦化步驟可移除介電層144和146,且可移除閘極間隔層148的對應部分。平坦化步驟可暴露多晶矽層142的上表面。在一些實施例中,介電層158及160、閘極間隔層148、多晶矽層142可彼此大致共平面。
第2T圖大致相同於第2R圖,然而,第2T圖顯示額外細節,這些額外細節可被包含在一些實施例中。舉例來說,如第2T圖所示,介電層144和146的一部分可保留在每個鰭結構上的多晶矽層142的一部分上方,且介電層158和160可延伸於鰭結構上方及鰭結構之間。
第2U圖為第一半導體裝置100的Y視圖。第2V圖為沿第2U圖的切線T截取的第一半導體裝置100的X視圖。
如第2U圖所示,形成金屬閘極135,且金屬閘極135包含閘極電極108及高介電常數閘極介電層166。再者,如第2U圖所示,已移除虛設閘極結構147。虛設閘極結構147可透過任何合適技術移除,且在一些實施例中,虛設閘極結構147可透過一個或多個蝕刻步驟移除。蝕刻步驟可包含用以移除介電層146、接著移除介電層144、接著移除多晶矽層142、接著移除薄介電層140的蝕刻步驟。在不脫離本發明實施例的範圍的情況下,可進行各種其他步驟來移除虛設閘極結構147。
如第2U圖所示,已移除犧牲半導體奈米結構151。犧牲半導體奈米結構151可在移除虛設閘極結構147之後移除。可使用相對於半導體奈米結構106及內部間隙壁154選擇性蝕刻犧牲半導體奈米結構151的蝕刻製程來移除犧牲半導體奈米結構151。在不脫離本發明實施例的範圍的情況下,可進行各種其他製程來移除犧牲半導體奈米結構151。
如第2U圖所示,界面介電層165形成於半導體奈米結構106的暴露表面上。界面介電層165可透過任何合適技術形成,包含例如透過沉積製程。
界面介電層165可包含介電材料,例如氧化矽、氮化矽或其他合適的介電材料。界面介電層165可包含相對於低介電常數介電質的高介電常數介電質,例如氧化鉿或可用於電晶體的閘極介電質中的其他高介電常數材料。
界面介電層165可透過熱氧化製程、化學氣相沉積(CVD)製程或原子層沉積(ALD)製程形成。在一些實施例中,界面介電層165可具有厚度在0.5nm與2nm之間。選擇界面介電層165的厚度的考量之一為保留用於閘極金屬之半導體奈米結構106之間的足夠空間,以下將更詳細解釋。在不脫離本發明實施例的範圍的情況下,其他材料、沉積製程及厚度可用於界面介電層165。
如第2U圖所示,形成閘極介電質。閘極介電質可包含界面介電層165及位於界面介電層165上的高介電常數閘極介電層166。界面介電層165及高介電常數閘極介電層166共同形成用於全繞式閘極奈米片電晶體的閘極介電質。
高介電常數閘極介電層166及界面介電層165將半導體奈米結構106與將在後續步驟沉積的閘極金屬隔開。高介電常數閘極介電層166及界面介電層165將閘極金屬與對應至電晶體的通道區的半導體奈米結構106隔離。
高介電常數閘極介電層166可包含一層或多層介電材料,例如HfO 2、 HfSiO、 HfSiON、 HfTaO、 HfTiO、 HfZrO、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO 2—Al 2O 3)合金、其他合適的高介電常數介電材料及/或前述之組合。高介電常數閘極介電層166可透過化學氣相沉積、原子層沉積或任何合適方法形成。在一些實施例中,高介電常數閘極介電層166透過使用高順應性沉積製程(例如原子層沉積)形成,以確保形成具有一致厚度的閘極介電層圍繞每個半導體奈米結構106。在一些實施例中,高介電常數閘極介電層166的厚度在約1nm至約3nm的範圍中。在不脫離本發明實施例的範圍的情況下,其他厚度、沉積製程及材料可用於高介電常數閘極介電層166。高介電常數閘極介電層166可包含第一層及第二層,第一層包含具有偶極摻雜(包含La及Mg)的HfO 2,第二層包含具有結晶化的更高介電常數ZrO層。
在透過例如沉積高介電常數閘極介電層166形成閘極介電質之後,例如透過在移除虛設閘極結構147所形成的空隙中沉積閘極金屬形成閘極電極108。閘極電極108圍繞半導體奈米結構106。特別來說,閘極電極108接觸閘極介電質(例如接觸高介電常數閘極介電層166)。閘極電極108位於半導體奈米結構106之間。換句話說,閘極電極108環繞半導體奈米結構106。為此,形成與半導體奈米結構106關聯的電晶體被稱為全繞式閘極電晶體。
雖然閘極電極108顯示為單一金屬層,但是實際上閘極電極108可包含多層金屬層。舉例來說,閘極電極108可包含接觸閘極介電質的一個或多個非常薄的功函數層。薄功函數層可包含氮化鈦、氮化鉭或適用於提供電晶體的選擇功函數的其他導電材料。閘極電極108可更包含對應至閘極電極108的大部分的閘極填充材料。閘極填充材料可包含鈷、鎢、鋁或其他合適的導電材料。閘極電極108的層可透過物理氣相沉積、原子層沉積、化學氣相沉積或其他合適的沉積製程來沉積。
在一些實施例中,一個或多個導電層可形成於閘極電極108上。舉例來說,金屬層(未顯示)可形成於(例如透過沉積)閘極電極108上。金屬層可包含鎢、鋁、鈦、銅、金、鉭或其他合適的導電材料。金屬層可透過原子層沉積、物理氣相沉積或化學氣相沉積來沉積。其他材料及沉積製程可用於金屬層。在一些實施例中,蓋層(未顯示)可例如透過沉積形成於金屬層上。蓋層可包含SiCN、SiN或SiCON。蓋層可透過化學氣相沉積、原子層沉積或其他合適製程來沉積。
如第2W圖所示,移除介電層160、介電層158、第一介電層134及第二介電層136的一部分。這些層可透過任何合適製程移除。舉例來說,在一些實施例中,可進行蝕刻製程,以移除介電層160、介電層158、第一介電層134及第二介電層136的一部分。蝕刻製程可在一個或多個步驟中進行。此一個或多個步驟相對於源極/汲極區110的材料選擇性蝕刻介電層160、介電層158、第一介電層134及第二介電層136的一部分。此一個或多個蝕刻步驟可包含濕蝕刻、乾蝕刻、定時蝕刻或其他類型的蝕刻製程。
如圖所示,源極/汲極區110的上表面可透過移除介電層160、介電層158、第一介電層134及第二介電層136的一部分暴露。
如第2X圖所示,矽化物172可形成於源極/汲極區110上。矽化物172形成於源極/汲極區110的頂部上,且可形成於源極/汲極區110的側表面上。矽化物172可延伸於源極/汲極區110的頂表面上方,且可沿源極/汲極區110的側表面延伸。在一些實施例中,矽化物172可為覆蓋並接觸每個源極/汲極區110的頂表面及兩個側表面的“環繞”矽化物。這增加了矽化物172與源極/汲極區110之間的接觸面積。
矽化物172可包含任何合適的矽化物。在一些實施例中,矽化物172包含矽化鈦、矽化鈷、矽化釕、矽化鋁、矽化鎳或其他矽化物的一個或多個。矽化物172可透過使用任何合適技術形成。在一些實施例中, 在存在形成矽化物172的金屬及矽的情況下,可以透過進行高溫退火製程來生長矽化物172。矽化物成長製程的結果為矽化物172從源極/汲極區110的所有暴露表面成長。在不脫離本發明實施例的範圍的情況下,矽化物172可包含其他材料及沉積製程。
環繞矽化物172與源極/汲極區110之間的接觸漸少了沿通過源極/汲極區110到半導體奈米結構106的電流路徑的接觸電阻。因為高導電性矽化物172大致圍繞(例如在一些實施例中,沿至少三個面)相對高電阻源極/汲極材料。
在一些實施例中,矽化物172具有厚度(例如沿X軸方向)在1nm與10nm之間。在一些實施例中,矽化物172具有厚度(例如沿X軸方向)在3nm與10nm之間。在不脫離本發明實施例的範圍的情況下,矽化物172可具有其他尺寸及形狀。
如第2X圖所示,源極/汲極接點114已形成於矽化物172上。源極/汲極接點114可包含導電材料,例如鎢、鈷、銅、鈦、鋁、其他合適的導電材料,透過這些導電材料可以將電壓施加至源極/汲極區110。源極/汲極接點114可透過物理氣相沉積、化學氣相沉積、原子層沉積或其他合適的沉積製程來形成。在不脫離本發明實施例的範圍的情況下,其他材料及沉積製程可用於源極/汲極接點114。
第2X圖顯示的第一半導體裝置100顯示在完成第一半導體裝置100的電晶體104a及104b的加工之後的電晶體104a及104b。如此一來,第一半導體裝置100可對應至半導體裝置10的第一半導體裝置100或本文描述的任何半導體裝置的第一半導體裝置。電晶體104a包含左側的半導體奈米結構106及閘極電極108。電晶體104b包含右側的半導體奈米結構106及閘極電極108。電晶體104a及104b共用中間的源極/汲極區110。左側的源極/汲極區110為電晶體104a的源極/汲極區110。右側的源極/汲極區110為電晶體104b的源極/汲極區110。
電晶體104a及104b透過對閘極電極108以及源極/汲極接點114施加偏壓電壓來起作用。偏壓電壓導致通道電流通過源極/汲極區110之間的半導體奈米結構106。因此,半導體奈米結構106對應至電晶體104a及104b的通道區。
第2Y圖大致相同於第2X圖,除了第2Y圖顯示具有額外或替代的部件。舉例來說,第2Y圖顯示第一半導體裝置100可包含在閘極電極108上的介電層149。在一些實施例中,介電層149可形成作為自對準接觸製程流程的一部分,且介電層149可為在閘極上方的保護層,此保護層減少或防止接點到閘極的短路。介電層149可透過任何合適介電材料形成,且透過任何合適技術(包含例如沉積)形成。
在一些實施例中,第一半導體裝置100的上表面可大致平坦。舉例來說,在一些實施例中,可將上表面平坦化。
第3A-3J圖為依據一些實施例,半導體裝置300在加工的各種階段的剖面示意圖。半導體裝置300包含第一半導體裝置100及第二半導體裝置200。第3A-3J圖一般顯示加工第二半導體裝置200,以形成半導體裝置300,半導體裝置300可為順序互補式場效電晶體半導體裝置,且在一些實施例中,半導體裝置300可為第1圖顯示的半導體裝置10。
如第3A圖所示,接合層170形成於第一半導體裝置100上方。接合層170可由用於接合第一半導體裝置100及第二半導體裝置200的任何合適材料形成。在一些實施例中,接合層170為接合介電層。在一些實施例中,接合層170為SiO、 SiOC、 SiOCN、 SiN或SION的一個或多個。在一些實施例中,接合層170由高導熱材料形成,例如AlN、BN、SiC、鑽石、BeO或任何其他導熱材料。在一些實施例中,接合層170可相同於本文以上參考第1圖描述的半導體裝置10的接合層70。在一些實施例中,接合層170可包含用於不同製程需求的多層結構,例如接合目的、導熱性或蝕刻停止層(例如介電質或金屬氧化物或類似物)等。
接合層170可透過任何合適技術形成,包含例如透過沉積。接合層170可透過任何合適技術沉積,包含化學氣相沉積、原子層沉積(ALD)或透過其他合適的沉積製程。在不脫離本發明實施例的範圍的情況下,其他材料及沉積製程可用於形成接合層170。
在一些實施例中,接合層170形成具有厚度小於100nm。在一些實施例中,接合層170具有厚度小於50nm。在一些實施例中,接合層170具有厚度小於20nm。在一些實施例中,接合層170具有厚度在15nm至50nm的範圍中。形成具有非常小的厚度的接合層170有利地減少了半導體裝置300的整體高度,並減少了第一半導體裝置100與第二半導體裝置200之間的距離(例如垂直距離)。
在一些實施例中,在形成接合層170之前,可進行表面電漿處理,以處理例如第一半導體裝置100的上表面。此處理可在上表面處增加第一半導體裝置100的材料的表面能(surface energy),此可改善接合層170的接合。
第二半導體裝置200可相同或大致相同於例如參考第2A及2B圖描述的第一半導體裝置100。舉例來說,第二半導體裝置200可包含基底202、半導體層216及犧牲半導體層218,基底202、半導體層216及犧牲半導體層218可相同或大致相同於第一半導體裝置100的基底102、半導體層116及犧牲半導體層118。
再者,如第3A圖所示,接合層270可形成於第二半導體裝置200上方。接合層270可相同或大致相同於接合層170。在一些實施例中,接合層270可透過相同製程、相同材料形成,且可具有與接合層170相同的特性。然而,實施例不限於此,在各種實施例中,接合層270可透過一個或多個不同的製程形成,可由不同材料形成,且可具有與接合層170不同的厚度。
如第3B圖所示,將第一半導體裝置100及第二半導體裝置200彼此接合,且之後將第一半導體裝置100及第二半導體裝置200統稱為半導體裝置300。
第一半導體裝置100及第二半導體裝置200可透過任何合適的接合技術接合在一起。舉例來說,接合層170及270可彼此接觸,並透過黏著接合、熱接合、熱壓接合或任何合適的接合技術接合在一起。在一些實施例中,將第二半導體裝置200上下顛倒翻轉(例如在第3A圖所示的箭頭的方向旋轉),且接合層170及270在具有溫度約500°C或小於500°C的接合或加熱腔體中彼此接觸。熱接合製程可有利於接合層170及270的接合以及第一半導體裝置100與第二半導體裝置200之間的接合。
如第3C圖所示,透過至少部分移除第二半導體裝置200的基底202來將半導體裝置300薄化。在一些實施例中,大致或完全移除基底202,且最上方犧牲半導體層218可暴露於第二半導體裝置200的上表面。
在一些實施例中,基底202透過蝕刻製程移除,且最上方犧牲半導體層218可用作蝕刻停止層。舉例來說,犧牲半導體層218可為SiGe層,且基底202可為矽基底,且蝕刻劑選擇性移除矽基底,而保留SiGe層。
在一些實施例中,基底202透過研磨製程移除,例如化學機械研磨(chemical mechanical polishing,CMP),且最上方犧牲半導體層218可用作研磨停止層。舉例來說,犧牲半導體層218可為SiGe層,且基底202可為矽基底,且研磨製程可選擇性移除矽基底,而保留SiGe層。
第3D圖為半導體裝置300的Y視圖,且第3E圖為沿第3D圖的切線3E截取的半導體裝置300的X視圖。
如第3D和3E圖所示,在一些實施例中,最上方犧牲半導體層218(例如蝕刻停止層或研磨停止層)可透過任何合適技術移除,包含蝕刻製程、機械製程或任何其他合適製程。
如第3E圖所示,鰭透過移除犧牲半導體層218及半導體層216的一部分形成。鰭可透過任何合適技術形成,包含例如參考本文描述第2C圖形成鰭124的技術。
在一些實施例中,鰭透過蝕刻製程形成,且接合層170及270的至少一者用作蝕刻停止層,其中蝕刻劑有選擇性,以選擇性移除犧牲半導體層218及半導體層216的一部分,而大致保留(或以較慢速率蝕刻)接合層170及270。舉例來說,如第3E圖所示,可蝕刻接合層270距離D,距離D為相鄰鰭之間的溝槽或凹口中的接合層270的上表面與在鰭下方的接合層270的上表面之間的距離。也就是說,距離D代表透過蝕刻製程(例如透過使用接合層270作為蝕刻停止層期間的過蝕刻)移除的接合層270的距離或厚度。
在一些實施例中,距離D小於20nm。在一些實施例中,距離D小於10nm。在一些實施例中,距離D小於5nm。在一些實施例中,距離D在5nm至10nm的範圍中。
如第3F圖所示,已圖案化並蝕刻介電層246、介電層244、多晶矽層242和薄介電層240,以形成虛設閘極結構247。介電層246、介電層244、多晶矽層242、薄介電層240及虛設閘極結構247可相同或大致相同於介電層146、介電層144、多晶矽層142、薄介電層140及虛設閘極結構147,且可透過本文上述的相同或大致相同的製程形成,舉例來說,參考用於形成第2J圖所示的結構的製程。
如第3G圖所示,形成源極/汲極區210、犧牲半導體奈米結構251、內部間隙壁254、導體奈米結構206、閘極間隔層248及介電層258和260。源極/汲極區210、犧牲半導體奈米結構251、內部間隙壁254、半導體奈米結構206、閘極間隔層248及介電層258和260可相同或大致相同於源極/汲極區110、犧牲半導體奈米結構151、內部間隙壁154、半導體奈米結構106、閘極間隔層148及介電層158和160,且可透過本文上述的相同或大致相同的製程形成,舉例來說,參考用於形成第2T圖所示的結構的製程。
如第3H圖所示,凹口250透過移除虛設閘極結構或多晶矽層242、介電層244、介電層246在相鄰的閘極間隔層248之間的部分來形成。此外,空隙253透過移除犧牲半導體奈米結構251形成。虛設閘極結構及犧牲半導體奈米結構251可透過任何合適技術移除,合適技術包含例如本文上述參考虛設閘極結構147及犧牲半導體奈米結構151的技術。
在一些實施例中,可例如透過化學機械研磨或任何其他合適製程平坦化或移除半導體裝置300的上表面。
如第3I圖所示,形成閘極電極208,且介電層249形成於閘極電極208上。閘極電極208和介電層249可透過任何合適技術形成,合適技術包含例如本文上述參考閘極電極108及介電層149的技術。
如第3J圖所示,矽化物272(或矽化物層)形成於源極/汲極區210上,且源極/汲極接點214形成於矽化物272(或矽化物層)上。矽化物272及源極/汲極接點214可透過任何合適技術形成,包含例如本文上述參考矽化物172及源極/汲極接點114的技術。
如第3J圖所示,半導體裝置300包含透過接合層170及270隔開的兩層源極/汲極接點及閘極電極。舉例來說,在第二半導體裝置200上形成源極/汲極接點214及閘極電極208提供了電性連接部件的第一層,而在第一半導體裝置100上形成源極/汲極接點114及閘極電極108提供了電性連接部件的第二層。這有助於將半導體裝置 300 實現為順序互補式場效電晶體裝置,因為第一半導體裝置100和第二半導體裝置 200 的源極/汲極接點和閘極電極中的每一個可以彼此隔離並且可以例如透過電性連接來單獨地和分別地使用。相較於單片互補式場效電晶體裝置(其中在僅單一層中提供源極/汲極接點和閘極電極),這可為有利的。
第4A圖為第3J圖所示的半導體裝置300的細節的剖面示意圖,第4B圖為沿第4A圖的切線4B截取的半導體裝置300的剖面示意圖,而第4C圖為沿第4A圖的切線4C截取的半導體裝置300的剖面示意圖。
如圖所示,半導體裝置300可更包含高介電常數閘極介電層266及界面介電層265,高介電常數閘極介電層266及界面介電層265可相同或大致相同於本文上述的高介電常數閘極介電層166及界面介電層165。
如第4B和4C圖所示,可透過蝕刻製程將接合層270在橫向邊緣處凹陷。舉例來說,接合層270可用作移除虛設閘極結構的蝕刻停止層(如第3H圖所示),且接合層270的橫向部分可透過過蝕刻移除。因此,如第4B圖所示,接合層270可具有階梯或不平坦上表面,接合層270的中心部分的厚度大於橫向邊緣部分的厚度。
在一些實施例中,接合層270可具有曲面,具有向下定向的凹曲率的中心部分,其中心的厚度小於邊緣的厚度。這是因為移除虛設閘極結構期間,使用接合層270作為蝕刻停止層。
相似地,在第4C圖中,由於在形成源極/汲極區210中使用的蝕刻製程的緣故,可將接合層270在橫向邊緣處凹陷,例如在形成第3E圖所示的鰭期間使用接合層270作為蝕刻停止層。如第3E圖所示,接合層270的中心部分的厚度大於橫向邊緣部分的厚度。如此一來,源極/汲極區210在中心區域可具有高度H2小於源極/汲極區210在橫向邊緣部分的高度H2’。
此外,如第4C圖所示,源極/汲極區110可具有高度H1大於源極/汲極區210的高度H2或高度H2’。 這可能是因為第一半導體裝置100的源極/汲極區110可磊晶成長於矽片及基底上(例如在半導體奈米結構106及基底102上),而第二半導體裝置 200 的源極/汲極區210可僅成長於矽片上(例如在半導體奈米結構206上),且源極/汲極區210的成長受限於接合層270。
在一些實施例中,空隙可形成於源極/汲極區210的底部或靠近底部,因為源極/汲極區210的磊晶層可從矽片(例如從半導體奈米結構206)橫向成長,而接合層270設置於源極/汲極區210的底部,且源極/汲極區210的磊晶層可能無法在源極/汲極區210的底部處直接成長於接合層270上或從接合層270直接成長。如此一來,一個或多個空隙可形成於源極/汲極區210的底部或靠近底部。
在一些實施例中,高度H2’與高度H2之間的差異(例如接合層270中的凹口的高度)可小於20nm。在一些實施例中,差異小於10nm。在一些實施例中,差異小於5nm。在一些實施例中,差異在5nm至10nm的範圍中。
第5A-5C圖為依據一些實施例,半導體裝置400在加工的各種階段的剖面示意圖。半導體裝置400可大致相同於半導體裝置300,除了半導體裝置400包含導通孔,導通孔將第一半導體裝置100的源極/汲極區110電性連接至對應的第二半導體裝置 200 的源極/汲極區210。
如第5A圖所示,遮罩層410可形成於一個或多個虛設閘極結構247上及虛設閘極結構247之間,而至少兩相鄰的虛設閘極結構247之間的一區域未被遮罩層410覆蓋。在形成遮罩層410之前,第5A圖所示的半導體裝置400可大致相同於第3G圖所示的半導體裝置300,除了半導體裝置400已移除介電層258和260。
可使用標準光微影製程將遮罩層410圖案化及蝕刻。在已圖案化及蝕刻遮罩層410之後,例如透過蝕刻製程選擇性移除接合層170及270未被遮罩層410覆蓋的部分。蝕刻製程導致形成凹口411,凹口411可延伸通過接合層270及170,並至少部分暴露下方源極/汲極接點114的表面。
如第5B圖所示,導通孔412形成於凹口411中。導通孔412可透過任何合適技術形成,包含例如使用一個或多個光微影製程、沉積製程或任何其他合適製程。
如第5C圖所示,移除遮罩層410,並形成源極/汲極區210。遮罩層410可透過任何合適技術移除,包含透過蝕刻製程、機械製程、光微影製程或任何合適的製程。
至少一個源極/汲極區210形成於導通孔412上。源極/汲極區210電性連接至導通孔412,並可直接接觸導通孔412。可對半導體裝置400進一步加工,如本文上述參考第3G圖到第3J圖的進一步加工。
形成導通孔412有利於第一半導體裝置100的電晶體與第二半導體裝置200的電晶體之間的電性連接。在一些實施例中,矽化物層可設置於導通孔412與源極/汲極區210之間。
第6A和6B圖為依據一些實施例,半導體裝置500在加工的各種階段的剖面示意圖。更特別來說,第6A和6B圖顯示範例實施例,其中背側埋置電源軌電性連接至源極/汲極區110。
如第6A圖所示,可提供半導體裝置300,半導體裝置300可相同於第3J圖的半導體裝置300。在一些實施例中,第6A圖中的半導體裝置300可為包含一個或多個導通孔412的半導體裝置400。
如第6B圖所示,半導體裝置500透過將半導體裝置300上下翻轉(例如繞X軸旋轉180度),並在半導體裝置500的背側上形成背側電源軌506來形成。在一些實施例中,源極/汲極區110的其中一者可電性連接通過導通孔412(請參照第5C圖)例如連接至源極/汲極區210,而另一個源極/汲極區110可電性連接至或通過背側導電部件(例如背側接點504)。
在一些實施例中,移除第一半導體裝置100的基底102,並以絕緣層502取代基底102。絕緣層502可透過任何電性絕緣或介電材料形成。在一些實施例中,絕緣層502可透過氧化矽、氮化矽、氮氧化矽(SiON)、SiOCN、SiCN、氟摻雜矽酸鹽玻璃(fluorine-doped silicate glass,FSG)或低介電常數介電材料形成,透過低壓化學氣相沉積(low pressure chemical vapor deposition,LPCVD)、電漿輔助化學氣相沉積或可流動化學氣相沉積形成。在不脫離本發明實施例的範圍的情況下,其他材料及結構可用於絕緣層502。
背側接點504可形成延伸通過絕緣層502,並接觸可設置於半導體裝置500的背側的第一半導體裝置100的源極/汲極區110,而第二半導體裝置200可設置於半導體裝置500的前側。
背側接點504可透過任何合適技術形成,包含例如透過蝕刻製程形成凹口延伸通過絕緣層502或基底102,並在凹口中沉積背側接點504的導電材料。
背側電源軌506形成於半導體裝置500的背側。在一些實施例中,背側電源軌506可形成於絕緣層502上或基底102上。背側電源軌506可透過任何合適技術形成,包含透過一個或多個光微影製程、沉積製程、蝕刻製程或任何其他半導體裝置製造過程。
在一些實施例中,背側電源軌506包含一個或多個導電層及導電層之間的一個或多個介電層。背側電源軌506可更包含一個或多個導電連接元件,例如導通孔或類似物,其可將背側電源軌506的導線或其他電性部件彼此電性耦接。
在半導體裝置500中包含背側電源軌506有利於減少半導體裝置500的前側的路徑堵塞(routing congestion),因為一個或多個電性連接至第一半導體裝置100的電晶體或其他電性部件可製作於背側電源軌506的背側導通孔,進而避免可能由於到第一半導體裝置100的電晶體和從第一半導體裝置100的電晶體的電連接路徑到半導體裝置500的前側而導致的路徑堵塞。
第7A和7B圖為依據一些實施例,半導體裝置500的更多細節的剖面示意圖。第7A圖為沿第4A圖的切線4B截取的半導體裝置500的剖面示意圖,而第7B圖為沿第4A圖的切線4C截取的半導體裝置300的剖面示意圖。
如第7A圖所示,半導體裝置500相同或大致相同於第4B圖所示的半導體裝置300。然而,如第7B圖所示,沿延伸通過源極/汲極區110及210的剖面切線,存在差異。
舉例來說,在一些實施例中,可形成具有縮小尺寸(例如縮小的橫向或垂直尺寸)的半導體裝置500的源極/汲極區210,且介電層258可至少部分圍繞源極/汲極區210。再者,介電層260可朝向源極/汲極區210橫向向內延伸,例如延伸於接合層270與源極/汲極區210之間。
在一些實施例中,矽化物572(或矽化物層)可形成於源極/汲極區210上,且源極/汲極接點514形成於矽化物572(或矽化物層)上。矽化物572及源極/汲極接點514可透過任何合適技術形成,包含例如本文上述參考矽化物172及源極/汲極接點114的技術。在一些實施例中,源極/汲極接點514為第6B圖所示的背側接點504。
第8A-8F圖為依據一些實施例之各種半導體裝置的剖面示意圖。第8A-8F圖所示的半導體裝置可透過本文描述的任何製程形成,且可包含本文描述的半導體裝置的任何部件。第8A-8F圖示意性地顯示半導體裝置,以描述所示的特定部件。
如第8A圖所示,半導體裝置600可包含第一半導體裝置610及第二半導體裝置620。第一半導體裝置610及第二半導體裝置620可為或可包含本文描述的半導體裝置(例如第一半導體裝置100及第二半導體裝置200)的任何部件或功能,且可形成於具有淺溝槽隔離區130的基底102上。
如第8A圖所示,第一半導體裝置610及第二半導體裝置620各包含一般水平對齊且具有沿水平方向延伸的寬度的半導體奈米結構106及206的對應堆疊物。接合層670設置於第一半導體裝置610與第二半導體裝置620之間。接合層670可相同或大致相同於接合層170及270,且在一些實施例中,接合層670可包含接合層170及270。
在一些實施例中,第一半導體裝置610的半導體奈米結構106具有不同於第二半導體裝置620的半導體奈米結構206的導電型。舉例來說,半導體奈米結構106可由半導體材料形成(例如摻雜P型摻雜物種的矽),而半導體奈米結構206可由半導體材料形成(例如摻雜N型摻雜物種的矽)。
在各種實施例中,第一半導體裝置610的半導體奈米結構106具有相同或不同於第二半導體裝置620的半導體奈米結構206的晶格結構。舉例來說,半導體奈米結構106及半導體奈米結構206可由具有晶格面(100)的密勒指數(Miller index)及通道電流<110>的方向的密勒指數的矽形成。然而,在一些實施例中,半導體奈米結構106可由具有晶格面(110)的密勒指數及通道電流<110>的方向的密勒指數的矽形成,而半導體奈米結構206可由具有晶格面(100)的密勒指數及通道電流<100>的方向的密勒指數的矽形成。
如第8B圖所示,半導體裝置700可包含第一半導體裝置710及第二半導體裝置720。如第8B圖所示,第一半導體裝置710及第二半導體裝置720各包含一般垂直對齊且具有沿垂直方向延伸的高度的對應的半導體結構706及718。半導體結構706及718可為鰭式場效電晶體的鰭,在一些實施例中,具有閘極電極108及208設置於半導體結構706及718的橫向及側面。半導體結構706及718可形成半導體裝置700的電晶體的通道區,且可一般對應至本文描述的半導體奈米結構,除了半導體結構706及718可被包含作為鰭式場效電晶體的一部分,而奈米結構可被包含作為全繞式閘極電晶體的一部分。
接合層770設置於第一半導體裝置710與第二半導體裝置720之間,第一半導體裝置710及第二半導體裝置720可包含接合層170及270的一個或兩者。
在一些實施例中,第一半導體裝置710的半導體結構706的導電型(例如P型)不同於第二半導體裝置720的半導體結構718的導電型(例如N型)。
在各種實施例中,第一半導體裝置710的半導體結構706具有晶格常數相同或不同於第二半導體裝置720的半導體結構718的晶格常數。舉例來說,半導體結構706及718可由具有晶格面(100)的密勒指數及通道電流<110>的方向的密勒指數的矽形成。然而,在一些實施例中,半導體結構706可由具有晶格面(110)的密勒指數及通道電流<110>的方向的密勒指數的矽形成,而半導體結構718可由具有晶格面(100)的密勒指數及通道電流<100>的方向的密勒指數的矽形成。
如第8C圖所示,半導體裝置800可包含第一半導體裝置810及第二半導體裝置820。第8C圖的半導體裝置800大致相同於第8A圖的半導體裝置600,除了本文將描述的差異。特別來說,半導體裝置800的第一半導體裝置810包含的半導體奈米結構806由不同於第二半導體裝置820的半導體奈米結構206的半導體材料形成。在一些實施例中,半導體奈米結構806由SiGe形成,而半導體奈米結構106由矽形成。
在一些實施例中,第一半導體裝置810的半導體奈米結構806的導電型(例如P型)不同於半導體奈米結構106的導電型(例如N型)。
接合層870設置於第一半導體裝置810與第二半導體裝置820之間,第一半導體裝置810及第二半導體裝置820可包含接合層170及270的一個或兩者。
如第8D圖所示,半導體裝置900可包含第一半導體裝置910,第一半導體裝置910包含一個或多個鰭式場效電晶體,例如包含一般在垂直方向延伸作為鰭的半導體結構906。第二半導體裝置920可包含一個或多個全繞式閘極電晶體,例如包含如圖所示水平定向的半導體奈米結構206。
在各種實施例中,半導體結構906可由相同或不同於半導體奈米結構206的材料形成。在一些實施例中,半導體結構906由SiGe形成,而半導體奈米結構206由矽形成。
在一些實施例中,第一半導體裝置910的半導體結構906的導電型(例如P型)不同於半導體奈米結構206的導電型(例如N型)。
接合層970設置於第一半導體裝置910與第二半導體裝置920之間,第一半導體裝置910及第二半導體裝置920可包含接合層170及270的一個或兩者。
如第8E圖所示,半導體裝置1000可包含第一半導體裝置1010及第二半導體裝置1020。第8E圖的半導體裝置1000大致相同於第8B圖的半導體裝置700,除了半導體裝置1000的第一半導體裝置1010包含的半導體結構1006不同於第二半導體裝置1020的半導體結構1008的半導體材料。在一些實施例中,半導體結構1006由SiGe形成,而半導體結構1008由矽形成。
在一些實施例中,第一半導體裝置1010的半導體結構1006的導電型(例如P型)不同於第二半導體裝置1020的半導體結構1008的導電型(例如N型)。
接合層1070設置於第一半導體裝置1010與第二半導體裝置1020之間,第一半導體裝置1010及第二半導體裝置1020可包含接合層170及270的一個或兩者。
在一些實施例中,第一半導體裝置1010的半導體結構1006可具有晶格結構相同或不同於第二半導體裝置1020的半導體結構1008的晶格結構。
如第8F圖所示,半導體裝置1100可包含第一半導體裝置1110,第一半導體裝置1110包含一個或多個鰭式場效電晶體,例如包含一般在垂直方向延伸作為鰭的半導體結構1106。第二半導體裝置1120可包含具有單一奈米片或二維(2D)奈米結構1108的平面電晶體。在一些實施例中,二維奈米結構1108可維單層或大致單層的二維半導體材料。在一些實施例中,二維奈米結構1108可為二維過渡金屬硫屬化合物(transition metal dichalcogenide,TMD)材料。在一些實施例中,二維奈米結構1108可包含WS 2、WSe 2、MoS 2、 MoSe 2、 HfS 2、HfSe 2或任何其他合適的過渡金屬硫屬化合物單層的一個或多個。
在第二半導體裝置1120的電晶體中包含二維奈米結構1108有利地為短通道效應提供高載子移動率及較佳的閘極控制。在一些實施例中,二維奈米結構1108具有厚度小於5nm。在一些實施例中,二維奈米結構1108具有厚度小於1nm。在一些實施例中,二維奈米結構1108具有厚度在0.5nm至5nm之間。
第一半導體裝置1110可大致相同於第8E圖所示的半導體裝置1000的第一半導體裝置1010。然而,本發明實施例不限於此,在各種實施例中,第一半導體裝置1110可為本文描述的任何半導體裝置。
接合層1170設置於第一半導體裝置1110與第二半導體裝置1120之間,第一半導體裝置1110及第二半導體裝置1120可包含接合層170及270的一個或兩者。
可使用本文描述的任何方法形成第8A到8F圖顯示的半導體裝置的每一者。舉例來說,第8A到8F圖顯示的半導體裝置的每一者的第一半導體裝置可對應至半導體裝置300的第一半導體裝置100,第一半導體裝置100可接合至第二半導體裝置。第8A到8F圖顯示的半導體裝置的每一者的第二半導體裝置可對應至可對應至半導體裝置300的第二半導體裝置200。
第8A到8F圖顯示的半導體裝置的每一者可為順序互補式場效電晶體半導體裝置。在一些實施例中,下方裝置(第一裝置)可為P型場效電晶體裝置,而上方裝置(第二裝置)可為N型場效電晶體裝置,然而,本發明實施例不限於此,且在各種實施例中,下方裝置(第一裝置)可為N型場效電晶體裝置,而上方裝置(第二裝置)可為P型場效電晶體裝置,這可以取決於設計考量、效能考量或類似考量在本文的各種實施例中實現。
在各種實施例中,本文提供的半導體裝置包含設置於第一及第二半導體裝置或結構之間的接合層或接合介電質。在一些實施例中,第一及第二半導體裝置具有彼此不同的裝置結構或電晶體結構,例如奈米片或全繞式閘極電晶體、鰭式場效電晶體、二維結構或其他任何類型的電晶體結構。
在一些實施例中,第一及第二半導體裝置具有不同的導電型、不同的半導體材料或不同的晶格定向。在一些實施例中,提供兩層或更多層的源極/汲極接點及閘極電極,這有利於實現半導體裝置作為順序互補式場效電晶體裝置,因為第一半導體裝置的電性部件可與第二半導體裝置的電性部件隔開。
本發明實施例提供半導體裝置及方法,其中半導體裝置的第一及第二半導體裝置(例如電晶體)可彼此堆疊且彼此物理或電性隔離。這有利於形成具有彼此不同的裝置結構或電晶體結構的第一及第二半導體裝置,例如奈米片或全繞式閘極電晶體、鰭式場效電晶體、二維結構或其他任何類型的電晶體結構。在一些實施例中,第一及第二半導體裝置具有不同的導電型、不同的半導體材料或不同的晶格定向。在一些實施例中,提供兩層或更多層透過接合層彼此隔開的源極/汲極接點及閘極電極,這有利於實現半導體裝置作為順序互補式場效電晶體裝置,因為第一半導體裝置的電性部件可與第二半導體裝置的電性部件隔開。
在一個或多個實施例中,方法包含形成第一半導體裝置的第一電晶體,第一電晶體包含第一通道區及在第一通道區上的第一閘極電極。透過設置於第一半導體裝置與第二半導體裝置之間的接合層來將第二半導體裝置接合至第一半導體裝置。形成第二半導體裝置的第二電晶體,第二電晶體包含第二通道區及在第二通道區上的第二閘極電極。接合層設置於第一電晶體的第一閘極電極與第二電晶體的第二閘極電極之間。
在一些其他實施例中,其中形成第一通道區的步驟包含形成具有第一導電型的第一通道區,且其中形成第二通道區的步驟包含形成具有不同於第一導電型的第二導電型的第二通道區。
在一些其他實施例中,其中形成第一通道區的步驟包含形成具有沿第一方向彼此橫向間隔開的複數個鰭結構,且其中形成第二通道區的步驟包含形成具有沿垂直於第一方向的第二方向彼此橫向間隔開的複數個奈米結構。
在一些其他實施例中,其中形成第一電晶體或第二電晶體的其中一者的步驟包含從第一通道區或第二通道區的一者磊晶成長形成磊晶源極/汲極區,至少空隙設置於第一接合層與磊晶源極/汲極區之間。
在一些其他實施例中,其中形成第二通道區的步驟包含形成與第一通道區不同材料的第二通道區,且其中形成第一通道區的步驟包含形成矽鍺區,且形成第二通道區的步驟包含形成矽區。
在一些其他實施例中,上述方法更包含在第二電晶體上形成第二接合層;以及將第一接合層接合至第二接合層,其中形成第二電晶體的步驟包含在將第一接合層接合至第二接合層之後,形成第二電晶體。
在一些其他實施例中,其中形成第一接合層及形成第二接合層的步驟包含形成包含SiO、 SiOC、 SiOCN、 SiN、 SION、 AlN、 BN、 SiC、鑽石或BeO的至少一者的第一接合層及第二接合層。
在一些其他實施例中,其中形成第一接合層及形成第二接合層的步驟包含形成第一接合層及第二接合層的至少一者具有厚度小於50nm。
在一些其他實施例中,其中形成第一通道區的步驟包含形成具有第一晶格面定向的第一通道區,且形成第二通道區的步驟包含形成具有不同於第一晶格面定向的第二晶格面定向的第二通道區。
在一個或多個實施例中,方法包含在第一基底上或第一基底中形成第一半導體裝置,此步驟包含形成第一通道區;在第一通道區上方形成第一閘極電極。形成接觸第一通道區的第一源極/汲極區,第一源極/汲極區沿第一方向相鄰於第一通道區。在第一半導體裝置上形成第一接合層,在第二基底上形成第二接合層。將第一接合層和第二接合層彼此接合。在第二基底上或第二基底中形成第二半導體裝置,此步驟包含形成第二通道區;在第二通道區上方形成第二閘極電極。形成接觸第二通道區的第二源極/汲極區,第二源極/汲極區沿第一方向相鄰於第二通道區。
在一些其他實施例中,其中形成第一通道區的步驟包含形成具有第一導電型的第一通道區,且形成第二通道區的步驟包含形成具有不同於第一導電型的第二導電型的第二通道區。
在一些其他實施例中,其中形成第一通道區的步驟包含形成沿第一方向彼此橫向間隔開的複數個鰭結構,且其中形成第二通道區的步驟包含形成沿垂直於第一方向的第二方向彼此橫向間隔開的複數個奈米結構。
在一些其他實施例中,其中形成第一通道區的步驟包含形成沿第一方向彼此間隔開的複數個奈米結構,且其中形成第二通道區的步驟包含形成沿垂直於第一方向的第二方向彼此橫向間隔開的複數個鰭結構。
在一些其他實施例中,其中形成第一通道區及形成第二通道區的步驟包含形成不同材料的第一通道區及第二通道區。
在一些其他實施例中,其中形成第一通道區的步驟包含形成具有第一晶格面定向的第一通道區,且形成第二通道區的步驟包含形成具有不同於第一晶格面定向的第二晶格面定向的第二通道區。
在一些其他實施例中,上述方法更包含形成從第一源極/汲極區延伸至第二源極/汲極區的導通孔。
在一個或多個實施例中,裝置包含基底;第一電晶體,位於基底上。第一電晶體包含:第一通道區;第一閘極電極,位於第一通道區上方;及第一源極/汲極區,接觸第一通道區,第一源極/汲極區沿第一方向相鄰於第一通道區。絕緣層,位於第一電晶體上;以及第二電晶體,位於絕緣層上。第二電晶體包含:第二通道區;第二閘極電極,位於第二通道區上方;及第二源極/汲極區,接觸第二通道區,第二源極/汲極區沿第一方向相鄰於第二通道區。
在一些其他實施例中,上述半導體裝置更包含背側電性接點,位於第一源極/汲極區上,第一源極/汲極區設置於絕緣層與背側電性接點之間。
在一些其他實施例中,其中第一電晶體包含第一源極/汲極電極,位於第一源極/汲極區上,且第二電晶體包含第二源極/汲極電極,位於第二源極/汲極區上,其中絕緣層設置於第一源極/汲極電極與第二源極/汲極電極之間。
在一些其他實施例中,其中絕緣層包含在第二源極/汲極區下方的中心部分及沿第一方向從中心部分橫向延伸的凹陷橫向邊緣部分,絕緣層的中心部分的厚度大於絕緣層的凹陷橫向邊緣部分的厚度。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更加了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明實施例的發明精神與範圍。在不背離本發明實施例的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
10,300,400,500,600,700,800,900,1000,1100:半導體裝置 12,102,202:基底 16:電性接點 70,170,270,670,770,870,970,1070,1170:接合層 100,610,710,810,910,1010,1110:第一半導體裝置 104a,104b:電晶體 106,206,806:半導體奈米結構 108,208:閘極電極 110,210:源極/汲極區 114,214,514:源極/汲極接點 116,216:半導體層 118,218:犧牲半導體層 120:層 124:鰭 126:溝槽 130:淺溝槽隔離區 132:包覆層 133:混合鰭 134:第一介電層 136:第二介電層 138:高介電常數介電層 140,240:薄介電層 142,242:多晶矽層 144,146,149,158,160,244,246,249,258,260:介電層 147,247:虛設閘極結構 148,248:閘極間隔層 150,250,411:凹口 151,251:犧牲半導體奈米結構 154,254:內部間隙壁 165,265:界面介電層 166,266:高介電常數閘極介電層 172,272,572:矽化物 200,620,720,820,920,1020,1120:第二半導體裝置 253:空隙 410:遮罩層 412:導通孔 502:絕緣層 504:背側接點 506:背側電源軌 706,718,906,1006,1008,1106:半導體結構 1108:二維奈米結構 D:距離 H1,H2,H2’:高度
根據以下的詳細說明並配合所附圖式可以更加理解本發明實施例。應注意的是,根據本產業的標準慣例,圖示中的各種部件(feature)並未必按照比例繪製。事實上,可能任意的放大或縮小各種部件的尺寸,以做清楚的說明。 第1圖為依據一些實施例之半導體裝置的透視圖。 第2A-2Y、3A-3J圖為依據一些實施例,半導體裝置在加工的各種階段的剖面示意圖。 第4A圖為依據一些實施例,第3J圖所示的半導體裝置的細節的剖面示意圖。 第4B圖為依據一些實施例,沿第4A圖的切線4B截取的半導體裝置的剖面示意圖;第4C圖為依據一些實施例,沿第4A圖的切線4C截取的半導體裝置的剖面示意圖。 第5A-5C圖為依據一些實施例,半導體裝置在加工的各種階段的剖面示意圖。 第6A和6B圖為依據一些實施例,半導體裝置在加工的各種階段的剖面示意圖。 第7A和7B圖為依據一些實施例,半導體裝置的更多細節的剖面示意圖。 第8A-8F圖為依據一些實施例之各種半導體裝置的剖面示意圖。
100:第一半導體裝置
200:第二半導體裝置
300:半導體裝置
214:源極/汲極接點
272:矽化物

Claims (15)

  1. 一種半導體裝置的形成方法,包括:形成一第一半導體裝置的一第一電晶體,形成該第一電晶體的步驟包含:形成一第一通道區;及在該第一通道區上形成一第一閘極電極;透過在該第一半導體裝置與一第二半導體裝置之間形成一第一接合層來將該第二半導體裝置接合至該第一半導體裝置;以及形成該第二半導體裝置的一第二電晶體,形成該第二電晶體的步驟包含:形成一第二通道區;及在該第二通道區上形成一第二閘極電極,其中該第一接合層設置於該第一電晶體的該第一閘極電極與該第二電晶體的該第二閘極電極之間,其中形成該第一電晶體或該第二電晶體的其中一者的步驟包含從該第一通道區或該第二通道區的一者磊晶成長形成一磊晶源極/汲極區,且該第一接合層延伸橫跨該第一通道區、該第一閘極電極及該磊晶源極/汲極區。
  2. 如請求項1之半導體裝置的形成方法,其中形成該第一通道區的步驟包含形成具有一第一導電型的該第一通道區,且其中形成該第二通道區的步驟包含形成具有不同於該第一導電型的一第二導電型的該第二通道區。
  3. 如請求項1或2之半導體裝置的形成方法,其中形成該第一通道區的步驟包含形成具有沿一第一方向彼此橫向間隔開的複數個鰭結構,且其中形成該第二通道區的步驟包含形成具有沿垂直於該第一方向的一第二方向彼此橫向間隔開的複數個奈米結構。
  4. 如請求項1或2之半導體裝置的形成方法,其中至少一空隙設置於該第一接合層與該磊晶源極/汲極區之間。
  5. 如請求項1或2之半導體裝置的形成方法,更包括:在該第二電晶體上形成一第二接合層;以及將該第一接合層接合至該第二接合層,其中形成該第二電晶體的步驟包含在將該第一接合層接合至該第二接合層之後,形成該第二電晶體。
  6. 如請求項1或2之半導體裝置的形成方法,其中形成該第一通道區的步驟包含形成具有一第一晶格面定向的該第一通道區,且形成該第二通道區的步驟包含形成具有不同於該第一晶格面定向的一第二晶格面定向的該第二通道區。
  7. 一種半導體裝置的形成方法,包括:在一第一基底上或該第一基底中形成一第一半導體裝置,形成該第一半導體裝置的步驟包含:形成一第一通道區;在該第一通道區上方形成一第一閘極電極;及形成接觸該第一通道區的一第一源極/汲極區,該第一源極/汲極區沿一第一方向相鄰於該第一通道區;在該第一半導體裝置上形成一第一接合層,其中該第一接合層延伸橫跨該第一通道區、該第一閘極電極及該第一源極/汲極區;在一第二基底上形成一第二接合層;將該第一接合層和該第二接合層彼此接合;以及在該第二基底上或該第二基底中形成一第二半導體裝置,形成該第二半導體 裝置的步驟包含:形成一第二通道區;在該第二通道區上方形成一第二閘極電極;及形成接觸該第二通道區的一第二源極/汲極區,該第二源極/汲極區沿該第一方向相鄰於該第二通道區。
  8. 如請求項7之半導體裝置的形成方法,其中形成該第一通道區的步驟包含形成沿一第一方向彼此橫向間隔開的複數個鰭結構,且其中形成該第二通道區的步驟包含形成沿垂直於該第一方向的一第二方向彼此橫向間隔開的複數個奈米結構。
  9. 如請求項7之半導體裝置的形成方法,其中形成該第一通道區的步驟包含形成沿一第一方向彼此間隔開的複數個奈米結構,且其中形成該第二通道區的步驟包含形成沿垂直於該第一方向的一第二方向彼此橫向間隔開的複數個鰭結構。
  10. 如請求項7至9中任一項之半導體裝置的形成方法,其中形成該第一通道區及形成該第二通道區的步驟包含形成不同材料的該第一通道區及該第二通道區。
  11. 如請求項7至9中任一項之半導體裝置的形成方法,更包括:形成從該第一源極/汲極區延伸至該第二源極/汲極區的一導通孔。
  12. 一種半導體裝置,包括:一基底;一第一電晶體,位於該基底上,該第一電晶體包含:一第一通道區; 一第一閘極電極,位於該第一通道區上方;及一第一源極/汲極區,接觸該第一通道區,該第一源極/汲極區沿一第一方向相鄰於該第一通道區;一絕緣層,位於該第一電晶體上,其中該絕緣層延伸橫跨該第一通道區、該第一閘極電極及該第一源極/汲極區;以及一第二電晶體,位於該絕緣層上,該第二電晶體包含:一第二通道區;一第二閘極電極,位於該第二通道區上方;及一第二源極/汲極區,接觸該第二通道區,該第二源極/汲極區沿該第一方向相鄰於該第二通道區。
  13. 如請求項12之半導體裝置,更包括:一背側電性接點,位於該第一源極/汲極區上,該第一源極/汲極區設置於該絕緣層與該背側電性接點之間。
  14. 如請求項12或13之半導體裝置,其中該第一電晶體包含一第一源極/汲極電極,位於該第一源極/汲極區上,且該第二電晶體包含一第二源極/汲極電極,位於該第二源極/汲極區上,其中該絕緣層設置於該第一源極/汲極電極與該第二源極/汲極電極之間。
  15. 如請求項12或13之半導體裝置,其中該絕緣層包含在該第二源極/汲極區下方的一中心部分及沿該第一方向從該中心部分橫向延伸的一凹陷橫向邊緣部分,該絕緣層的該中心部分的厚度大於該絕緣層的該凹陷橫向邊緣部分的厚度。
TW111138994A 2021-12-07 2022-10-14 半導體裝置及其形成方法 TWI835349B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163286926P 2021-12-07 2021-12-07
US63/286,926 2021-12-07
US17/861,052 US20230178435A1 (en) 2021-12-07 2022-07-08 Complementary fet (cfet) devices and methods
US17/861,052 2022-07-08

Publications (2)

Publication Number Publication Date
TW202324511A TW202324511A (zh) 2023-06-16
TWI835349B true TWI835349B (zh) 2024-03-11

Family

ID=

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210028169A1 (en) 2017-12-04 2021-01-28 Tokyo Electron Limited Semiconductor apparatus having stacked gates and method of manufacture thereof

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210028169A1 (en) 2017-12-04 2021-01-28 Tokyo Electron Limited Semiconductor apparatus having stacked gates and method of manufacture thereof

Similar Documents

Publication Publication Date Title
TWI726365B (zh) 半導體元件及其製造方法
US11309421B2 (en) Semiconductor devices
CN109524468B (zh) 半导体器件
TWI672743B (zh) 形成具有取代金屬閘極與接觸之場效電晶體的方法及所產生的結構
TWI647749B (zh) 半導體裝置及其製造方法
TWI748102B (zh) 包含接觸插塞的半導體元件
TWI705504B (zh) 具有高k間隔件及自對準觸點覆蓋層之finfet
US11948999B2 (en) Semiconductor device
TW202032793A (zh) 半導體裝置
US20130020640A1 (en) Semiconductor device structure insulated from a bulk silicon substrate and method of forming the same
TWI697985B (zh) 半導體裝置及其製造方法
US10586852B2 (en) Semiconductor device
TWI727053B (zh) 半導體裝置結構之製造方法及半導體裝置結構
TW201946156A (zh) 半導體元件及其製造方法
TW202243254A (zh) 積體電路
TW202109628A (zh) 半導體裝置結構的形成方法
US20200020691A1 (en) Semiconductor devices
TWI835349B (zh) 半導體裝置及其形成方法
US20230178435A1 (en) Complementary fet (cfet) devices and methods
KR102388352B1 (ko) 반도체 장치 및 이의 제조 방법
US20220416036A1 (en) Semiconductor device with wrap around silicide and hybrid fin
US20220359652A1 (en) Semiconductor device with wrap around silicide layer
US20230028900A1 (en) Integrated circuit with nanostructure transistors and bottom dielectric insulators
US11916122B2 (en) Gate all around transistor with dual inner spacers
US20230137307A1 (en) Integrated circuit with backside trench for nanosheet removal