TW202109628A - 半導體裝置結構的形成方法 - Google Patents

半導體裝置結構的形成方法 Download PDF

Info

Publication number
TW202109628A
TW202109628A TW109108685A TW109108685A TW202109628A TW 202109628 A TW202109628 A TW 202109628A TW 109108685 A TW109108685 A TW 109108685A TW 109108685 A TW109108685 A TW 109108685A TW 202109628 A TW202109628 A TW 202109628A
Authority
TW
Taiwan
Prior art keywords
semiconductor
fin structure
layer
layers
fin
Prior art date
Application number
TW109108685A
Other languages
English (en)
Inventor
黃旺駿
蔡慶威
程冠倫
王志豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202109628A publication Critical patent/TW202109628A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Abstract

提供半導體裝置的結構及形成方法。此方法包含形成半導體堆疊物,半導體堆疊物具有第一犧牲層和第一半導體層交替排列。此方法也包含將半導體堆疊物圖案化,以形成第一鰭結構和第二鰭結構。此方法更包含以第三鰭結構取代第二鰭結構,第三鰭結構具有第二犧牲層和第二半導體層交替排列。此外,此方法包含移除第一鰭結構的第一犧牲層和第三鰭結構的第二犧牲層。此方法包含形成第一金屬閘極堆疊物和第二金屬閘極堆疊物以分別環繞第一鰭結構的每個第一半導體層和第三鰭結構的每個第二半導體層。

Description

半導體裝置結構的形成方法
本發明實施例係有關於半導體技術,且特別是有關於半導體裝置結構及其形成方法。
半導體積體電路(integrated circuit,IC)產業已經歷了快速成長。在積體電路材料和設計上的技術進步產生了數代積體電路,每一代都比前一代具有更小且更複雜的電路。
在積體電路的發展史中,功能密度(即每一晶片區互連的裝置數目)增加,同時幾何尺寸(即製造過程中所產生的最小的組件(或線路))縮小。此元件尺寸微縮化的製程一般來說具有增加生產效率與降低相關費用的益處。
然而,這些進步增加了加工與製造積體電路的複雜性。然而,由於部件(feature)尺寸持續縮減,製造過程持續變得更加難以實施。因此,形成越來越小的尺寸的可靠的半導體裝置是個挑戰。
在一些實施例中,提供半導體裝置結構的形成方法,此方法包含形成半導體堆疊物,半導體堆疊物具有複數個第一犧牲層和複數個第一半導體層交替排列;將半導體堆疊物圖案化,以形成第一鰭結構和第二鰭結構;以第三鰭結構取代第二鰭結構,第三鰭結構具有複數個第二犧牲層和複數個第二半導體層交替排列;移除第一鰭結構的複數個第一犧牲層和第三鰭結構的複數個第二犧牲層;以及形成第一金屬閘極堆疊物和第二金屬閘極堆疊物以分別環繞第一鰭結構的每個第一半導體層和第三鰭結構的每個第二半導體層。
在一些其他實施例中,提供半導體裝置結構的形成方法,此方法包含形成第一鰭結構和第二鰭結構,第一鰭結構和第二鰭結構各具有複數個犧牲層和複數個半導體層交替排列,其中第二鰭結構中的犧牲層與半導體層之間總界面面積大於第一鰭結構中的犧牲層與半導體層之間的總界面面積;移除第一鰭結構和第二鰭結構中的犧牲層;以及形成至少一金屬閘極堆疊物以環繞第一鰭結構的每個半導體層和第二鰭結構的每個半導體層。
在另外一些實施例中,提供半導體裝置結構,半導體裝置結構包含第一鰭結構,具有彼此隔開的複數個第一半導體條帶;第二鰭結構,具有彼此隔開的複數個第二半導體條帶,其中第二鰭結構的第二半導體條帶的總表面面積大於第一鰭結構的第一半導體條帶的總表面面積;第一金屬閘極堆疊物,環繞每個第一半導體條帶;以及第二金屬閘極堆疊物,環繞每個第二半導體條帶。
要瞭解的是以下的揭露內容提供許多不同的實施例或範例,以實施提供之主體的不同部件。以下敘述各個構件及其排列方式的特定範例,以求簡化揭露內容的說明。當然,這些僅為範例並非用以限定本發明。例如,以下的揭露內容敘述了將一第一部件形成於一第二部件之上或上方,即表示其包含了所形成的上述第一部件與上述第二部件是直接接觸的實施例,亦包含了尚可將附加的部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與上述第二部件可能未直接接觸的實施例。此外,揭露內容中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖式中一元件或部件與另一(複數)元件或(複數)部件的關係,可使用空間相關用語,例如“在...之下”、“下方”、“下部”、“上方”、“上部”及類似的用語。除了圖式所繪示的方位之外,空間相關用語也涵蓋裝置在使用或操作中的不同方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。
本發明所屬技術領域中具通常知識者將理解說明書中的術語“大致”,例如“大致平坦”或“大致共平面”等。在一些實施例中,可省略形容詞“大致”。在適用的情況下,術語“大致”也可包含有著“全部地”、“完全地”、“全部”等的實施例。在適用的情況下,術語“大致”也可意指90%或更高,例如95%或更高,特別為99%或更高,包含100%。再者,術語例如“大致平行”或“大致垂直”被解釋為不排除與特定配置的微小偏差,且可包含例如上至10°的偏差。字詞“大致”不排除“完全地”,例如組成“大致不含”Y可為完全地不含Y。
術語例如“約”與特定距離或尺寸的結合被解釋為不排除與特定距離或尺寸的微小偏差,且可包含例如上至10%的偏差。術語“約”用於數值x可表示x±5%或10%。
本發明實施例可有關於具有鰭的鰭式場效電晶體(Fin Field Effect Transistor,FinFET)。鰭可透過任何合適的方法圖案化。舉例來說,鰭可透過使用一個或多個光微影製程(包含雙重圖案化或多重圖案化製程)來圖案化。一般來說,雙重圖案化或多重圖案化製程結合了光微影和自對準製程,以創造具有較小間距的圖案,舉例來說,此圖案具有比使用單一直接光微影製程可獲得的間距更小的圖案。舉例來說,在一些實施例中,犧牲層形成於基底上方並透過使用光微影製程圖案化。間隔物透過使用自對準製程形成於圖案化犧牲層旁邊。接著,移除犧牲層,且可接著使用剩下的間隔物將鰭圖案化。然而,鰭可透過使用一個或多個其他可應用的製程形成。
本發明實施例可有關於閘極環繞(gate all around,GAA)電晶體結構。閘極環繞結構可透過任何合適的方法圖案化。舉例來說,這些結構可透過使用一個或多個光微影製程(包含雙重圖案化或多重圖案化製程)來圖案化。在一些實施例中,雙重圖案化或多重圖案化製程結合了光微影和自對準製程,以創造具有較小間距的圖案,舉例來說,此圖案具有比使用單一直接光微影製程可獲得的間距更小的圖案。舉例來說,在一些實施例中,犧牲層形成於基底上方並透過使用光微影製程圖案化。間隔物透過使用自對準製程形成於圖案化犧牲層旁邊。接著,移除犧牲層,且可接著使用剩下的間隔物將閘極環繞結構圖案化。
說明書描述了本發明一些實施例。可在這些實施例描述的階段之前、期間及/或之後提供額外的操作。對於不同的實施例,可取代或消除描述的一些階段。可將額外的部件添加至半導體裝置結構。對於不同的實施例,可取代或消除以下所描述的一些部件。雖然將一些實施例描述為按照特定的順序進行操作,但這些操作也可以其他邏輯順序來進行。
第1A-1N圖為依據一些實施例之形成半導體裝置結構的製程的各種階段的剖面示意圖。如第1A圖所示,接收或提供半導體基底100。在一些實施例中,半導體基底100為塊狀(bulk)半導體基底,例如半導體晶圓。舉例來說,半導體基底100包含矽或其他元素半導體材料,例如鍺。半導體基底100可為未摻雜或摻雜(例如p型、n型或前述之組合)。在一些實施例中,半導體基底100包含在介電層上的磊晶成長半導體層。磊晶成長半導體層可由矽鍺、矽、鍺、一個或多個其他合適的材料或前述之組合製成。
在一些其他實施例中,半導體基底100包含化合物半導體。舉例來說,化合物半導體包含一個或多個第III-V族化合物半導體,此化合物半導體具有由化學式AlX1 GaX2 InX3 AsY1 PY2 NY3 SbY4 定義的組成,其中X1、X2、X3、Y1、Y2、Y3和Y4代表相對的比例。X1、X2、X3、Y1、Y2、Y3和Y4中的每個大於或等於0,且總和等於1。化合物半導體可包含碳化矽、砷化鎵、砷化銦、磷化銦、一個或多個其他合適的化合物半導體或前述之組合。也可使用包含第II-VI族化合物半導體的其他合適的基底。
在一些實施例中,半導體基底100為絕緣層上覆半導體(semiconductor-on-insulator,SOI)基底的主動層。絕緣層上覆半導體基底可透過使用植氧分離(separation by implantation of oxygen,SIMOX)製程、晶圓接合製程、其他可應用的方法或前述之組合來製造。在一些其他實施例中,半導體基底100包含多層結構。舉例來說,半導體基底100包含形成於塊狀矽層上的矽鍺層。
如第1A圖所示,半導體基底100包含第一區10和第二區20。在一些實施例中,電晶體(例如鰭式場效電晶體)將形成於第一區10上方,且電容將形成於第二區20上方。電晶體和電容可共同形成類比裝置的一部分。然而,本發明實施例不限於此。在一些其他實施例中,不同的電晶體將分別形成於第一區10和第二區20上方。
依據一些實施例,如第1A圖所示,具有多個半導體層的半導體堆疊物形成於半導體基底100上方。半導體堆疊物延伸於第一區10和第二區20上方。在一些實施例中,半導體堆疊物包含多個犧牲層102a、102b、102c和102d,且半導體堆疊物也包含多個半導體層104a、104b、104c和104d。在一些實施例中,如第1A圖所示,犧牲層102a-102d和半導體層104a-104d交替排列。
在一些實施例中,犧牲層102a-102d和半導體層104a-104d由不同材料製成。在一些實施例中,犧牲層102a-102d由矽鍺或鍺製成或包含矽鍺或鍺,而半導體層104a-104d由矽製成或包含矽。
在一些實施例中,每個半導體層104a-104d比每個犧牲層102a-102d更薄。具有比半導體層更厚的犧牲層可有助於後續製程,例如後續的金屬閘極填充製程。然而,可對本發明實施例作許多變化及/或修改。在一些其他實施例中,每個半導體層104a-104d大致與每個犧牲層102a-102d一樣厚。在一些其他實施例中,每個半導體層104a-104d比每個犧牲層102a-102d更厚。
在一些實施例中,犧牲層102a-102d和半導體層104a-104d透過使用磊晶成長操作形成。每個犧牲層102a-102d和半導體層104a-104d可透過使用選擇性磊晶成長(selective epitaxial growth,SEG)製程、化學氣相沉積(chemical vapor deposition,CVD)製程(例如氣相磊晶(vapor-phase epitaxy,VPE)製程、低壓化學氣相沉積(low pressure CVD,LPCVD)製程及/或超高真空化學氣相沉積(ultra-high vacuum CVD,UHV-CVD)製程)、分子束磊晶製程、一個或多個其他可應用的製程或前述之組合形成。在一些實施例中,犧牲層102a-102d和半導體層104a-104d在相同的製程腔體中原位成長。在一些實施例中,在相同的製程腔體中交替且依序地進行犧牲層的成長和半導體層的成長,以完成半導體堆疊物的形成。
之後,依據一些實施例,如第1A圖所示,硬遮罩元件110A和110B形成於半導體堆疊物上方。硬遮罩元件110A和110B用於輔助後續半導體堆疊物的圖案化。在一些實施例中,硬遮罩元件110A和110B包含多個子層,例如硬遮罩層106和108。在一些實施例中,硬遮罩層106由氧化矽製成或包含氧化矽。在一些實施例中,硬遮罩層108由氮化矽、碳化矽、氮氧化矽、氮化鈦、鈦、一個或多個其他合適的材料或前述之組合製成或包含前述材料。在一些實施例中,硬遮罩元件110A和110B透過使用化學氣相沉積製程、物理氣相沉積(physical vapor deposition,PVD)製程、旋塗製程、一個或多個其他可應用的製程或前述之組合沉積。可使用一個或多個圖案化製程以具有所期望圖案的硬遮罩元件110A和110B。
依據一些實施例,如第1B圖所示,將半導體堆疊物圖案化以分別形成鰭結構112A和112B於第一區10和第二區20上方。在一些實施例中,使用一個或多個蝕刻製程以部分移除半導體堆疊物。因此,如第1B圖所示,半導體堆疊物的剩下部分形成鰭結構112A和112B。在一些實施例中,也部分移除半導體基底100。因此,如第1B圖所示,突出結構101A和101B也形成作為鰭結構112A和112B的一部分。
依據一些實施例,如第1B圖所示,隔離結構114形成以圍繞鰭結構112A和112B。隔離結構114也可圍繞硬遮罩元件110A和110B。在一些實施例中,隔離結構114的頂表面與硬遮罩元件110A和110B的頂表面大致齊平。
在一些實施例中,一個或多個介電層沉積於鰭結構112A和112B及半導體基底100上方。之後,使用平坦化製程以部分移除介電層。硬遮罩元件110A和110B也可作為平坦化製程的停止層。因此,介電層的剩下部分形成隔離結構114。平坦化製程可包含化學機械研磨(chemical mechanical polishing,CMP)製程、研磨製程、乾研磨製程、蝕刻製程、一個或多個其他可應用的製程或前述之組合。
依據一些實施例,如第1C圖所示,遮罩元件116形成以覆蓋鰭結構112A和隔離結構114的一部分。期間,在鰭結構112B上方的硬遮罩元件110B和圍繞鰭結構112B的隔離結構114的一部分未被遮罩元件116覆蓋而暴露出來。在一些實施例中,遮罩元件116為圖案化的光阻元件。在一些實施例中,抗反射塗層(未顯示)形成於遮罩元件116下方,以輔助形成所期望圖案的遮罩元件116。
依據一些實施例,如第1D圖所示,移除硬遮罩元件110B以暴露出鰭結構112B的頂表面。舉例來說,如第1D圖所示,暴露出半導體層104d。在一些實施例中,硬遮罩元件110B透過使用一個或多個蝕刻製程移除。由於遮罩元件116的保護,因此硬遮罩元件110A未被蝕刻。
依據一些實施例,如第1E圖所示,移除鰭結構112B。因此,如第1E圖所示,鰭結構112B原先佔據的位置形成凹口117。隔離結構114圍繞凹口117。在一些實施例中,鰭結構112B透過使用一個或多個蝕刻製程移除。在一些實施例中,鰭結構112B透過在相同的製程腔體中使用不同的蝕刻氣體移除。可交替且依序地將不同的蝕刻氣體引入製程腔體中,以移除半導體層和犧牲層。在一些其他實施例中,將相同的蝕刻氣體的混合物引入製程腔體中,以在單一蝕刻操作中移除鰭結構112B。
依據一些實施例,如第1F圖所示,犧牲層118a-118f和半導體層120a-120f依序且交替地形成於凹口117中以形成鰭結構122。依據一些實施例,如第1D-1F圖所示,以鰭結構122取代鰭結構112B。
在一些實施例中,鰭結構122的犧牲層118a-118f和鰭結構112A的犧牲層102a-102d由相同材料製成。舉例來說,犧牲層118a-118f和102a-102d由矽鍺或鍺製成。在一些實施例中,鰭結構122的半導體層120a-120f和半導體層104a-104d由相同材料製成。舉例來說,半導體層120a-120f和104a-104d由矽製成。
然而,本發明實施例不限於此。可對本發明實施例作許多變化及/或修改。在一些其他實施例中,鰭結構122的半導體層120a-120f的材料不同於鰭結構112A的半導體層104a-104d的材料。
在一些實施例中,半導體層120a-120f包含摻雜物分散於其中。在一些實施例中,鰭結構122的每個半導體層120a-120f具有比鰭結構112A的半導體層104a-104d更大的摻雜物濃度。在一些實施例中,每個半導體層120a-120f的導電性大於每個半導體層104a-104d的導電性。
在一些實施例中,如第1F圖所示,每個犧牲層118a-118f比每個犧牲層102a-102d更薄。在一些實施例中,每個犧牲層118a-118f比每個半導體層120a-120f更厚。在一些實施例中,每個半導體層120a-120f與每個半導體層104a-104d大致一樣厚。然而,可對本發明實施例作許多變化及/或修改。在一些其他實施例中,每個半導體層120a-120f比每個半導體層104a-104d更薄。
在一些實施例中,鰭結構122的半導體層120a-120f的總數量大於鰭結構112A的半導體層104a-104d的總數量。如第1F圖所示,鰭結構122的半導體層120a-120f的總數量為6個,其大於鰭結構112A的半導體層104a-104d的總數量(即4個)。
在一些實施例中,鰭結構122的犧牲層118a-118f的總數量大於鰭結構112A的犧牲層102a-102d的總數量。如第1F圖所示,鰭結構122的犧牲層118a-118f的總數量為6個,其大於鰭結構112A的犧牲層102a-102d的總數量(即4個)。
如第1F圖所示,犧牲層與在犧牲層隔壁的半導體層之間具有界面。舉例來說,犧牲層118a與半導體層120a之間具有界面。相似地,犧牲層118b與半導體層120b之間具有界面。在一些實施例中,在鰭結構122中的犧牲層118a-118f與半導體層120a-120f之間的總界面面積(即加總的面積)大於在鰭結構112A中的犧牲層102a-102d與半導體層104a-104d之間的總界面面積。
依據一些實施例,如第1G圖所示,硬遮罩層124和126依序地沉積於第1F圖所示的結構上方。如第1G圖所示,硬遮罩層124可沿隔離結構114的上方側壁延伸。硬遮罩層124的材料和形成方法可相同或相似於硬遮罩層106的材料和形成方法。硬遮罩層126的材料和形成方法可相同或相似於硬遮罩層108的材料和形成方法。
依據一些實施例,如第1H圖所示,使用平坦化製程以移除硬遮罩層124和126在隔離結構114的頂表面之上的部分。因此,暴露出硬遮罩元件110A和隔離結構114。硬遮罩層124和126的剩下部分覆蓋鰭結構122。平坦化製程可包含化學機械研磨製程、研磨製程、乾研磨製程、蝕刻製程、一個或多個其他可應用的製程或前述之組合。
依據一些實施例,如第1I圖所示,部分移除隔離結構114。因此,鰭結構112A和122從剩下的隔離結構114的頂表面突出。可使用一個或多個蝕刻製程來將隔離結構114凹陷。之後,依據一些實施例,如第1J圖所示,移除硬遮罩層106、108、124和126。
之後,依據一些實施例,形成虛設閘極堆疊物132A和132B。第2圖為依據一些實施例之形成半導體裝置結構的製程的中間階段的上視圖。第3A-3J圖為依據一些實施例之形成半導體裝置結構的製程的各種階段的剖面示意圖。在一些實施例中,第1K圖顯示沿第2圖的線1K-1K和1K’-1K’的半導體裝置結構的剖面示意圖。在一些實施例中,第3A圖顯示沿第2圖的線3A-3A和3A’-3A’的半導體裝置結構的剖面示意圖。
依據一些實施例,如第1K、2A和3A圖所示,虛設閘極堆疊物132A和132B形成以部分覆蓋並延伸橫跨鰭結構112A和122。在一些實施例中,如第1K圖所示,虛設閘極堆疊物132A和132B環繞鰭結構112A和122。虛設閘極堆疊物132A包含虛設閘極介電層128A和虛設閘極電極130A。虛設閘極堆疊物132B包含虛設閘極介電層128B和虛設閘極電極130B。
虛設閘極介電層128A和128B可由氧化矽製成或包含氧化矽。虛設閘極電極130A和130B可由多晶矽製成或包含多晶矽。在一些實施例中,虛設閘極介電材料層和虛設閘極電極層依序地沉積於隔離結構114和鰭結構112A和122上方。之後,將虛設閘極介電材料層和虛設閘極電極層圖案化以形成虛設閘極堆疊物132A和132B。
在一些實施例中,虛設閘極堆疊物132A和132B為兩個隔開的虛設閘極堆疊物。然而,本發明實施例不限於此。可對本發明實施例作許多變化及/或修改。在一些其他實施例中,虛設閘極堆疊物132A和132B為單一虛設閘極堆疊物的一部分。在這些情況中,形成於第一區10和第二區20上方的裝置結構共用相同的虛設閘極堆疊物。
如第3B圖所示,間隔層302沉積於第3A圖所示的結構上方。間隔層302可沿虛設閘極堆疊物132A和132B的側壁延伸。間隔層302可由氮化矽、氮氧化矽、碳化矽、一個或多個其他合適的材料或前述之組合製成或包含前述材料。間隔層302可透過使用化學氣相沉積製程、原子層沉積(atomic layer deposition,ALD)製程、物理氣相沉積製程、一個或多個其他可應用的製程或前述之組合沉積。
依據一些實施例,如第3C圖所示,移除突出於虛設閘極堆疊物132A的側壁的半導體層104a-104d和犧牲層102a-102d。也移除突出於虛設閘極堆疊物132B的側壁的半導體層120a-120f和犧牲層118a-118f。可使用一個或多個蝕刻製程以達到上述半導體層和犧牲層的部分移除。在一個或多個蝕刻製程期間也部分移除間隔層302。因此,間隔層302延伸於虛設閘極堆疊物132A的側壁上的剩下部分形成間隔元件302A。間隔層302延伸於虛設閘極堆疊物132B的側壁上的剩下部分形成間隔元件302B。在一個或多個蝕刻製程期間也可將突出結構101A和101B凹陷,其可有利於後續磊晶結構的形成。
依據一些實施例,如第3D圖所示,橫向蝕刻犧牲層102a-102d和118a-118f。因此,犧牲層102a-102d的邊緣從半導體層104a-104d的邊緣後退。犧牲層118a-118f的邊緣從半導體層120a-120f的邊緣後退。
之後,依據一些實施例,另一間隔層沉積於第3D圖所示的結構上方。接著,使用蝕刻製程以部分移除間隔層。間隔層的剩下部分形成內部間隙壁303A和303B。內部間隙壁303A和303B分別覆蓋犧牲層102a-102d和犧牲層118a-118f的邊緣,如第3E圖所示。
依據一些實施例,如第3F圖所示,磊晶結構304A和304B分別形成於虛設閘極堆疊物132A和132B旁邊。在一些實施例中,磊晶結構304A連接至半導體層104a-104d。半導體層104a-104d夾設於磊晶結構304A之間。在一些實施例中,磊晶結構304B連接至半導體層120a-120f。半導體層120a-120f夾設於磊晶結構304B之間。
在一些實施例中,磊晶結構304A和304B為p型區。舉例來說,磊晶結構304A和304B可包含磊晶成長矽鍺或摻雜硼的矽鍺。在一些其他實施例中,磊晶結構304A和304B為n型區。磊晶結構304A和304B可包含磊晶成長矽、磊晶成長碳化矽(SiC)、磊晶成長矽磷(SiP)或其他合適的磊晶成長半導體材料。
在一些實施例中,磊晶結構304A和304B透過使用選擇性磊晶成長(SEG)製程、化學氣相沉積製程(例如氣相磊晶(VPE)製程、低壓化學氣相沉積(LPCVD)製程及/或超高真空化學氣相沉積(UHV-CVD)製程)、分子束磊晶製程、一個或多個其他可應用的製程或前述之組合形成。
在一些實施例中,磊晶結構304A和304B摻雜一個或多個合適的摻雜物。舉例來說,磊晶結構304A和304B為摻雜硼(B)、銦(In)或其他合適的摻雜物的SiGe源極/汲極部件。或者,在一些其他實施例中,磊晶結構304A和304B為摻雜磷(P)、銻(Sb)或其他合適的摻雜物的Si源極/汲極部件。
在一些實施例中,磊晶結構304A和304B在磊晶成長期間原位摻雜。在一些其他實施例中,磊晶結構304A和304B不在磊晶成長期間摻雜。反而,在形成磊晶結構304A和304B之後,磊晶結構304A和304B在後續的製程中摻雜。在一些實施例中,摻雜透過使用離子佈植製程、電漿浸沒離子佈植製程、氣相及/或固相源擴散製程、一個或多個其他可應用的製程或前述之組合達成。在一些實施例中,將磊晶結構304A和304B進一步暴露於一個或多個退火製程以活化摻雜物。舉例來說,使用快速熱退火製程。
之後,依據一些實施例,如第3F圖所示,介電層306沉積於虛設閘極堆疊物132A和132B以及磊晶結構304A和304B上方。可使用平坦化製程以移除介電層306的上部,以提供介電層306大致平坦的頂表面。在一些實施例中,介電層306的頂表面與虛設閘極堆疊物132A和132B的頂表面大致齊平。
介電層306可由氧化矽、氮氧化矽、硼矽酸鹽玻璃(borosilicate glass,BSG)、磷矽酸鹽玻璃(phosphoric silicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、氟矽酸鹽玻璃、低介電常數材料、多孔介電材料、一個或多個其他合適的材料或前述之組合製成或包含前述材料。在一些實施例中,介電層306透過使用化學氣相沉積製程、可流動化學氣相沉積(flowable chemical vapor deposition,FCVD)製程、原子層沉積製程、旋塗製程、一個或多個其他可應用的製程或前述之組合沉積。對介電層306進行的平坦化製程可包含化學機械研磨製程、研磨製程、蝕刻製程、乾研磨製程、一個或多個其他可應用的製程或前述之組合。
依據一些實施例,如第1L和3G圖所示,使用一個或多個蝕刻製程以移除虛設閘極堆疊物132A和132B,以形成由介電層306圍繞的溝槽308A和308B。如第1L圖所示,溝槽308A和308B分別暴露出犧牲層102a-102d和118a-118f。
依據一些實施例,如第1M和3H圖所示,移除犧牲層102a-102d和118a-118f。在一些實施例中,使用蝕刻製程以移除犧牲層102a-102d和118a-118f。由於高蝕刻選擇性的緣故,因此大致不蝕刻半導體層104a-104d和120a-120f。如第1M和3H圖所示,剩下的半導體層形成半導體條帶111a-111d和222a-222f。在移除犧牲層102a-102d和118a-118f之後,形成凹口310A和310B。凹口310A連接溝槽308A並圍繞每個半導體條帶111a-111d。凹口310B連接溝槽308B並圍繞每個半導體條帶222a-222f。在移除犧牲層102a-102d和118a-118f期間,內部間隙壁303A和303B保護磊晶結構304A和304B不被蝕刻。
依據一些實施例,如第1N和3I圖所示,形成金屬閘極堆疊物138A和138B以填充溝槽308A和308B。第4圖為依據一些實施例之半導體裝置結構的剖面示意圖。在一些實施例中,第4圖為部分顯示第1N圖的結構的放大剖面示意圖。金屬閘極堆疊物138A和138B延伸至凹口310A和310B中以分別環繞鰭結構112A的半導體條帶111a-111d和鰭結構122的半導體條帶222a-222f。
每個金屬閘極堆疊物138A和138B包含多個金屬閘極堆疊層。金屬閘極堆疊物138A和138B可包含閘極介電層134、功函數層135A和135B以及導電填充物136A和136B。為了清楚起見,功函數層135A和135B顯示於第4圖,但不顯示於第1N和3G圖。在一些實施例中,金屬閘極堆疊物138A和138B的形成涉及沉積多個金屬閘極堆疊層於介電層306上方,以填充溝槽308A和308B。金屬閘極堆疊層延伸至凹口310A和310B中以環繞鰭結構112A的每個半導體條帶111a-111d和鰭結構122的每個半導體條帶222a-222f。
在一些實施例中,閘極介電層134由有著高介電常數(high-K)的介電材料製成或包含高介電常數的介電材料。閘極介電層134可由氧化鉿、氧化鋯、氧化鋁、二氧化鋯-氧化鋁合金、氧化鉿矽、氮氧化鉿矽、氧化鉿鉭、氧化鉿鈦、氧化鉿鋯、一個或多個其他合適的高介電常數材料或前述之組合製成或包含前述材料。閘極介電層134可透過使用原子層沉積製程、化學氣相沉積製程、一個或多個其他可應用的製程或前述之組合沉積。
可使用第4圖所示的功函數層135A和135B以提供所期望之電晶體的功函數,以增強裝置效能,其包含改善的臨界電壓。在一些實施例中,使用功函數層135A或135B形成N型金屬氧化物半導體(n-type metal oxide semiconductor,NMOS)裝置。功函數層135A或135B為n型功函數層。n型功函數層能夠提供適用於裝置的功函數值,例如等於或小於約4.5eV。
n型功函數層可包含金屬、金屬碳化物、金屬氮化物或前述之組合。舉例來說,n型功函數層包含氮化鈦、鉭、氮化鉭、一個或多個其他合適的材料或前述之組合。在一些實施例中,n型功函數層為含鋁層。含鋁層可由TiAlC、TiAlO、TiAlN、一個或多個其他合適的材料或前述之組合製成或包含前述材料。
在一些實施例中,使用功函數層135A或135B形成P型金屬氧化物半導體(p-type metal oxide semiconductor,PMOS)裝置。功函數層135A或135B為p型功函數層。p型功函數層能夠提供適用於裝置的功函數值,例如等於或大於約4.8eV。
p型功函數層可包含金屬、金屬碳化物、金屬氮化物或前述之組合。舉例來說,p型金屬包含氮化鉭、氮化鎢、鈦、氮化鈦、其他合適的材料或前述之組合。
功函數層135A及/或135B也可由鉿、鋯、鈦、鉭、鋁、金屬碳化物(碳化鉿、碳化鋯、碳化鈦、碳化鋁)、鋁化物、釕、鈀、鉑、鈷、鎳、導電金屬氧化物或前述之組合製成或包含前述材料。可微調功函數層135A及/或135B的厚度及/或組成以調整功函數水平。舉例來說,取決於氮化鈦層的厚度及/或組成,可使用氮化鈦層作為p型功函數層或n型功函數層,。
功函數層135A及/或135B可透過使用原子層沉積製程、化學氣相沉積製程、物理氣相沉積製程、電鍍製程、無電電鍍製程、一個或多個其他可應用的製程或前述之組合沉積於閘極介電層134上方。
在一些實施例中,阻障層在功函數層135A或135B之前形成,以作為閘極介電層134及後續形成的功函數層135A及/或135B之間的界面。阻障層也可用於防止閘極介電層134與後續形成的功函數層135A或135B之間的擴散。阻障層可由含金屬材料製成或包含含金屬材料。含金屬材料可包含氮化鈦、氮化鉭、一個或多個其他合適的材料或前述之組合。阻障層可透過使用原子層沉積製程、化學氣相沉積製程、物理氣相沉積製程、電鍍製程、無電電鍍製程、一個或多個其他可應用的製程或前述之組合沉積。
在一些實施例中,導電填充物136A和136B由金屬材料製成或包含金屬材料。金屬材料可包含鎢、鋁、銅、鈷、一個或多個其他合適的材料或前述之組合。用於形成導電填充物136A和136B的導電層可透過使用化學氣相沉積製程、原子層沉積製程、物理氣相沉積製程、電鍍製程、無電電鍍製程、旋塗製程、一個或多個其他可應用的製程或前述之組合沉積於功函數層135A或135B上方。
在一些實施例中,阻擋層在用於形成導電填充物136A和136B的導電層形成之前形成於功函數層135A或135B上方。阻擋層可用於防止後續形成的導電層擴散或穿透至功函數層135A或135B中。阻擋層可由氮化鉭、氮化鈦、一個或多個其他可應用的材料或前述之組合製成或包含前述材料。阻擋層可透過使用原子層沉積製程、物理氣相沉積製程、電鍍製程、無電電鍍製程、一個或多個其他可應用的製程或前述之組合沉積。
之後,依據一些實施例,進行平坦化製程以移除金屬閘極堆疊層在溝槽308A和308B之外的部分。因此,金屬閘極堆疊層的剩下部分形成金屬閘極堆疊物138A和138B,如第1N、3I和4圖所示。在一些實施例中,金屬閘極堆疊物138A和138B為兩個隔開的金屬閘極堆疊物。然而,本發明實施例不限於此。可對本發明實施例作許多變化及/或修改。在一些其他實施例中,金屬閘極堆疊物138A和138B為單一金屬閘極堆疊物的一部分。在這些情況中,形成於第一區10和第二區20上方的裝置結構共用相同的金屬閘極堆疊物。
如第4圖所示,鰭結構122的半導體條帶222a-222f的總表面面積大於鰭結構112A的半導體條帶111a-111d的總表面面積。在一些實施例中,形成於第二區20上方的裝置結構作為電容。在一些實施例中,形成一個或多個導電結構以形成在半導體條帶222a-222f旁邊的磊晶結構304B之間的電性連接。因此,半導體條帶222a-222f及連接的磊晶結構304B可共同作為第一電容電極。功函數層135B和導電填充物136B可作為第二電容電極。在第一電容電極與第二電容電極之間的閘極介電層134可作為電容介電質。由於鰭結構122的半導體條帶222a-222f具有大的表面面積,因此電容可具有足夠電容而不會占用太大的晶圓面積。
在一些實施例中,鰭結構122的半導體條帶222a-222f的總數量大於鰭結構112A的半導體條帶111a-111d的總數量。如第4圖所示,鰭結構122的半導體層120a-120f的總數量為6個,其大於鰭結構112A的半導體層104a-104d的總數量(即4個)。
如第4圖所示,鰭結構112A具有高度H1 ,且鰭結構122具有高度H2 。在一些實施例中,高度H1 大致等於高度H2 。鰭結構112A和122彼此大致等高。高度H1 或H2 可在約35nm至約80nm的範圍中。
如第4圖所示,鰭結構112A的半導體條帶111a-111d以距離D1 彼此隔開。鰭結構122的半導體條帶222a-222f以距離D2 彼此隔開。在一些實施例中,距離D1 大於距離D2 。距離D2 可在約5nm至約15nm的範圍中。
如第4圖所示,鰭結構112A的每個半導體條帶111a-111d具有厚度T1 。鰭結構122的每個半導體條帶222a-222f具有厚度T2 。在一些實施例中,厚度T1 大致等於厚度T2 。厚度T1 或T2 可在約5nm至約10nm的範圍中。
然而,本發明實施例不限於此。可對本發明實施例作許多變化及/或修改。在一些其他實施例中,厚度T1 大於厚度T2
在一些實施例中,鰭結構122的最頂部半導體層120f形成比每個下方半導體層120a-120e更薄。因此,依據一些實施例,如第4圖所示,由半導體層120f形成的最頂部半導體條帶222f具有厚度T3 比厚度T2 更薄。由於半導體條帶222f形成較薄,因此總高度H2 可維持與鰭結構112A的高度H1 大致等高。由於鰭結構112A和122具有大致相同的高度,後續製程的操作可較為容易。
如第4圖所示,鰭結構112A具有寬度W1 ,且鰭結構122具有寬度W2 。在一些實施例中,寬度W1 和W2 彼此大致相同。寬度W2 可在約20nm至約100nm的範圍中。
然而,本發明實施例不限於此。可對本發明實施例作許多變化及/或修改。在一些其他實施例中,鰭結構122形成比鰭結構112A更寬。寬度W2 大於寬度W1 。在這些情況中,更增加了鰭結構122的半導體條帶222a-222f的總表面面積。因此,對應增加了形成於第二區20中的電容的電容值。
在一些實施例中,透過調整半導體條帶的總數量、半導體條帶的厚度、半導體條帶的寬度及/或半導體條帶之間的距離,可依據需求增大或調整電容的電容值。顯著地降低了電容佔據的裝置面積。
如上所述,在一些實施例中,形成一個或多個導電結構以形成在半導體條帶222a-222f旁邊的磊晶結構304B之間的電性連接,進而形成電容。第3J圖顯示導電結構的範例。
依據一些實施例,如第3J圖所示,介電層308沉積於第3I圖所示的結構上方。介電層308的材料和形成方法可相同或相似於介電層306的材料和形成方法。
之後,依據一些實施例,如第3J圖所示,導電接點202a、202b、202c和202d形成於介電層306和308中。使用導電接點202a和202b以提供電性連接至作為源極/汲極部件的磊晶結構304A。導電接點202a、202b、202c和202d的形成可涉及接觸開口形成、導電材料填充和平坦化製程。
之後,依據一些實施例,如第3J圖所示,介電層310沉積於導電接點202a-202d和介電層308上方。介電層310的材料和形成方法可相同或相似於介電層306的材料和形成方法。之後,導電部件204形成於介電層310中。因此,在半導體條帶222a-222f旁邊的磊晶結構304B電性短路在一起。在一些實施例中,導電部件204、導電接點202c和202d、磊晶結構304B以及半導體條帶222a-222f可共同作為電容的第一電容電極形成於第二區20上方。
然而,本發明實施例不限於此。可對本發明實施例作許多變化及/或修改。在一些其他實施例中,不形成導電部件204以將導電接點202c電性連接至導電接點202d。在這些情況中,形成於第二區20上方的裝置結構可作為電晶體而非電容。
在一些實施例中,具有較少半導體層的鰭結構112B取代具有較多半導體層的鰭結構122。然而,本發明實施例不限於此。可對本發明實施例作許多變化及/或修改。在一些其他實施例中,具有較多半導體層(或較多半導體條帶)的鰭結構取代具有較少半導體層(或較少半導體條帶)的鰭結構。
第5A-5E圖為依據一些實施例之形成半導體裝置結構的製程的各種階段的剖面示意圖。依據一些實施例,如第5A圖所示,相似於第1A-1B圖的實施例,鰭結構512A和512B分別形成於半導體基底100的第一區10和第二區20上方且被隔離結構114圍繞。每個鰭結構512A和512B具有多個犧牲層502a-502f和多個半導體層504a-504f交替排列。犧牲層502a-502f的材料和形成方法可相同或相似於第1A圖所示之犧牲層102a-102d的材料和形成方法。半導體層504a-504f的材料和形成方法可相同或相似於第1A圖所示之半導體層104a-104d的材料和形成方法。
依據一些實施例,如第5B圖所示,相似於第1C圖顯示的實施例,遮罩元件516形成以覆蓋鰭結構512B和隔離結構114的一部分。期間,在鰭結構512A上方的硬遮罩元件110A和圍繞鰭結構512A的隔離結構114的一部分未被遮罩元件516覆蓋而暴露出來。在一些實施例中,遮罩元件516為圖案化的光阻元件。
依據一些實施例,如第5C圖所示,相似於第1D-1E圖顯示的實施例,移除硬遮罩元件110A和鰭結構512A以形成由隔離結構114圍繞的溝槽517。可使用一個或多個蝕刻製程以形成溝槽517。
依據一些實施例,如第5D圖所示,相似於第1F-1J圖顯示的實施例,鰭結構522形成於突出結構101A上方,且部分移除隔離結構114。因此,鰭結構522取代了鰭結構512A。
在一些實施例中,鰭結構522具有多個犧牲層518a-518d和多個半導體層520a-520d交替排列。犧牲層518a-518d的材料和形成方法可相同或相似於第1F圖所示之犧牲層118a-118f的材料和形成方法。半導體層520a-520d的材料和形成方法可相同或相似於第1F圖所示之半導體層120a-120f的材料和形成方法。鰭結構522的半導體層520a-520d的總數量小於鰭結構512A的半導體層504a-504f的總數量。
依據一些實施例,如第5E圖所示,進行相似於第1K-1N圖顯示的實施例的製程以形成金屬閘極堆疊物138A和138B。金屬閘極堆疊物138A環繞由半導體層520a-520d形成的半導體條帶444a-444d。金屬閘極堆疊物138B環繞由半導體層504a-504f形成的半導體條帶333a-333f。
在一些實施例中,形成相似於導電接點202c和202d及導電部件204的導電結構以形成在半導體條帶333a-333f旁邊的磊晶結構之間的電性連接。在這些情況中,在第二區20上方的裝置結構可作為電容。在一些其他實施例中,不形成導電部件204以形成半導體條帶333a-333f旁邊的磊晶結構之間的電性連接。在這些情況中,在第二區20上方的裝置結構可作為電晶體。
本發明實施例形成有著兩個或多個鰭結構的半導體裝置結構,每個鰭結構包含多個半導體條帶。以新的鰭結構取代鰭結構的其中一者,新的鰭結構具有比原來的鰭結構更多或更少的半導體條帶。因此,可增大或調整半導體條帶與後續形成的金屬閘極堆疊物之間的界面面積,其增強了半導體裝置結構的效能。舉例來說,新的鰭結構可作為電容的一部分。半導體條帶與金屬閘極堆疊物之間增大的界面面積可提供電容較大的電容值而不會佔據較大的晶圓面積。顯著地改善了半導體裝置結構的效能和可靠性。
依據一些實施例,提供半導體裝置結構的形成方法。此方法包含形成半導體堆疊物,半導體堆疊物具有第一犧牲層和第一半導體層交替排列。此方法也包含將半導體堆疊物圖案化,以形成第一鰭結構和第二鰭結構。此方法更包含以第三鰭結構取代第二鰭結構,第三鰭結構具有複數個第二犧牲層和複數個第二半導體層交替排列。此外,此方法包含移除第一鰭結構的第一犧牲層和第三鰭結構的第二犧牲層。此方法包含形成第一金屬閘極堆疊物和第二金屬閘極堆疊物以分別環繞第一鰭結構的每個第一半導體層和第三鰭結構的每個第二半導體層。
在一些其他實施例中,其中第一犧牲層和第二犧牲層由相同材料製成。
在一些其他實施例中,其中第一半導體層和第二半導體層由相同材料製成。
在一些其他實施例中,其中每個第二犧牲層比每個第一犧牲層更薄。
在一些其他實施例中,其中每個第二半導體層與每個第一半導體層大致一樣厚。
在一些其他實施例中,其中第二半導體層的總數量大於第一半導體層的總數量。
在一些其他實施例中,上述方法更包含形成第一虛設閘極堆疊物和第二虛設閘極堆疊物以分別環繞第一鰭結構和第三鰭結構;分別在第一虛設閘極堆疊物和第二虛設閘極堆疊物旁成長第一磊晶結構和第二磊晶結構,其中第一磊晶結構連接至第一半導體層,且第二磊晶結構連接至第二半導體層;形成介電層以覆蓋第一磊晶結構和第二磊晶結構並圍繞第一虛設閘極堆疊物和第二虛設閘極堆疊物;以及在移除第一犧牲層和第二犧牲層之前,移除第一虛設閘極堆疊物和第二虛設閘極堆疊物。
在一些其他實施例中,上述方法更包含形成至少一導電結構以形成第二磊晶結構之間的電性連接。
在一些其他實施例中,上述方法更包含形成隔離結構以圍繞第一鰭結構和第二鰭結構;形成遮罩元件以覆蓋第一鰭結構和隔離結構的一部分;移除第二鰭結構以形成由隔離結構圍繞的溝槽;以及依序且交替地在凹口中形成第二犧牲層和第二半導體層,以形成第三鰭結構。
在一些其他實施例中,其中第二半導體層的最頂部第二半導體層形成比第二半導體層的下方層更薄。
依據一些實施例,提供半導體裝置結構的形成方法。此方法包含形成第一鰭結構和第二鰭結構,第一鰭結構和第二鰭結構各具有犧牲層和半導體層交替排列。第二鰭結構中的犧牲層與半導體層之間總界面面積大於第一鰭結構中的犧牲層與半導體層之間的總界面面積。此方法也包含移除第一鰭結構和第二鰭結構中的犧牲層。此方法更包含形成一個或多個金屬閘極堆疊物以環繞第一鰭結構的每個半導體層和第二鰭結構的每個半導體層。
在一些其他實施例中,其中第二鰭結構的每個犧牲層比第一鰭結構的每個犧牲層更薄。
在一些其他實施例中,其中第二鰭結構的半導體層的總數量大於第一鰭結構的半導體層的總數量。
在一些其他實施例中,上述方法更包含形成至少一虛設閘極堆疊物以環繞第一鰭結構和第二鰭結構;在至少一虛設閘極堆疊物旁成長第一磊晶結構和第二磊晶結構,其中第一磊晶結構將半導體層保持在第一鰭結構中,且第二磊晶結構將半導體層保持在第二鰭結構中;形成介電層以覆蓋第一磊晶結構和第二磊晶結構並圍繞至少一虛設閘極堆疊物;以及在移除第一鰭結構和第二鰭結構的犧牲層之前,移除至少一虛設閘極堆疊物。
在一些其他實施例中,上述方法更包含在介電層中形成一第一導電接點和第二導電接點,其中第一導電接點和第二導電接點分別電性連接至第二鰭結構中的半導體層的相對兩端上的第二磊晶結構;以及形成導電部件以形成第一導電接點與第二導電接點之間的電性連接。
依據一些實施例,提供半導體裝置結構。半導體裝置結構包含第一鰭結構,第一鰭結構具有彼此隔開的複數個第一半導體條帶。半導體裝置結構也包含第二鰭結構,第二鰭結構具有彼此隔開的複數個第二半導體條帶。第二鰭結構的第二半導體條帶的總表面面積大於第一鰭結構的第一半導體條帶的總表面面積。半導體裝置結構更包含第一金屬閘極堆疊物,第一金屬閘極堆疊物環繞每個第一半導體條帶。此外,半導體裝置結構包含第二金屬閘極堆疊物,第二金屬閘極堆疊物環繞每個第二半導體條帶。
在一些其他實施例中,其中第二半導體條帶的總數量大於第一半導體條帶的總數量。
在一些其他實施例中,其中第一半導體條帶的兩相鄰半導體條帶以第一距離彼此隔開,第二半導體條帶的兩相鄰半導體條帶以第二距離彼此隔開,且第一距離大於第二距離。
在一些其他實施例中,其中第一鰭結構和第二鰭結構彼此大致等高。
在一些其他實施例中,上述半導體裝置結構更包含第一磊晶結構和第二磊晶結構,其中第二半導體條帶夾設於第一磊晶結構與第二磊晶結構之間;第一導電接點電性連接至第一磊晶結構;第二導電接點電性連接至第二磊晶結構;以及導電結構電性連接至第一導電接點和第二導電接點。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更加了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
10:第一區 20:第二區 100:半導體基底 101A,101B:突出結構 102a,102b,102c,102d,118a,118b,118c,118d,118e,118f,502a,502b,502c,502d,502e,502f,518a,518b,518c,518d:犧牲層 104a,104b,104c,104d,120a,120b,120c,120d,120e,120f,504a,504b,504c,504d,504e,504f,520a,520b,520c,520d:半導體層 106,108,124,126:硬遮罩層 110A,110B:硬遮罩元件 111a,111b,111c,111d,222a,222b,222c,222d,222e,222f,333a,333b,333c,333d,333e,333f,444a,444b,444c,444d:半導體條帶 112A,112B,122,512A,512B,522:鰭結構 114:隔離結構 116,516:遮罩元件 117,310A,310B:凹口 128A,128B:虛設閘極介電層 130A,130B:虛設閘極電極 132A,132B:虛設閘極堆疊物 134:閘極介電層 135A,135B:功函數層 136A,136B:導電填充物 138A,138B:金屬閘極堆疊物 202a,202b,202c,202d:導電接點 204:導電部件 302:間隔層 302A,302B:間隔元件 303A,303B:內部間隙壁 304A,304B:磊晶結構 306,308:介電層 308A,308B,517:溝槽 D1,D2:距離 H1,H2:高度 T1,T2,T3:厚度 W1,W2:寬度
根據以下的詳細說明並配合所附圖式可以更加理解本發明實施例。應注意的是,根據本產業的標準慣例,圖示中的各種部件(feature)並未必按照比例繪製。事實上,可能任意的放大或縮小各種部件的尺寸,以做清楚的說明。 第1A-1N圖為依據一些實施例之形成半導體裝置結構的製程的各種階段的剖面示意圖。 第2圖為依據一些實施例之形成半導體裝置結構的製程的中間階段的上視圖。 第3A-3J圖為依據一些實施例之形成半導體裝置結構的製程的各種階段的剖面示意圖。 第4圖為依據一些實施例之半導體裝置結構的剖面示意圖。 第5A-5E圖為依據一些實施例之形成半導體裝置結構的製程的各種階段的剖面示意圖。
10:第一區
20:第二區
100:半導體基底
101A,101B:突出結構
104a,104b,104c,104d,120a,120b,120c,120d,120e,120f:半導體層
111a,111b,111c,111d,222a,222b,222c,222d,222e,222f:半導體條帶
112A,122:鰭結構
114:隔離結構
134:閘極介電層
136A,136B:導電填充物
138A,138B:金屬閘極堆疊物

Claims (1)

  1. 一種半導體裝置結構的形成方法,包括: 形成一半導體堆疊物,該半導體堆疊物具有複數個第一犧牲層和複數個第一半導體層交替排列; 將該半導體堆疊物圖案化,以形成一第一鰭結構和一第二鰭結構; 以一第三鰭結構取代該第二鰭結構,該第三鰭結構具有複數個第二犧牲層和複數個第二半導體層交替排列; 移除該第一鰭結構的該複數個第一犧牲層和該第三鰭結構的該複數個第二犧牲層;以及 形成一第一金屬閘極堆疊物和一第二金屬閘極堆疊物以分別環繞該第一鰭結構的每個該複數個第一半導體層和該第三鰭結構的每個該複數個第二半導體層。
TW109108685A 2019-08-22 2020-03-17 半導體裝置結構的形成方法 TW202109628A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/548,483 2019-08-22
US16/548,483 US11245029B2 (en) 2019-08-22 2019-08-22 Structure and formation method of semiconductor device with metal gate stack

Publications (1)

Publication Number Publication Date
TW202109628A true TW202109628A (zh) 2021-03-01

Family

ID=74645422

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109108685A TW202109628A (zh) 2019-08-22 2020-03-17 半導體裝置結構的形成方法

Country Status (3)

Country Link
US (3) US11245029B2 (zh)
CN (1) CN112420610A (zh)
TW (1) TW202109628A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11211474B2 (en) * 2020-01-14 2021-12-28 International Business Machines Corporation Gate oxide for nanosheet transistor devices
US11302580B2 (en) * 2020-05-29 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet thickness

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8815712B2 (en) 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9941271B2 (en) * 2013-10-04 2018-04-10 Avago Technologies General Ip (Singapore) Pte. Ltd. Fin-shaped field effect transistor and capacitor structures
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9608116B2 (en) * 2014-06-27 2017-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. FINFETs with wrap-around silicide and method forming the same
US10038053B2 (en) * 2015-10-12 2018-07-31 International Business Machines Corporation Methods for removal of selected nanowires in stacked gate all around architecture
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10032627B2 (en) * 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US9953874B2 (en) * 2016-04-28 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US10971584B2 (en) * 2018-03-07 2021-04-06 International Business Machines Corporation Low contact resistance nanowire FETs
KR102515393B1 (ko) * 2018-06-29 2023-03-30 삼성전자주식회사 반도체 장치 및 그 제조 방법
US11367722B2 (en) * 2018-09-21 2022-06-21 Intel Corporation Stacked nanowire transistor structure with different channel geometries for stress
US11469299B2 (en) * 2018-09-28 2022-10-11 Intel Corporation Gate-all-around integrated circuit structures having underlying dopant-diffusion blocking layers

Also Published As

Publication number Publication date
US11640989B2 (en) 2023-05-02
US20230253483A1 (en) 2023-08-10
US20210057541A1 (en) 2021-02-25
US11245029B2 (en) 2022-02-08
CN112420610A (zh) 2021-02-26
US20220157967A1 (en) 2022-05-19

Similar Documents

Publication Publication Date Title
US11842965B2 (en) Backside power rail structure and methods of forming same
US11824121B2 (en) Semiconductor device with self-aligned wavy contact profile and method of forming the same
US11908750B2 (en) Semiconductor device and method
US11823949B2 (en) FinFet with source/drain regions comprising an insulator layer
US11532519B2 (en) Semiconductor device and method
US11532479B2 (en) Cut metal gate refill with void
US20240153828A1 (en) Semiconductor Device and Method
US11942523B2 (en) Semiconductor devices and methods of forming the same
US20230387246A1 (en) Methods of forming gate structures with uniform gate length
US20220352371A1 (en) Semiconductor Device and Method
US20220359301A1 (en) Dual Dopant Source/Drain Regions and Methods of Forming Same
US20240154016A1 (en) Transistor Gates and Methods of Forming
TW202109628A (zh) 半導體裝置結構的形成方法
US20230378001A1 (en) Semiconductor device and method
US20230352589A1 (en) Source/drain regions of finfet devices and methods of forming same
US20230207396A1 (en) Source/Drain Structures and Method of Forming
US20230008494A1 (en) Gate structures in transistor devices and methods of forming same
US20230155005A1 (en) Semiconductor device and method
KR102452014B1 (ko) 반도체 디바이스용 정렬 구조체 및 그 형성 방법
US20230043635A1 (en) Semiconductor device and method
US20230260850A1 (en) Methods of forming semiconductor device structures
US20230343840A1 (en) Semiconductor structure
CN114551578A (zh) 半导体装置和其形成方法