TWI821761B - 用於蝕刻系統的晶圓輪廓 - Google Patents

用於蝕刻系統的晶圓輪廓 Download PDF

Info

Publication number
TWI821761B
TWI821761B TW110139466A TW110139466A TWI821761B TW I821761 B TWI821761 B TW I821761B TW 110139466 A TW110139466 A TW 110139466A TW 110139466 A TW110139466 A TW 110139466A TW I821761 B TWI821761 B TW I821761B
Authority
TW
Taiwan
Prior art keywords
wafer
controller
etchant
monitoring system
arm
Prior art date
Application number
TW110139466A
Other languages
English (en)
Other versions
TW202205434A (zh
Inventor
智聰 張
約翰 傑奇爾
傑瑞 D 理恩翰
大衛 P 蘇都克
班哲明 沙佛
瑞 楊
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202205434A publication Critical patent/TW202205434A/zh
Application granted granted Critical
Publication of TWI821761B publication Critical patent/TWI821761B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67271Sorting devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Weting (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • ing And Chemical Polishing (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

基板蝕刻系統包含將晶圓保持在面朝上方向上的支撐件、可橫向移動跨越支撐件上之晶圓的分配器臂(該分配器臂支撐輸送端口以選擇性地將液體蝕刻劑分配至晶圓之頂面的一部分上)及監測系統,該監測系統包含可橫向移動跨越支撐件上之晶圓的探針。

Description

用於蝕刻系統的晶圓輪廓
本申請案與蝕刻系統中的基板監測相關。
通常藉由在矽晶圓上的連續沉積、圖案化、及蝕刻導電、半導電或絕緣層來在基板上形成積體電路。一製造步驟涉及了沉積導電層、圖案化蝕刻遮罩以保護導電層、及使用液體蝕刻劑(「濕蝕刻」)來蝕刻導電層以形成隔離的導電線路。蝕刻遮罩層保護膜的經遮罩區域不被蝕刻。對於某些應用來說,直到導電層的未經遮罩區域「清洗」及下層的頂表面經暴露前,皆藉由蝕刻經沉積的導電層來形成隔離的導電線路。在一些應用中,對未經遮罩區域之清洗的偵測被稱為終點偵測,且該清洗的偵測可用於決定何時停止蝕刻製程。
上游製程步驟及濕蝕刻製程的差異通常導致跨越晶圓之經蝕刻導電線路的不均勻性。可(舉例而言)使用臨界尺寸(CD)量測來決定非均勻性。CD量測的方法包含使用掃描式電子顯微鏡(SEM)之自上而下量測或橫截面量測。舉例而言,可使用聚焦離子束(FIB)製程來製備橫截面。導電線路的橫截面量測可提供包含線路之寬度、高度及底切程度的資訊。
在一態樣中,基板蝕刻系統包含將晶圓保持在面朝上方向上的支撐件、可橫向移動跨越支撐件上之晶圓的分配器臂(該分配器臂支撐輸送端口以選擇性地將液體蝕刻劑分配至晶圓之頂面的一部分上)及監測系統,該監測系統包含可橫向移動跨越支撐件上之晶圓的探針。
實施可包含一或多個下列特徵。
以分配器臂固定探針且該探針與該分配器臂一起移動。以第二臂固定探針且該探針與該第二臂一起移動
監測系統可包含光學監測系統。光學監測系統可包括光源、偵測器及光學元件,以將來自該光源的光傳送至基板及將來自該基板的反射光傳送至該偵測器。光學元件可包含光纖,及探針可包含鄰近支撐件定位之該光纖的一端。
控制器可經配置以從監測系統接收量測。控制器可經配置以決定是否放置不正確類型的晶圓於支撐件上。控制器可經配置以偵測晶圓在支撐件上的錯置。控制器可經配置以偵測蝕刻速率與目標蝕刻速率分布的差異,及調整端口的停留時間或蝕刻劑的流速以減小蝕刻速率與目標蝕刻速率分布的差異。
在另一態樣中,一種基板蝕刻系統包含:支撐件,該支撐件將晶圓保持在面朝上方向上;分配器臂,該分配器臂可橫向移動跨越該支撐件上之該晶圓,該分配器臂支撐輸送端口以選擇性地將液體蝕刻劑分配至該晶圓之頂面的一部分上;監測系統,該監測系統包含可橫向移動跨越該支撐件上之該晶圓的探針;及控制器,該控制器經配置以使該探針橫向移動跨越該晶圓,使得該監測系統在該晶圓上的複數個不同的徑向位置處產生量測,以從該監測系統接收該等量測,以基於來自該晶圓上之複數個區域的每個區域內之徑向位置的量測,來決定對於該區域而言是否已達到處理終點,及一旦決定對於所有該等區域而言已達到該終點時,使該分配器停止自該輸送端口分配該液體蝕刻劑。
實施可包含一或多個下列特徵。
監測系統可包含光學監測系統。控制器可經配置以藉由偵測由該光學監測系統所監測之光之波長處的強度變化來決定已達到處理終點。控制器經配置以一旦決定對於一個別區域而言已達到終點時,使分配器停止分配液體蝕刻劑至該個別區域。控制器經配置以直到決定對於所有區域而言皆已達到終點前,使分配器持續跨越複數個區域。
在另一態樣中,一種基板蝕刻系統包含:支撐件,該支撐件將晶圓保持在面朝上方向上;貯槽,該貯槽用於液體蝕刻劑池;分配器臂,該分配器臂可橫向移動跨越該支撐件上之該晶圓,該分配器臂支撐輸送端口以選擇性地自該池將液體蝕刻劑分配至該晶圓之頂面的一部分上;監測系統,該監測系統包含可橫向移動跨越該支撐件上之該晶圓的探針;及控制器,該控制器經配置以使該探針橫向移動跨越該晶圓,使得該監測系統在該晶圓上的複數個不同的徑向位置處產生量測,以從該監測系統接收該等量測,以決定來自該等量測的蝕刻速率、以將該蝕刻速率與目標速率進行比較、及以指示警告以變化該蝕刻劑池或調節該貯槽中之該蝕刻劑池的處理參數中的至少一者以減少蝕刻速率與目標速率的差異。
實施可包含一或多個下列特徵。
處理參數可為蝕刻劑的濃度。控制器可經配置以調整用於支撐件上待處理之隨後晶圓的處理參數。控制器可經配置為儲存起始厚度,以從量測中決定已達到處理終點,以量測自蝕刻之開始直到該處理終點前的經過時間。監測系統可包括光學監測系統,及控制器可經配置以藉由偵測由該光學監測系統所監測之光之波長處的強度變化來決定已達到處理終點。
某些實施可包含一或多個下列優勢。可跨越基板之多個徑向區域來偵測單個終點。可獲得膜清洗中之徑向變化的即時量測。可減少晶圓內蝕刻不均勻性及每片晶圓間蝕刻不均勻性(WIWNU及WTWNU)。可延長蝕刻池壽命。可決定不正確的基板類型引入。可決定支撐件上的基板錯置。可決定製程的蝕刻速率。可偵測到高分失效模式、效應與關鍵性分析法(FMECA)故障機制。
在附加圖式及下文描述中闡述了本發明之一或多個實施例的細節。自說明書、圖式及申請專利範圍中將顯而易見本發明的其他特徵、目的及優勢。
濕蝕刻製程的不均勻性或傳入工件上之層的厚度變化可導致在晶圓的一些其它區域前之該晶圓的一些區域中發生了經蝕刻之膜的清洗。舉例而言,相較於第一個要清洗的區域,最後一個要清洗的區域可需要兩倍時間。為了確保在整個晶圓上已清洗膜,可進行蝕刻製程直到最慢的區域已清洗為止,從而導致第一區域之100%的過度蝕刻。
濕蝕刻製程通常是各向同性的,從而導致在蝕刻光罩層之邊緣處之膜的底切。隨著蝕刻時間增加,底切程度增加。因此,過度蝕刻晶圓的一些區域可導致蝕刻線路的過度底切。過度底切導致了製造產品特性(例如,片電阻)的過度變化,因此期望不均勻性的表徵及減輕。
可使用各種方法執行濕蝕刻製程的不均勻性的表徵。一種方法為毯覆晶圓評測,其中將具有均勻膜層的未圖案化晶圓作為具有圖案的產品晶圓的代用品。藉由蝕刻毯覆晶圓一段固定時間並量測晶圓兩端之膜厚度的結果變化,可表徵蝕刻製程的不均勻性。然而,此方法對於由具有不同的圖案密度、方向及深寬比的不同圖案類型所導致的蝕刻變化是無效的。舉例而言,改變圖案密度導致了由負載效應所引起之液體蝕刻劑的表徵及/或行為的局部變化,影響了蝕刻速率。作為另一個範例,圖案方向可影響液體蝕刻劑的流動及擴散,導致了非均勻性。此外,圖案化特徵的高深寬比可藉由在分配和擴展步驟期間重新導向液體蝕刻劑而引起晶圓之一些區域的「遮蔽」。
用於表徵非均勻性的另一種方法為使用SEM的CD量測。然而,因電鍍特徵比經蝕刻的膜大上許多倍,故此方法對於凸塊下金屬層(UBM)大小變化不敏感。
一種用於表徵化線路底切中之不均勻性的方法為使用FIB及SEM的橫截面分析。然而,使用FIB的橫截面製備是耗時且具有破壞性的。由於使用FIB的樣品製備的耗時性質,故僅可對晶圓之非常有限的點進行取樣,從而導致跨晶圓之非均勻性之低的空間解析度。此外,由於使用FIB的樣品製備的破壞性質,故僅可取樣非常少量的產品晶圓,從而導致來自晶圓間之不均勻性的不完全表徵。
典型的蝕刻系統經規劃為過度蝕刻晶圓,以確保整個晶圓已使用保守定時的蝕刻達到終點。通常使用先前獲取的度量資料(例如,來自毯覆晶圓評測、CD量測及橫截面量測的資料)來決定定時蝕刻的持續時間。
一些蝕刻系統使用可基於單個量測來估計晶圓之終點狀態的終點偵測系統。單個量測可基於晶圓上的單個點(例如,中心)。然而,單個點的終點偵測方案不能說明跨晶圓的不均勻性,從而導致不正確的終點偵測或過度蝕刻。
因此,具有下文所述之系統將為有利的:該系統可在沒有外部度量(例如,SEM)的情況下量測膜清洗的均勻性;該系統可在沒有破壞性分析的情況下繪出實際產品晶圓的輪廓;該系統可經應用於多種產品類型而無需重新校準;及校正膜清洗的不均勻性以減少過度蝕刻及底切差異。藉由在蝕刻期間跨越晶圓掃描探針,可收集多個位置處的量測,從而允許減少過度蝕刻及底切差異。
第1圖圖示基板蝕刻系統100的範例。晶圓10由可旋轉主軸110面朝上地支撐。舉例而言,電動機112可驅動可旋轉主軸110以讓該主軸110圍繞軸114旋轉。
傳送臂120經定位於晶圓10上方,且可透過在傳送臂120的一端處的端口122輸送液體蝕刻劑40。可從液體蝕刻劑貯槽130(例如,藉由泵132來)供應液體蝕刻劑40。液體蝕刻劑40可流過傳送臂120中或其上的通道124(例如,連接到臂的管)。例如,如箭頭A所展示地,臂120可藉由致動器126橫向移動跨越晶圓10。一般來說,臂120的移動足夠使得輸送端口122可定位於從晶圓10之中心至邊緣的任何位置。
一或多個側壁140圍繞主軸110定位以容納及收集(例如,由晶圓的旋轉所引起的)離開晶圓10之表面的液體蝕刻劑40。蝕刻劑可排出至收集器142中。在一些實施中,可再循環蝕刻劑及將該蝕刻劑返還至貯槽130。收集器142可包含在將蝕刻劑返還至貯槽130之前處理所使用的蝕刻劑的過濾器。
未圖示的機器人可(例如,透過側壁140的頂部中的開口)將工件10下降至主軸110上的位置。臂120的位置可與機器人協調以防止碰撞;例如,可移動臂120,使得當工件下降到位時該臂120不會覆蓋在主軸110上。
控制器150控制基板蝕刻系統100的操作。舉例而言,控制器150可耦合到電動機112、致動器126及泵132。可用可編程計算機來實現控制器150。
在基板蝕刻系統100的典型操作期間,晶圓10由可旋轉的主軸110旋轉,同時液體蝕刻劑40藉由傳送臂120分配至晶圓10的表面上。旋轉能使用傳送臂120的1D線性運動來在晶片10的整個2D表面上無間隙分配液體蝕刻劑40。此外,由於所產生的離心力,一旦液體被分配在晶圓表面上,旋轉能使液體蝕刻劑40均勻分佈 (例如,液體蝕刻劑40沿著晶圓10的表面徑向重新分佈)。
在一些實施中,可旋轉主軸110可提供真空抽吸以將晶圓10臨時固定至主軸110。在一些實施中,可旋轉主軸110可沿著晶圓10的邊緣來固定晶圓10。
在一些實施中,傳送臂120可經配置以沿著晶圓10的徑向方向線性移動。在一些實施中,傳送臂120可經配置成跨越晶圓10的表面弧形移動。在一些實施中,傳送臂120可從晶圓的中心掃掠至晶圓的邊緣且在約0.5-3秒(例如1.2秒)中返回到中心。
液體蝕刻劑40(有時稱為「化學」)通常是溶劑中各種化合物的混合物。舉例而言,溶劑可包含去離子水及過氧化氫。不同的化學物質可用於蝕刻不同的膜材料。舉例而言,可使用含有氯化鐵的化學物質來蝕刻銅。
在一些實施中,液體蝕刻劑40被回收及用於兩個或兩個以上的蝕刻循環。在一些實施中,液體蝕刻劑貯槽130可經配置以在分配後儲存液體蝕刻劑40及用於蝕刻晶圓10。在一些實施中,側壁140可經配置以重新導向離開晶圓10之表面至貯槽130之經分配的液體蝕刻劑40。
各種因素可影響液體蝕刻劑40的蝕刻速率。一因素可為液體蝕刻劑40的濃度。因為當液體蝕刻劑40的溫度升高時導致蝕刻的化學反應速率典型地升高(反之亦然),故另一因素可為液體蝕刻劑40的溫度。因一些化學物質(例如,單次使用的化學物質) 隨時間而分解和降解,故另一因素可為液體蝕刻劑40的壽命。另一因素可為使用再生液體蝕刻劑處理之晶圓的數量。
第2圖圖示了用於基板蝕刻系統100的光學監測系統200。參考第1圖及第2圖,光學監測系統200包含位於晶圓10上方的探針202。探針可橫向跨越晶圓10移動。一般來說,探針可定位於晶圓10之中心至邊緣的任何位置。在一些實施中,探針202附接至傳送臂120並與該傳送臂120一起移動。
在一些實施例中,探針202可藉由分離臂204移動。分離臂204能獨立於液體蝕刻物40的分配位置來監測晶圓10。可配置分離臂204以沿著晶圓10的徑向方向線性移動。在一些實施中,分離臂204可經配置成跨越晶圓10的表面弧形移動。在一些實施中,分離臂204可從晶圓的中心掃掠至晶圓的邊緣且在約0.5-3秒(例如1.2秒)中返回到中心。臂120及臂204的運動可由控制器150協調以避免碰撞。
參考第2圖,光學監測系統200包含光源210及偵測器220。在一些實施中,探針202可包含光纖,該光纖接收來自光源210的光、將光引導至晶圓10的表面上、接收自晶圓10反射回來的反射光,並將反射光引導至偵測器220。藉由橫向移動臂120或臂204,光纖的一端可經定位在晶圓10上之期望的徑向位置處。然而,可使用其它技術。舉例而言,可藉由鏡子沿著臂引導光束,或探針202可包含液體光導,該液體光導自光源210接收光、引導光至晶圓10的表面上、接收自晶圓10反射回來的反射光,並將反射光引導至偵測器220。
在一些實施中,探針202亦包含用於投射準直光斑在晶圓10的表面上的準直器,且將反射光的耦合效率提高回到光纖或液體光導中。準直光斑的尺寸舉例而言直徑可為1-10mm;例如,直徑1mm、2mm、5mm或10mm。可基於所欲數量的徑向取樣點及晶圓的直徑來選擇光斑尺寸。
光學監測系統200可用來藉由量測反射光之強度來偵測晶圓10表面的反射率的變化。舉例而言,要被蝕刻的金屬膜可堆疊在非金屬底層的頂部,該非金屬底層係較低反射(相較於金屬膜而言)。在此種情況中,當液體蝕刻劑40清洗金屬膜並暴露反射性較差的底層時,發生反射率的變化。可藉由控制器150來分析反射率的此種變化,以決定金屬膜是否已被完全蝕刻且已達到終點。
在一些實施中,光源210是閃光燈。閃光燈通常提供用於執行光譜量測所欲的高輻射強度的寬頻光。各種類型的閃光燈包含氙氣閃光燈及氪氣閃光燈。在一些實施中,光源310為放大的自發發射源。在一些實施中,光源310為鹵素光源。在一些實施方案中,光源310為雷射。
在一些實施中,偵測器220是光譜儀。結合諸如閃光燈的寬頻光源,光譜儀可量測不同波長(「反射光譜」)處之晶圓10的表面的反射率,以提供關於晶圓10的表面的額外資訊。在一些實施中,光譜儀具有8個通道及16ms的輪詢間隔,在每個輪詢間隔處產生量測。在一些實施中,光譜儀具有4個通道和8ms的輪詢間隔。
來自偵測器220的資料(「反射率資料」)經發送至作為控制器150之部分的一或多個電腦230及電腦240。電腦經編程為分析資料。舉例而言,電腦230及電腦240中之一或多者可具有處理器及具有電腦可讀取媒體的存儲裝置,該電腦可讀取媒體具有用於使電腦執行下述功能的指令,該等下述功能例如為偵測是否將不正確的晶圓類型引入至用於處理的腔室、偵測晶圓在轉子上的錯置,或基於自偵測器220接收的資料來偵測預期蝕刻速率的變化。一電腦(例如電腦230) 可專用於分析來自偵測器220的光譜資料及產生是否已達到終點的指示,及另一電腦(例如電腦240)可專用於基於來自電腦230的終點資料來控制蝕刻系統。取代分離的電腦230及電腦240,其可為單一電腦內之分離的功能模組。
在一些實施中,電腦230接收、處理及儲存由偵測器220產生的資料。在一些實施中,電腦230亦接收傳送臂120及分離臂204(當存在時)的位置資料及主軸110的旋轉速率。
在一些實施中,控制器150可分析所接收的反射光譜以決定反射表面的材料。一般來說,不同類型的金屬可具有不同的反射光譜。舉例而言,銅可在620nm附近具有反射峰,及鈦可在578nm處具有反射峰。因此,在一些情況下,可使用具有足夠波長解析的光譜儀來解決兩種不同材料(例如,銅膜及鈦膜)之間的反射光譜的差異。舉例而言,當蝕刻層疊在鈦膜頂部的銅膜時,材料測定可為有用的 (因控制器150可能夠決定何時完全蝕刻銅層及暴露下面的鈦層)。舉例而言,鈦膜可作為阻障層,以將銅層與阻障層下面的介電層分離。在一些實施中,當控制器150決定反射信號來自下層時,控制器150可決定已達到終點。在一些實施中,回應於所偵測到的變化,控制器之後可改變液體蝕刻劑40的類型(例如從銅蝕刻劑改變為鈦蝕刻劑)以蝕刻鈦層。
在一些實施中,控制器150可使用所接收的反射光譜來區分頂部的金屬膜及下面的介電質膜。舉例而言,可藉由將薄膜干涉方程式擬合至經量測的反射光譜來決定導電膜下之介電層的厚度。在一些情況下,下面的介電層的折射率及厚度可導致在特定波長之頻寬處之基本上類似於頂部的金屬膜之反射信號的反射信號。在此種情況下,可使用附加之不同波長帶的反射率資料來區分反射係為金屬的或下面的介電層的反射。在一些實施中,當控制器150決定反射信號係來自下面的介電層時,控制器150可決定已達到終點。
一般來說,因反射光譜係為材料的固有特性(其可獨立於產品晶圓的不同圖案),故反射光譜的終點決定可應用於多種類型的產品晶圓而毋需重新校準。
在一些實施中,控制器150可處理所接收的反射率資料以產生跨越晶圓10的反射率資料或終點狀態的映射。在一些實施中,晶圓10的表面可劃分成多個區域。
第3圖為具有多個區域之基板的俯視圖。晶圓10包含環形區域310a、環形區域310b及環形區域310c。 儘管係展示三個區域,但環形區域的數量可改變。舉例而言,區域的數量可為2、4、8、16或32。在一些實施中,可基於晶圓10的尺寸來決定環形區域的數量。在一些實施中,環形區域310a、環形區域310b及環形區域310c可具有與相鄰環形區域重疊的區域。重疊可提供一些方位角的感知。
在一些實施中,控制器150可分析臂位置資料的時間戳及反射率資料的時間戳,以決定與反射率資料相關聯的臂位置。 可分析臂位置資料以將反射率資料分配至環形區域310a、環形區域310b或環形區域310c,從而產生跨越晶圓10之表面的反射率資料的徑向圖。
在一些情況中,在單個掃描內指派多於一個的反射率資料給每個環形區域310a、310b及310c。主軸110的旋轉速率可用於決定經指派給環形區域之多個反射率資料的相對位置。舉例而言,當量測多個反射率資料時(同時臂位置保持固定),經量測的反射率資料點將落入相同的環形區域內。在一些實施中,主軸旋轉速率係用於計算反射率資料的相對方位角的分離。在一些實施中,分析環形區域內的多個資料點以決定方位角的不均勻性。可計算多個資料點的平均以決定環形區域的平均反射率。在一些情況中,平均可降低環形區域的反射率量測中的雜訊,從而增加終點偵測的可靠性。
在一些情況中,控制器150決定每個環形區域310a、310b及310c的單個終點狀態。可如上所述地分析經指派給環形區域310a、310b及310c的反射率資料,以決定是否已為每個區域達到終點。舉例而言,可將二進制終點狀態指派給每個區域─達到終點或未達到終點。 在一些實施中,可跨越晶圓10的表面來產生終點狀態的徑向圖。
在一些實施中,分析環形區域310a、310b或310c內的多個資料點,以改善終點決定的信賴度。舉例而言,假設二進制終點狀態1指示已達到終點,則當區域內的每個資料點之終點狀態為1時,可將環形區域的終點狀態設為1。
在一些情況中,控制器150週期性地在晶圓10的表面上掃描探針202,以隨時間偵測環形區域310a、310b及310c的終點狀態的變化。可對每個掃描進行時間戳及處理,以產生時間解析的徑向終點狀態映射。 舉例而言,時間解析的徑向終點狀態映射可顯示不同區域已達到該等不同區域自身之相應終點的順序。可基於各種因素來決定週期性掃描的時間間隔。舉例而言,若製程的蝕刻速率高,則可縮短時間間隔。
在一些實施中,系統100處理晶圓10,直到控制器150決定已達到所有環形區域的終點為止。對於不同環形區域之終點的單獨偵測可藉由確認已完全處理整個晶圓來改善製程產量。
在一些實施中,不同區域可具有用於決定區域之終點是否已達到的不同標準。
在一些實施中,可單獨控制環形區域310a、310b及310c的蝕刻速率。在一些實施中,傳送臂120可選擇性地將液體蝕刻劑40分配到不同的環形區域,以減緩非分配區域的蝕刻速率。在一些實施中,可改變液體蝕刻劑40的流速以控制環形區域的蝕刻速率。 在一些實施中,可改變分配時分配端口在環形區域上的停留時間以控制蝕刻速率。
在一些實施中,控制器150可停止已達到終點之環形區域上的液體蝕刻劑40的分配。控制器150可繼續在尚未達到終點狀態的環形區域上分配液體蝕刻劑40,直到決定所有環形區域已達到終點為止。
在一些實施中,可在隨後晶圓中校正蝕刻製程的不均勻性。舉例而言,先前晶圓之時間解析的徑向終點狀態映射可揭露環形區域310a在環形區域310c達到終點前已達到終點。作為回應,控制器150可改變不同環形區域處的蝕刻劑流速及/或停留時間,以減小區域蝕刻速率與均勻目標蝕刻速率的差異。蝕刻速率之差異的減小可導致不同區域在相似時間處達到終點,從而減少環形區域的不均勻性和過度蝕刻。
在一些實施中,可對目前晶圓即時校正蝕刻製程的非均勻性。舉例而言,控制器150可即時處理時間解析的徑向終點狀態映射,及可回應於早於其它環形區域到達終點的某些環形區域來改變蝕刻劑流速及/或停留時間,以減少目前晶圓內的非均勻性。
在一些實施中,控制器150可經配置為決定液體蝕刻劑40的蝕刻速率。舉例而言,控制器150可使用時間解析的徑向終點狀態映射來決定直到環形區域被清除金屬膜為止的蝕刻期間。此時間資訊可與儲存在控制器150上之環形區域處之膜的起始厚度相耦合,以計算蝕刻速率。舉例而言,起始厚度可由上游度量工具產生。
液體蝕刻劑40可具有用於每種類型的膜及圖案特徵的基線蝕刻速率。舉例而言,可在製程驗證期間決定基線蝕刻速率。出於各種原因,目前決定的蝕刻速率可與基線蝕刻速率不同。舉例而言,可在處理晶圓後再循環液體蝕刻劑40以減少蝕刻劑消耗。由於負責蝕刻之化合物的消耗或改質,再循環的蝕刻劑可具有降低的蝕刻速率。蝕刻速率亦可由於溫度而改變。蝕刻速率亦可由於蝕刻劑的濃度而改變。蝕刻速率亦可由於液體蝕刻劑隨時間的降解而改變。
當控制器決定蝕刻速率在可接受的範圍之外時,可採取各種行動。一個行動可為向系統的操作者產生警告(例如,音訊或視覺信號),以將蝕刻劑池改變成新批次的蝕刻劑。另一個行動可為調節液體蝕刻劑貯槽130中之蝕刻劑池的處理參數。蝕刻劑池的處理參數可包含液體腐蝕劑的濃度及溫度。舉例而言,藉由將經濃縮的蝕刻劑加入至貯槽130中,而可(在一些情況中)藉由增加液體蝕刻劑40的濃度來增加蝕刻速率。舉例而言,可藉由以合適溶劑(例如,去離子水)稀釋蝕刻劑,而藉由減少該蝕刻劑的濃度來降低蝕刻速率。舉例而言,藉由增加儲存在貯槽130處的液體蝕刻劑40之溫度(此事增加了經分配的液體蝕刻劑40的溫度)而可(在一些情況中)增加蝕刻速率。
在一些實施中,可使用由控制器150決定的蝕刻速率來延長在貯槽130中所回收之液體蝕刻劑40的使用壽命。液體腐蝕劑40的蝕刻速率可(例如)基於其所蝕刻之晶圓的年齡及數量而改變。在沒有蝕刻速率決定能力的蝕刻系統中,可基於經刻蝕之晶圓的時間間隔或數量來清空由貯槽130回收的液體蝕刻劑40並用新鮮供應的液體蝕刻劑40重新填充。經蝕刻之晶圓的時間間隔或數量通常設為預防性低,以預防在基於時間之蝕刻系統中之晶圓的不完全處理。然而,在一些實施中,若控制器150決定液體蝕刻劑40的蝕刻速率低於基線蝕刻速率,則控制器150可延長蝕刻時間以補償較慢的蝕刻速率。此種補償可在稍微損失產量的情況下延長液體腐蝕劑40的使用壽命。在一些實施中,可設定清除給定膜的最大允許時間,及一旦超過最大允許時間,便可清空貯槽130及用新鮮供應的液體蝕刻劑40重新填充該貯槽130。
在一些實施中,控制器150可經配置成執行晶圓10的預掃描並決定經呈現的晶圓是否為適當的類型。產品晶圓具有已知之跨越晶圓的反射率範圍。若來自光學監測系統200的量測超出預期的反射率範圍,則控制器150可決定晶圓為不適當的類型。舉例而言,不適當類型的晶圓可具有與預期的膜不同的金屬膜。舉例而言,不適當類型的晶圓可包含來自上游製程步驟之不完全去除的光阻劑殘留物。光阻劑殘留物可藉由薄膜干涉效應來改變光譜反射曲線的形狀。由於光吸收及/或散射,光阻劑殘留物可降低整個光譜的整體反射率。
當決定晶圓係不適當時,控制器150可不處理地拒絕不適當的晶圓,及標記不適當的晶圓以進行校正行動。舉例而言,校正行動可包含光阻劑殘留物的清洗。舉例而言,可分析意外類型的金屬膜以決定上游處理誤差。因在已採取校正行動之前,不適當的晶圓可能會自處理中損壞,故拒絕不適當的晶圓可藉由停止處理可能需要校正行動之晶圓來改善製程產量。
在一些實施中,控制器150可經配置成執行晶圓10的預掃描及決定晶圓10在支撐件(例如,可旋轉主軸110)上的錯置。 晶圓的錯置可導致晶圓10的表面相對於支撐表面的傾斜。由於經分配的液體蝕刻劑朝向晶圓的下部分流動,故傾斜可能導致液體蝕刻劑40的不均勻分佈,從而導致蝕刻不均勻。在另一個範例中,晶圓10的錯置可能導致晶圓10之中心與軸114未對準。軸向未對準可導致不均勻的離心力施加在晶圓10之表面上的經分配的液體蝕刻劑上,此可導致液體蝕刻劑40的不均勻分佈。此外,軸向未對準可導致晶圓在高旋轉速率下(例如,在晶圓清洗及乾燥步驟期間)自支撐件脫位。
在一些實施中,可藉由分析反射率資料的大小來偵測晶圓的傾斜。晶圓的傾斜可導致晶圓上的準直光斑的反射方向與照明方向不同。反射光相對於探針202的偏移可導致所收集光的減少,從而導致反射率資料的大小減小。當反射率資料的大小低於預期的大小預定閾值(例如5%、10%、20%、50%、75%及90%)時,控制器150可決定晶圓錯置。
在一些實施中,可藉由製造及分析跨越晶圓上之兩個或兩個以上的反射率量測來決定軸向未對準。基於瞭解晶圓10之尺寸及晶圓的預期中心,探針202可位於晶圓10的兩個相對邊緣附近。若晶圓10軸向未對準,則在晶圓10之邊緣附近的兩個量測中之一個量測期間,準直點可錯過晶圓10的表面。錯過晶圓10的表面可導致相對於其它量測的較低反射率。當兩個量測的大小差大於預定閾值(例如5%、10%、20%、50%、75%及90%)時,控制器150可決定晶圓軸向未對準。
在一些實施中,控制器150對反射率資料的處理可包含正規化所接收的反射光譜資料。舉例而言,可藉由加總跨越光譜的各個光譜強度值並將每個值除以該加總來執行正規化。反射光譜資料的正規化可使得能不需要確保系統間之嚴格的腔室匹配而跨越基板蝕刻系統100的不同實例來比較來自光學監測系統200的資料。
本發明的實施例及本說明書中所描述的全部功能操作可在數位電子電路中或在電腦軟體、韌體或硬體中實現,該數位電子電路及該電腦軟體、韌體或硬體包含本說明書中所揭露的結構手段及其結構等同物(或該等結構手段及該等結構等同物的組合)。本發明的實施例可實現為一或多個電腦程式產品;即,一或多個電腦程式,該一或多個電腦程式有形地體現在機器可讀取儲存媒體中,以用於由資料處理設備所處理的執行或以控制資料處理設備的操作,該等資料處理設備例如為可程式化處理器、電腦或多個處理器或電腦。可用任何形式的程式語言(包含編譯語言或直譯語言)編寫電腦程式(亦稱為程式、軟體、軟體應用程式或代碼),及可用任何形式部署該電腦程式,該任何形式包含作為獨立程式或作為適用於計算環境的模組、元件、子常式或其他單元。電腦程式不一定對應於檔案。程式可儲存於檔案的一部分中,該檔案保存其他程式或資料於專用於論述中程式的單一檔案中或於多個協調檔案中(例如,儲存一或多個模組、子程式或代碼部分的檔案)。可部署電腦程式以在一電腦上或在一站點上或分佈在多個站點上(由通訊網絡所互連)的多個電腦上執行該電腦程式。
本說明書中描述的處理和邏輯流程可由執行一或多個電腦程式的一或多個可程式化處理器執行,以藉由對輸入資料進行操作並產生輸出來執行功能。處理和邏輯流程亦可以由專用邏輯電路(例如,FPGA(現場可程式化閘陣列)或ASIC(特殊應用積體電路))執行,及設備亦可實施為專用邏輯電路(例如,FPGA(現場可程式化閘陣列)或ASIC(特殊應用積體電路))。
已描述了眾多實施例。然而,將要瞭解的是,可進行各種修改。因此,其它實施例在下述申請專利範圍的範疇內。
10:晶圓 40:液體蝕刻劑 100:基板蝕刻系統 110:可旋轉主軸 112:電動機 114:軸 120:傳送臂 122:端口 124:通道 126:致動器 130:液體蝕刻劑貯槽 132:泵 140:側壁 142:收集器 150:控制器 200:光學監測系統 202:探針 204:分離臂 210:光源 220:偵測器 230:電腦 240:電腦 310a:環形區域 310b:環形區域 310c:環形區域
第1圖為蝕刻系統的示意性橫截面側視圖。 第2圖為光學監測系統的示意圖。 第3圖為具有多個區域之基板的俯視圖。 各圖中之相同的元件符號指示相同的元件。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
10:晶圓
150:控制器
200:光學監測系統
202:探針
210:光源
220:偵測器
230:電腦
240:電腦

Claims (12)

  1. 一種基板蝕刻系統,包含: 一殼體,該殼體包括一底面及一側壁,該側壁由該底面向上延伸;一可旋轉的主軸,延伸通過該底面;一支撐件,該支撐件在該可旋轉的主軸上且位於該殼體的該底面上,在藉由該殼體所圍繞成的體積中將一晶圓保持在一面朝上方向上並在該側壁之一頂邊緣之下;一分配器臂,該分配器臂可橫向移動到該側壁的該頂邊緣之上並跨越該支撐件上之該晶圓,該分配器臂支撐位在該側壁之該頂邊緣下向下延伸的一輸送端口以選擇性地將一液體蝕刻劑分配至該晶圓之一頂面的一部分上;一光學監測系統,該光學監測系統包括一光源、一偵測器及一光纖,以將來自該光源的光傳送至該晶圓及將來自該晶圓的反射光傳送至該偵測器,其中該光纖的一端鄰近該輸送端口固定於該分配器臂,使得該光纖的該端藉由該分配器臂可橫向移動跨越該支撐件上之該晶圓,且其中該光纖的該端定位於該側壁的該頂邊緣之下,並且定向為將實質上垂直於該晶圓的表面的光引導到該晶圓上;以及一控制器,該控制器經配置以使該探針橫向移動跨越該晶圓、以從該偵測器接收在該晶圓上的複數個不同的徑向位置處的來自該基板的反射光的複數個量測、以決定來自該等量測的蝕刻率、以偵測一目標蝕刻速率分布與一蝕刻速率的差異、及以調整該端口的一停留時間或該蝕刻劑的一流速以減小該蝕刻速率與該目標蝕刻速率分布的差異。
  2. 如請求項1所述之系統,其中該控制器經配置以決定是否放置一不正確類型的晶圓於該支撐件上。
  3. 如請求項1所述之系統,其中該控制器經配置以偵測該晶圓在該支撐件上的錯置。
  4. 如請求項1所述之系統,其中該光學監測系統包括光譜監測系統。
  5. 如請求項4所述之系統,其中該控制器經配置為基於光譜中強度峰值的波長決定反射光的材料的成分。
  6. 一種基板蝕刻系統,包含: 一殼體,該殼體包括一底面及一側壁,該側壁由該底面向上延伸; 一可旋轉的主軸,延伸通過該底面; 一支撐件,該支撐件在該可旋轉的主軸上且位於該殼體的該底面上,在藉由該殼體所圍繞成的體積中將一晶圓保持在一面朝上方向上並在該側壁之一頂邊緣之下; 一分配器臂,該分配器臂可橫向移動到該側壁的該頂邊緣之上並跨越該支撐件上之該晶圓,該分配器臂支撐位在該側壁之該頂邊緣下向下延伸的一輸送端口以選擇性地將一液體蝕刻劑分配至該晶圓之一頂面的一部分上; 一光學監測系統,該光學監測系統包括一光源、一偵測器及一光纖,以將來自該光源的光傳送至該晶圓及將來自該晶圓的反射光傳送至該偵測器,其中該光纖的一端鄰近該輸送端口固定於該分配器臂,使得該光纖的該端藉由該分配器臂可橫向移動跨越該支撐件上之該晶圓,且其中該光纖的該端定位於該側壁的該頂邊緣之下,並且定向為將實質上垂直於該晶圓的表面的光引導到該晶圓上;及 一控制器,該控制器經配置以使該探針橫向移動跨越該晶圓,使得該光學監測系統在該晶圓上的複數個不同的徑向位置處產生複數個量測,以從該監測系統接收該等量測,以基於來自該晶圓上之複數個區域的每個區域內之徑向位置的量測,來決定對於該區域而言是否已達到一處理終點,及一旦決定對於所有該等區域而言已達到該終點時,使該分配器停止自該輸送端口分配該液體蝕刻劑。
  7. 如請求項6所述之系統,其中該控制器經配置以藉由偵測由該光學監測系統所監測之光之一波長處的一強度變化來決定已達到一處理終點。
  8. 如請求項6所述之系統,其中該控制器經配置以一旦決定對於一個別區域而言已達到該終點時,使該分配器停止分配該液體蝕刻劑至該個別區域。
  9. 如請求項6所述之系統,其中該控制器經配置以直到決定對於所有該等區域而言皆已達到該終點前,使該分配器持續跨越該複數個區域。
  10. 一種基板蝕刻系統,包含: 一支撐件,該支撐件將一晶圓保持在一面朝上方向上; 一貯槽,該貯槽用於一液體蝕刻劑池; 一分配器臂,該分配器臂可橫向移動跨越該支撐件上之該晶圓,該分配器臂支撐一輸送端口以選擇性地自該液體蝕刻劑池將液體蝕刻劑分配至該晶圓之一頂面的一部分上; 一監測系統,該監測系統包含可橫向移動跨越該支撐件上之該晶圓的一探針;及 一控制器,該控制器經配置以使該探針橫向移動跨越該晶圓,使得該監測系統在該晶圓上的複數個不同的徑向位置處產生複數個量測,以從該監測系統接收該等量測,以決定來自該等量測的一蝕刻速率、以將該蝕刻速率與一目標速率進行比較、及以指示一警告以變化該蝕刻劑池或調節該貯槽中之該蝕刻劑池的一處理參數中的至少一者以減少蝕刻速率與目標速率的一差異。
  11. 如請求項10所述之系統,其中該處理參數包括蝕刻劑的一濃度。
  12. 如請求項10所述之系統,其中該控制器經配置為調整用於該支撐件上待處理之一隨後晶圓的該處理參數。
TW110139466A 2016-05-06 2017-05-05 用於蝕刻系統的晶圓輪廓 TWI821761B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662332992P 2016-05-06 2016-05-06
US62/332,992 2016-05-06
US201762489329P 2017-04-24 2017-04-24
US62/489,329 2017-04-24

Publications (2)

Publication Number Publication Date
TW202205434A TW202205434A (zh) 2022-02-01
TWI821761B true TWI821761B (zh) 2023-11-11

Family

ID=60203689

Family Applications (3)

Application Number Title Priority Date Filing Date
TW112137421A TW202403940A (zh) 2016-05-06 2017-05-05 用於蝕刻系統的晶圓輪廓
TW110139466A TWI821761B (zh) 2016-05-06 2017-05-05 用於蝕刻系統的晶圓輪廓
TW106114948A TWI746548B (zh) 2016-05-06 2017-05-05 用於蝕刻系統的晶圓輪廓

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW112137421A TW202403940A (zh) 2016-05-06 2017-05-05 用於蝕刻系統的晶圓輪廓

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW106114948A TWI746548B (zh) 2016-05-06 2017-05-05 用於蝕刻系統的晶圓輪廓

Country Status (5)

Country Link
US (2) US11501986B2 (zh)
KR (2) KR102498170B1 (zh)
CN (2) CN109075058B (zh)
TW (3) TW202403940A (zh)
WO (1) WO2017192994A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3291008A1 (en) * 2016-09-06 2018-03-07 ASML Netherlands B.V. Method and apparatus to monitor a process apparatus
JP6910164B2 (ja) * 2017-03-01 2021-07-28 東京エレクトロン株式会社 基板処理装置および基板処理方法
US11756840B2 (en) * 2018-09-20 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Reflectance measurement system and method thereof
CN110931377B (zh) * 2018-09-20 2023-11-03 台湾积体电路制造股份有限公司 反射率测量系统与方法
JP7210367B2 (ja) * 2019-04-23 2023-01-23 株式会社ディスコ 厚み計測装置、及び厚み計測装置を備えた加工装置
CN113270316B (zh) * 2021-05-20 2023-02-10 惠科股份有限公司 一种待刻蚀基板的蚀刻方法和蚀刻机台
US11965798B2 (en) * 2021-06-10 2024-04-23 Applied Materials, Inc. Endpoint detection system for enhanced spectral data collection
US11901203B2 (en) 2021-06-10 2024-02-13 Applied Materials, Inc. Substrate process endpoint detection using machine learning
US20230009031A1 (en) * 2021-07-08 2023-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. End Point Control in Etching Processes

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200306623A (en) * 2002-04-12 2003-11-16 Dns Korea Co Ltd Spin etcher with thickness measuring system
US20070175863A1 (en) * 2006-01-31 2007-08-02 Sumco Corporation Single Wafer Etching Apparatus and Single Wafer Etching Method

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5853483A (en) * 1995-05-02 1998-12-29 Dainippon Screen Mfg. Co., Ltd. Substrate spin treating method and apparatus
KR19980045907A (ko) * 1996-12-11 1998-09-15 문정환 에치 종말점 검출장치
US6891627B1 (en) * 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
KR100948220B1 (ko) 2002-03-19 2010-03-18 도쿄엘렉트론가부시키가이샤 도포처리방법 및 도포처리장치
US7144459B2 (en) 2002-07-19 2006-12-05 Semitool, Inc. Centrifugal swing arm spray processor
US20040139985A1 (en) * 2003-01-22 2004-07-22 Applied Materials, Inc. Rate monitor for wet wafer cleaning
JP2005114461A (ja) * 2003-10-06 2005-04-28 Hitachi Industries Co Ltd 薄膜厚さ測定方法及び装置
US7514277B2 (en) * 2004-09-14 2009-04-07 Tokyo Electron Limited Etching method and apparatus
KR100716935B1 (ko) * 2005-11-25 2007-05-14 두산디앤디 주식회사 반도체 웨이퍼의 화학기계적 연마장치용 로딩디바이스
US7998358B2 (en) * 2006-10-31 2011-08-16 Applied Materials, Inc. Peak-based endpointing for chemical mechanical polishing
JP2008251806A (ja) * 2007-03-30 2008-10-16 Sumco Corp ウェーハの枚葉式エッチング方法及びそのエッチング装置
JP4988616B2 (ja) * 2007-08-21 2012-08-01 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
DE102010015944B4 (de) * 2010-01-14 2016-07-28 Dusemund Pte. Ltd. Dünnungsvorrichtung mit einer Nassätzeinrichtung und einer Überwachungsvorrichtung sowie Verfahren für ein in-situ Messen von Waferdicken zum Überwachen eines Dünnens von Halbleiterwafern
US9997379B2 (en) * 2010-11-30 2018-06-12 Lam Research Ag Method and apparatus for wafer wet processing
US9698062B2 (en) * 2013-02-28 2017-07-04 Veeco Precision Surface Processing Llc System and method for performing a wet etching process
JP6289961B2 (ja) * 2014-03-27 2018-03-07 芝浦メカトロニクス株式会社 基板処理装置及び基板処理方法
WO2016070036A1 (en) * 2014-10-31 2016-05-06 Veeco Precision Surface Processing Llc A system and method for performing a wet etching process
US9870928B2 (en) * 2014-10-31 2018-01-16 Veeco Precision Surface Processing Llc System and method for updating an arm scan profile through a graphical user interface

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200306623A (en) * 2002-04-12 2003-11-16 Dns Korea Co Ltd Spin etcher with thickness measuring system
US20070175863A1 (en) * 2006-01-31 2007-08-02 Sumco Corporation Single Wafer Etching Apparatus and Single Wafer Etching Method

Also Published As

Publication number Publication date
WO2017192994A1 (en) 2017-11-09
CN116631902A (zh) 2023-08-22
KR20220149637A (ko) 2022-11-08
US20170323806A1 (en) 2017-11-09
KR102498170B1 (ko) 2023-02-08
CN109075058B (zh) 2023-07-21
TW202205434A (zh) 2022-02-01
US20230065641A1 (en) 2023-03-02
CN109075058A (zh) 2018-12-21
US11501986B2 (en) 2022-11-15
TWI746548B (zh) 2021-11-21
KR20180133939A (ko) 2018-12-17
TW202403940A (zh) 2024-01-16
TW201740463A (zh) 2017-11-16

Similar Documents

Publication Publication Date Title
TWI821761B (zh) 用於蝕刻系統的晶圓輪廓
TWI733765B (zh) 蝕刻基板上之一或更多特徵部的方法、系統、及電腦可讀媒體
US8257546B2 (en) Method and system for monitoring an etch process
US7808651B2 (en) Determining endpoint in a substrate process
US20160099187A1 (en) 3d nand staircase cd control by using interferometric endpoint detection
JP2008112965A (ja) 均一なエッチング速度分布の陰極を備えたマスクエッチングプラズマリアクタ
JP2002518823A (ja) 改善したプロセスモニタウィンドウを有するチャンバ
US9059038B2 (en) System for in-situ film stack measurement during etching and etch control method
US6905624B2 (en) Interferometric endpoint detection in a substrate etching process
US6547458B1 (en) Optimized optical system design for endpoint detection
TWI633575B (zh) Plasma processing device for monitoring technology process and monitoring plasma treatment Technical process approach
TWI640031B (zh) Plasma processing device and method for monitoring plasma process
WO2022256194A1 (en) In situ sensor and logic for process control
KR20070044531A (ko) 반도체 식각설비의 이피디케이블 연결감지장치
KR20010052595A (ko) 전자 장치 제조시의 엔드포인트 검출