KR20220149637A - 에칭 시스템을 위한 웨이퍼 프로파일링 - Google Patents

에칭 시스템을 위한 웨이퍼 프로파일링 Download PDF

Info

Publication number
KR20220149637A
KR20220149637A KR1020227037888A KR20227037888A KR20220149637A KR 20220149637 A KR20220149637 A KR 20220149637A KR 1020227037888 A KR1020227037888 A KR 1020227037888A KR 20227037888 A KR20227037888 A KR 20227037888A KR 20220149637 A KR20220149637 A KR 20220149637A
Authority
KR
South Korea
Prior art keywords
wafer
controller
etching system
substrate etching
etch rate
Prior art date
Application number
KR1020227037888A
Other languages
English (en)
Inventor
제프리 치 청
존 게키에르
제리 디. 레온하드
데이비드 피. 서닥
벤자민 세이퍼
레이 영
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20220149637A publication Critical patent/KR20220149637A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67271Sorting devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Abstract

기판 에칭 시스템은, 페이스-업 배향으로 웨이퍼를 유지하기 위한 지지부, 지지부 상의 웨이퍼에 걸쳐 측방향으로 이동가능한 분주기 암 ― 분주기 암은 웨이퍼의 상단 표면의 일부 상으로 액체 에천트를 선택적으로 분주하기 위한 전달 포트를 지지함 ―, 및 지지부 상의 웨이퍼에 걸쳐 측방향으로 이동가능한 프로브를 포함하는 모니터링 시스템을 포함한다.

Description

에칭 시스템을 위한 웨이퍼 프로파일링{WAFER PROFILING FOR ETCHING SYSTEM}
본 개시내용은 에칭 시스템에서의 기판 모니터링에 관한 것이다.
전형적으로, 집적 회로는 실리콘 웨이퍼 상으로의 전도성, 반전도성, 또는 절연성 층들의 순차적인 증착, 패터닝, 및 에칭에 의해 기판 상에 형성된다. 하나의 제작 단계는 전도성 층을 증착하고, 에칭 마스크를 패터닝하여 전도성 층을 보호하고, 액체 에천트(‘습식 에칭’)를 사용하여 전도성 층을 에칭함으로써, 절연된 전도성 트레이스들을 형성하는 것을 수반한다. 에칭 마스크 층은 에칭되는 것으로부터 막의 마스킹된 영역들을 보호한다. 일부 애플리케이션들의 경우, 절연된 전도성 트레이스들은, 전도성 층의 마스킹되지 않은 영역들이 ‘클리어링(clear)’되어, 아래 놓인 층의 상단 표면이 노출될 때까지, 증착된 전도성 층을 에칭함으로써 형성된다. 일부 애플리케이션들에서, 마스킹되지 않은 영역들의 클리어링의 검출은 엔드포인트 검출이라고 호칭되며, 에칭 프로세스를 언제 중단할지를 결정하는 데 사용될 수 있다.
전형적으로, 상류 프로세스 단계들 및 습식 에칭 프로세스의 변동들은 웨이퍼에 걸친 에칭된 전도성 트레이스들의 불-균일성들을 초래한다. 예컨대, 불-균일성들은 임계 치수(CD) 측정들을 사용하여 결정될 수 있다. CD 측정들의 방법들은 SEM(scanning electron microscope)을 사용하는 단면 측정들 또는 톱-다운(top-down) 측정들을 포함한다. 예컨대, 단면들은 FIB(focused ion beam) 프로세스를 사용하여 준비될 수 있다. 전도성 트레이스들의 단면 측정들은 트레이스들의 폭들, 높이들, 및 언더컷(undercut)의 정도들을 포함하는 정보를 제공할 수 있다.
일 양상에서, 기판 에칭 시스템은, 페이스-업(face-up) 배향으로 웨이퍼를 유지하기 위한 지지부, 지지부 상의 웨이퍼에 걸쳐 측방향으로 이동가능한 분주기 암(dispenser arm) ― 분주기 암은 웨이퍼의 상단 표면의 일부 상으로 액체 에천트를 선택적으로 분주하기 위한 전달 포트를 지지함 ―, 및 지지부 상의 웨이퍼에 걸쳐 측방향으로 이동가능한 프로브를 포함하는 모니터링 시스템을 포함한다.
구현들은 다음의 특징들 중 하나 또는 그 초과를 포함할 수 있다.
프로브는 분주기 암에 고정될 수 있고, 분주기 암과 함께 이동할 수 있다. 프로브는 제2 암에 고정될 수 있고, 제2 암과 함께 이동할 수 있다.
모니터링 시스템은 광학 모니터링 시스템을 포함할 수 있다. 광학 모니터링 시스템은, 광 소스, 검출기, 및 광 소스로부터 기판으로 광을 운반하고, 기판으로부터 검출기로 반사된 광을 운반하기 위한 광학 컴포넌트를 포함할 수 있다. 광학 컴포넌트는 광 섬유를 포함할 수 있으며, 프로브는 지지부 근처에 위치된 광 섬유의 단부를 포함할 수 있다.
제어기는 모니터링 시스템으로부터 측정들을 수신하도록 구성될 수 있다. 제어기는 부적절한 웨이퍼 타입이 지지부 상에 배치되는지를 결정하도록 구성될 수 있다. 제어기는 지지부 상의 웨이퍼의 오배치를 검출하도록 구성될 수 있다. 제어기는 타겟 에칭 레이트 프로파일로부터의 에칭 레이트의 변동들을 검출하고, 그리고 타겟 에칭 레이트 프로파일로부터의 에칭 레이트의 변동들을 감소시키기 위해 에천트의 유량 또는 포트의 체류 시간을 조정하도록 구성될 수 있다.
다른 양상에서, 기판 에칭 시스템은, 페이스-업 배향으로 웨이퍼를 유지하기 위한 지지부, 지지 부 상의 웨이퍼에 걸쳐 측방향으로 이동가능한 분주기 암 ― 분주기 암은 웨이퍼의 상단 면의 일부 상으로 액체 에천트를 선택적으로 분주하기 위한 전달 포트를 지지함 ―, 지지부 상의 웨이퍼에 걸쳐 측방향으로 이동가능한 프로브를 포함하는 모니터링 시스템; 및 제어기를 포함하며, 제어기는, 모니터링 시스템이 웨이퍼 상의 복수의 상이한 반경 방향 위치들에서 측정들을 생성하도록, 프로브가 상기 웨이퍼에 걸쳐 측방향으로 이동하게 하고, 상기 모니터링 시스템으로부터 상기 측정들을 수신하고, 구역 내의 반경 방향 위치들로부터의 측정들에 기초하여, 상기 웨이퍼 상의 복수의 구역들 중 각각의 구역에 대해 프로세싱 엔드포인트가 도달되었는지를 결정하며, 모든 구역들에 대해 엔드포인트가 도달된 것으로 결정할 시에, 상기 분주기가 상기 전달 포트로부터의 상기 액체 에천트의 분주를 중단하게 하도록 구성된다.
구현들은 다음의 특징들 중 하나 또는 그 초과를 포함할 수 있다.
모니터링 시스템은 광학 모니터링 시스템을 포함할 수 있다. 제어기는 광학 모니터링 시스템에 의해 모니터링되는 광의 파장에서 세기의 변화를 검출함으로써, 엔드포인트가 도달된 것으로 결정하도록 구성될 수 있다. 상기 제어기는 상기 각각의 구역에 대해 엔드포인트가 도달된 것으로 결정할 시에, 상기 분주기가 각각의 구역으로의 상기 액체 에천트의 분주를 중단하게 하도록 구성될 수 있다. 상기 제어기는 모든 구역들에 대해 엔드포인트가 도달된 것으로 결정할 때까지, 상기 분주기가 상기 복수의 구역들을 계속 횡단하게 하도록 구성될 수 있다.
다른 양상에서, 기판 에칭 시스템은, 페이스-업(face-up) 배향으로 웨이퍼를 유지하기 위한 지지부; 액체 에천트 배스를 위한 저장조; 지지부 상의 웨이퍼에 걸쳐 측방향으로 이동가능한 분주기 암 ― 상기 분주기 암은 상기 배스로부터 웨이퍼의 상단 면의 일부 상으로 액체 에천트를 선택적으로 분주하기 위한 전달 포트를 지지함 ―; 상기 지지부 상의 상기 웨이퍼에 걸쳐 측방향으로 이동가능한 프로브를 포함하는 모니터링 시스템; 및 제어기를 포함하며, 상기 제어기는, 상기 모니터링 시스템이 상기 웨이퍼 상의 복수의 상이한 반경 방향 위치들에서 측정들을 생성하도록, 상기 프로브가 상기 웨이퍼에 걸쳐 측방향으로 이동하게 하고, 상기 모니터링 시스템으로부터 상기 측정들을 수신하고, 상기 측정들로부터 에칭 레이트를 결정하고, 상기 에칭 레이트를 타겟 에칭 레이트와 비교하며, 상기 타겟 레이트로부터의 에칭 레이트의 변동을 감소시키기 위해, 상기 에천트 배스를 변경하라는 경보를 표시하는 것과 상기 에천트 배스의 프로세싱 파라미터를 조정하는 것 중 적어도 하나를 행하도록 구성된다.
구현들은 다음의 특징들 중 하나 또는 그 초과를 포함할 수 있다.
프로세싱 파라미터는 에천트의 농도일 수 있다. 제어기는 지지부 상에서 프로세싱될 후속 웨이퍼에 대한 프로세싱 파라미터를 조정하도록 구성될 수 있다. 제어기는, 측정들로부터 프로세싱 엔드포인트가 도달된 것을 결정하고, 에칭의 시작으로부터 프로세싱 엔드포인트까지의 경과된 시간을 측정하기 위해, 시작 두께를 저장하도록 구성될 수 있다. 모니터링 시스템은 광학 모니터링 시스템을 포함할 수 있으며, 제어기는 광학 모니터링 시스템에 의해 모니터링되는 광의 파장에서 세기의 변화를 검출함으로써, 프로세싱 엔드포인트가 도달된 것으로 결정하도록 구성될 수 있다
특정 구현들은 다음의 이점들 중 하나 또는 그 초과를 가질 수 있다. 기판의 반경 방향 구역들에 걸쳐 개별적인 엔드포인트들이 검출될 수 있다. 막 클리어링의 반경 방향 변동들의 실시간 측정들이 획득될 수 있다. WIWNU(within-wafer etch non-uniformity) 및 WTWNU(wafer-to-wafer etch non-uniformity)가 감소될 수 있다. 에천트 배스 수명이 연장될 수 있다. 부적절한 기판 타입의 도입이 결정될 수 있다. 지지부 상의 기판 오배치가 결정될 수 있다. 프로세스의 에칭 레이트가 결정될 수 있다. 높은 스코어링의 FMECA(failure mode, effects and criticality analysis) 고장 메커니즘들이 검출될 수 있다.
본 발명의 하나 또는 그 초과의 실시예들의 세부사항들은 첨부 도면들 및 아래의 상세한 설명에서 제시된다. 본 발명의 다른 특징들, 목적들, 및 이점들은 상세한 설명 및 도면들로부터 그리고 청구항들로부터 명백할 것이다.
도 1은 에칭 시스템의 개략적인 측단면도이다.
도 2는 광학 모니터링 시스템의 개략도이다.
도 3은 다수의 구역들을 갖는 기판의 평면도이다.
다양한 도면들 내의 동일한 참조 기호들은 동일한 엘리먼트들을 표시한다.
인입 워크피스 상의 층의 두께의 변동들 또는 습식 에칭 프로세스의 불-균일성들은 웨이퍼의 일부 영역들에서, 웨이퍼의 일부 다른 영역들 전에, 에칭되고 있는 막의 클리어링이 발생되게 할 수 있다. 예컨대, 마지막으로 클리어링되는 영역은 가장 먼저 클리어링되는 영역보다 시간이 2배 더 걸릴 수 있다. 전체 웨이퍼에 걸쳐 막이 클리어링된 것을 보장하기 위해, 에칭 프로세스는 가장 느린 영역이 클리어링될 때까지 계속되어, 가장 이른 영역의 100% 과다-에칭을 초래할 수 있다.
전형적으로, 습식 에칭 프로세스는 등방성이고, 그에 따라, 에칭 마스크 층의 에지들에서 막의 언더커팅을 초래한다. 에칭 시간이 증가됨에 따라 언더컷의 정도가 증가된다. 따라서, 웨이퍼의 일부 영역들을 과다-에칭하는 것은 에칭된 트레이스들의 과도한 언더커팅을 야기할 수 있다. 과도한 언더커팅은 제작된 제품들의 특성들(예컨대, 시트 저항)의 과도한 변동들을 초래하고, 그에 따라, 불-균일성들의 특성화 및 완화가 요구된다.
습식-에칭 프로세스의 불-균일성들의 특성화는 다양한 방법들을 사용하여 수행될 수 있다. 하나의 방법은 블랭킷 웨이퍼 검증(blanket wafer qualification)이며, 그 블랭킷 웨이퍼 검증에서, 패턴들을 갖는 제품 웨이퍼들에 대한 대용물로서, 막의 균일한 층을 갖는 패터닝되지 않은 웨이퍼들이 사용된다. 고정된 시간량 동안 블랭킷 웨이퍼들을 에칭하고, 웨이퍼에 걸쳐 막 두께의 결과적인 변동들을 측정함으로써, 에칭 프로세스의 불-균일성들이 특성화될 수 있다. 그러나, 이 방법은 상이한 패턴 밀도들, 배향들, 및 종횡비들을 갖는 상이한 패턴 타입들에 의해 야기되는 에칭의 변동들을 알 수 없다. 예컨대, 패턴 밀도를 변화시키는 것은 로딩 효과(loading effect)들로 인해 액체 에천트의 특성들 및/또는 거동의 국부적 변화를 야기하여, 에칭 레이트에 영향을 미친다. 다른 예로서, 패턴 배향들은 액체 에천트의 유동 및 확산에 영향을 미칠 수 있고, 그에 따라, 불-균일성들을 야기할 수 있다. 게다가, 패터닝된 피처(feature)들의 높은 종횡비들은 분주 및 확산 단계들 동안의 액체 에천트의 재지향에 의해 웨이퍼의 일부 영역들의 “섀도잉(shadowing)”을 유발할 수 있다.
불-균일성들을 특성화하기 위한 다른 방법은 SEM을 사용하는 CD 측정이다. 그러나, 이 방법은 UBM(under bump metallization) 스케일 변동에 민감하지 않은데, 이는 에칭되는 막들보다 도금된 피처들이 여러 배 더 크기 때문이다.
트레이스 언더컷들의 불-균일성들을 특성화하기 위한 방법은 FIB들 및 SEM들을 사용하는 단면 분석이다. 그러나, FIB를 사용한 단면 준비는 시간 소모적이고 파괴적이다. FIB를 사용한 샘플 준비의 시간 소모적인 성질로 인해, 웨이퍼의 매우 제한된 포인트들만이 샘플링될 수 있고, 그에 따라, 웨이퍼에 걸쳐 불-균일성들의 낮은 공간 해상도를 초래할 수 있다. 게다가, FIB를 사용한 샘플 준비의 파괴적인 성질로 인해, 매우 적은 수의 제품 웨이퍼들만이 샘플링될 수 있고, 그에 따라, 웨이퍼 간 불-균일성들의 특성화가 불충분하게 될 수 있다.
전형적인 에칭 시스템들은, 보수적으로-타이밍된 에칭(conservatively-timed etch)을 사용하여, 전체 웨이퍼가 엔드포인트에 도달된 것을 보장하기 위해 웨이퍼를 과다-에칭하도록 프로그래밍된다. 전형적으로, 타이밍된-에칭의 지속기간은, 이전에 취득된 계측 데이터, 예컨대, 블랭킷 웨이퍼 검증, CD 측정들, 및 단면 측정들로부터의 데이터를 사용하여 결정된다.
일부 에칭 시스템들은 단일 측정에 기초하여 웨이퍼의 엔드포인트 상태를 추정할 수 있는 엔드포인트 검출 시스템을 사용한다. 단일 측정은 웨이퍼 상의 단일 포인트, 예컨대 중심에 기초할 수 있다. 그러나, 단일 포인트 엔드포인트 검출 체계는 웨이퍼에 걸친 불-균일성들을 고려하는 것이 가능하지 않고, 그에 따라, 잘못된 엔드포인트 검출 또는 과다-에칭을 초래한다.
따라서, 외부 계측(예컨대, SEM)을 이용하지 않으면서 막 클리어링의 균일성을 측정할 수 있고; 파괴적 분석을 이용하지 않으면서 실제 제품 웨이퍼들을 프로파일링할 수 있고; 재교정 없이 다수의 제품 타입들에 적용될 수 있으며; 언더커팅의 변동들 및 과다-에칭을 감소시키도록 막 클리어링의 불-균일성을 보정할 수 있는 시스템을 갖는 것이 유리할 것이다. 에칭 동안 웨이퍼에 걸쳐 프로브를 스캐닝함으로써, 다수의 위치들에서의 측정들이 수집될 수 있고, 그에 따라, 언더커팅의 변동들 및 과다-에칭이 감소될 수 있게 한다.
도 1은 기판 에칭 시스템(100)의 예를 예시한다. 웨이퍼(10)는 회전가능 스핀들(110)에 의해 페이스-업 상태로 지지된다. 예컨대, 모터(112)는 축(114)을 중심으로 스핀들(110)을 회전시키도록 회전가능 스핀들(110)을 구동할 수 있다.
전달 암(120)이 웨이퍼(10) 위에 위치되고, 전달 암(120)의 단부에 있는 포트(122)를 통해 액체 에천트(40)가 전달될 수 있다. 액체 에천트(40)는, 예컨대 펌프(132)에 의해, 액체 에천트 저장조(130)로부터 공급될 수 있다. 액체 에천트(40)는 전달 암(120) 내의 또는 전달 암(120) 상의 통로(124)(암에 부착된 튜빙(tubing))를 통해 유동할 수 있다. 암(120)은 액추에이터(126)에 의해, 예컨대 화살표(A)로 도시된 바와 같이, 웨이퍼(10)에 걸쳐 측방향으로 이동가능하다. 일반적으로, 암(120)의 이동은 전달 포트(122)가 웨이퍼(10)의 중심으로부터 에지까지 어디든지 위치될 수 있게 하는 데 충분하다.
(예컨대, 웨이퍼의 회전에 의해 야기되어) 웨이퍼(10)의 표면으로부터 벗어나는 액체 에천트(40)를 수용 및 수집하기 위해, 하나 또는 그 초과의 측벽들(140)이 스핀들(110) 주위에 위치된다. 에천트는 콜렉터(142) 내로 배출될 수 있다. 일부 구현들에서, 에천트는 재활용되어 저장조(130)로 리턴될 수 있다. 콜렉터(142)는 사용된 에천트가 저장조(130)로 리턴되기 전에, 사용된 에천트를 처리하기 위한 필터를 포함할 수 있다.
예시되지 않은 로봇이, 예컨대, 측벽들(140)의 상단 내의 개구를 통해, 워크피스(10)를 스핀들(110) 상의 위치로 하강시킬 수 있다. 암(120)의 위치는 충돌을 방지하도록 로봇과 조화될 수 있는데, 예컨대, 워크피스가 제 위치로 하강될 때, 암(120)이 스핀들(110) 위에 있지 않도록 암(120)이 이동될 수 있다.
제어기(150)는 기판 에칭 시스템(100)의 동작을 제어한다. 예컨대, 제어기(150)는 모터(112), 액추에이터(126), 및 펌프(132)에 커플링될 수 있다. 제어기(150)는 프로그래머블 컴퓨터로 구현될 수 있다.
기판 에칭 시스템(100)의 전형적인 동작 동안, 전달 암(120)에 의해 웨이퍼(10)의 표면 상으로 액체 에천트(40)가 분주되면서, 회전가능 스핀들(110)에 의해 웨이퍼(10)가 회전된다. 회전은 전달 암(120)의 1D 선형 모션을 사용하여 웨이퍼(10)의 전체 2D 표면에 걸쳐 액체 에천트(40)가 빈틈 없이 분주될 수 있게 한다. 게다가, 회전은, 웨이퍼 표면 상에 액체가 분주되면, 생성되는 원심력으로 인해, 액체 에천트(40)의 균등한 분배를 가능하게 할 수 있다(예컨대, 액체 에천트(40)는 웨이퍼(10)의 표면을 따라 반경 방향으로 재분배될 수 있음).
일부 구현들에서, 회전가능 스핀들(110)은 스핀들(110)에 웨이퍼(10)를 일시적으로 부착시키기 위한 진공 흡인을 제공할 수 있다. 일부 구현들에서, 회전가능 스핀들(110)은 웨이퍼(10)의 에지들을 따라 웨이퍼(10)를 유지할 수 있다.
일부 구현들에서, 전달 암(120)은 웨이퍼(10)의 반경 방향을 따라 선형으로 이동하도록 구성될 수 있다. 일부 구현들에서, 전달 암(120)은 웨이퍼(10)의 표면에 걸쳐 호 형상으로 이동하도록 구성될 수 있다. 일부 구현들에서, 전달 암(120)은 약 0.5 내지 3초, 예컨대 1.2초 내에 웨이퍼의 중심으로부터 웨이퍼의 에지까지 스위핑되고 다시 중심으로 스위핑되어 돌아갈 수 있다.
액체 에천트(40)는 종종 ‘케미스트리(chemistry)’라고 호칭되며, 전형적으로, 용제 내의 다양한 케미컬 화합물(chemical compound)들의 혼합물이다. 예컨대, 용제는 탈이온 수 및 과산화 수소를 포함할 수 있다. 상이한 막 재료들을 에칭하기 위해, 상이한 케미스트리들이 사용될 수 있다. 예컨대, 염화 제이철을 함유하는 케미스트리들이 구리를 에칭하기 위해 사용될 수 있다.
일부 구현들에서, 액체 에천트(40)는 재생(reclaim)되고, 2개 또는 그 초과의 에칭 사이클들에 대해 사용된다. 일부 구현들에서, 액체 에천트 저장조(130)는 분주되어 웨이퍼(10)를 에칭하기 위해 사용된 후의 액체 에천트(40)를 저장하도록 구성될 수 있다. 일부 구현들에서, 측벽(140)은 웨이퍼(10)의 표면으로부터 벗어나는 분주된 액체 에천트(40)를 저장조(130)로 지지향시키도록 구성될 수 있다.
다양한 인자들이 액체 에천트(40)의 에칭 레이트에 영향을 미칠 수 있다. 하나의 인자는 액체 에천트(40)의 농도일 수 있다. 다른 인자는 액체 에천트(40)의 온도일 수 있는데, 이는 전형적으로, 액체 에천트(40)의 온도가 증가되는 경우, 에칭을 발생시키는 화학 반응들의 레이트가 증가되고, 그 반대도 마찬가지이기 때문이다. 다른 인자는 액체 에천트(40)의 노화 정도(age)일 수 있는데, 이는 일부 케미스트리들(예컨대, 일회용(single use) 케미스트리)이 시간에 걸쳐 분해(breakdown) 및 열화(degrade)되기 때문이다. 다른 인자는 재생된 액체 에천트를 사용하여 웨이퍼들이 프로세싱된 횟수일 수 있다.
도 2는 기판 에칭 시스템(100)을 위한 광학 모니터링 시스템(200)을 예시한다. 도 1 및 도 2를 참조하면, 광학 모니터링 시스템(200)은 웨이퍼(10) 위에 위치된 프로브(202)를 포함한다. 프로브는 웨이퍼(10)에 걸쳐 측방향으로 이동가능하다. 일반적으로, 프로브는 웨이퍼(10)의 중심으로부터 에지까지 어디든지 위치가능하다. 일부 구현들에서, 프로브(202)는 전달 암(120)에 부착되고, 전달 암(120)과 함께 이동한다.
일부 구현들에서, 프로브(202)는 별개의 암(204)에 의해 이동가능하다. 별개의 암(204)은 액체 에천트(40)의 분주 위치와 관계 없이 웨이퍼(10)의 모니터링을 가능하게 할 수 있다. 별개의 암(204)은 웨이퍼(10)의 반경 방향을 따라 선형으로 이동하도록 구성될 수 있다. 일부 구현들에서, 별개의 암(204)은 웨이퍼(10)의 표면에 걸쳐 호 형상으로 이동하도록 구성될 수 있다. 일부 구현들에서, 별개의 암(204)은 약 0.5 내지 3초, 예컨대 1.2초 내에 웨이퍼의 중심으로부터 웨이퍼의 에지까지 스위핑되고 다시 중심으로 스위핑되어 돌아갈 수 있다. 암(120) 및 암(204)의 모션은 제어기(150)에 의해, 충돌을 피하도록 조화될 수 있다.
도 2를 참조하면, 광학 모니터링 시스템(200)은 광 소스(210) 및 검출기(220)를 포함한다. 일부 구현들에서, 프로브(202)는 광 섬유를 포함할 수 있으며, 그 광 섬유는 광 소스(210)로부터 광을 수용하고, 그 광을 웨이퍼(10)의 표면 상으로 지향시키고, 웨이퍼(10)로부터 반사되어 돌아오는 광을 수용하고, 그 반사된 광을 검출기(220)로 지향시킨다. 측방향으로 암(120 또는 204)을 이동시킴으로써, 광 섬유의 단부가 웨이퍼(10) 상의 원하는 반경 방향 위치에 위치될 수 있다. 그러나, 다른 기법들이 사용될 수 있다. 예컨대, 광 빔이 미러들에 의해 암을 따라 지향될 수 있거나, 또는 프로브(202)가 액체 광 가이드를 포함할 수 있으며, 그 액체 광 가이드는 광 소스(210)로부터 광을 수용하고, 그 광을 웨이퍼(10)의 표면 상으로 지향시키고, 웨이퍼(10)로부터 반사되어 돌아오는 광을 수용하고, 그 반사된 광을 검출기(220)로 지향시킨다.
일부 구현들에서, 프로브(202)는 또한, 웨이퍼(10)의 표면 상에 시준된 광 스폿을 투영하기 위해, 그리고 광 섬유 또는 액체 광 가이드 내로 반사되어 돌아오는 광의 커플링 효율을 증가시키기 위해, 시준기를 포함한다. 예컨대, 시준된 광 스폿의 사이즈는 직경이 1 mm 내지 10 mm일 수 있고, 예컨대, 직경이 1 mm, 2mm, 5mm, 또는 10 mm일 수 있다. 스폿 사이즈는 웨이퍼의 직경 및 반경 방향 샘플링 포인트들의 원하는 수에 기초하여 선택될 수 있다.
광학 모니터링 시스템(200)은 반사된 광의 세기를 측정함으로써, 웨이퍼(10)의 표면의 반사율의 변화를 검출하기 위해 사용될 수 있다. 예컨대, 에칭될 금속 막은 금속 막보다 반사율이 더 낮은 비-금속성 하층의 상단 상에 적층될 수 있다. 그러한 경우에, 액체 에천트(40)가 금속 막을 클리어링하고 반사율이 더 낮은 하층을 노출시키는 경우, 반사율의 변화가 발생된다. 반사율의 이러한 변화는, 금속 막이 완전히 에칭되었고 엔드포인트가 도달되었는지를 결정하기 위해, 제어기(150)에 의해 분석될 수 있다.
일부 구현들에서, 광 소스(210)는 플래시 램프이다. 전형적으로, 플래시 램프는 분광기 측정들을 수행하는 데 바람직한 높은 복사 세기들의 광대역 광을 제공한다. 다양한 타입들의 플래시 램프들은 크세논 플래시 램프 및 크립톤 플래시 램프를 포함한다. 일부 구현들에서, 광 소스(210)는 증폭된 자연 방출 소스이다. 일부 구현들에서, 광 소스(210)는 할로겐 광 소스이다. 일부 구현들에서, 광 소스(210)는 레이저이다.
일부 구현들에서, 검출기(220)는 분광계이다. 플래시 램프와 같은 광대역 광 소스와 조합하여, 분광계는 웨이퍼(10)의 표면에 관한 부가적인 정보를 제공하기 위해, 상이한 파장들(‘반사 스펙트럼’)에서 웨이퍼(10)의 표면의 반사율을 측정할 수 있다. 일부 구현들에서, 분광계는 8개의 채널들 및 16 ms의 폴링 간격(polling interval)을 갖고, 매 폴링 간격마다 측정을 생성한다. 일부 구현들에서, 분광계는 4개의 채널들 및 8 ms의 폴링 간격을 갖는다.
검출기(220)로부터의 데이터(‘반사율 데이터’)는 제어기(150)의 일부인 하나 또는 그 초과의 컴퓨터들(230 및 240)로 전송된다. 컴퓨터들은 데이터를 분석하도록 프로그래밍된다. 예컨대, 컴퓨터들(230, 240) 중 하나 또는 그 초과는 프로세서 및 저장 디바이스를 가질 수 있으며, 그 저장 디바이스는 컴퓨터 판독가능 매체를 갖고, 그 컴퓨터 판독가능 매체는, 컴퓨터로 하여금 아래에서 설명되는 기능들, 예컨대, 프로세싱을 위해 챔버 내에 부적절한 웨이퍼 타입이 도입되는 경우를 검출하는 것, 로터 상의 웨이퍼의 오배치를 검출하는 것, 또는 검출기(220)로부터 수신된 데이터에 기초하여, 예상된 에칭 레이트로부터의 변동들을 검출하는 것을 수행하게 하기 위한 명령들을 갖는다. 하나의 컴퓨터, 예컨대 컴퓨터(230)는 검출기(220)로부터의 스펙트럼 데이터를 분석하고, 엔드포인트가 도달되었는지에 대한 표시를 생성하기 위해 전용될 수 있으며, 다른 컴퓨터, 예컨대 컴퓨터(240)는 컴퓨터(230)로부터의 엔드포인트 데이터에 기초하여 에칭 시스템을 제어하기 위해 전용될 수 있다. 별개의 컴퓨터들(230, 240) 대신에, 단일 컴퓨터 내의 별개의 기능 모듈들이 존재할 수 있다.
일부 구현들에서, 컴퓨터(230)는 검출기(220)에 의해 생성된 데이터를 수신, 프로세싱, 및 저장한다. 일부 구현들에서, 컴퓨터(230)는 또한, 전달 암(120), 별개의 암(204)(존재하는 경우)의 위치 데이터 및 스핀들(110)의 회전 레이트를 수신한다.
일부 구현들에서, 제어기(150)는 반사 표면의 재료를 결정하기 위해, 수신된 반사 스펙트럼을 분석할 수 있다. 일반적으로, 상이한 타입들의 금속들은 상이한 반사 스펙트럼들을 가질 수 있다. 예컨대, 구리는 620 nm 주위에서 반사율 피크를 가질 수 있고, 티타늄은 578 nm에서 반사율 피크를 가질 수 있다. 따라서, 일부 경우들에서, 2개의 상이한 재료들(예컨대, 구리 막 및 티타늄 막) 간의 반사 스펙트럼들의 차이들을 분석하기 위해, 충분한 파장 분해능을 갖는 분광계가 사용될 수 있다. 재료의 결정은, 예컨대, 티타늄 막의 상단 상에 적층된 구리 막을 에칭하는 경우에 유용할 수 있는데, 이는 구리 층이 언제 완전히 에칭되어 아래 놓인 티타늄 층을 노출시키는지를 제어기(150)가 결정하는 것이 가능할 수 있기 때문이다. 예컨대, 티타늄 막은 배리어 층 아래에 놓인 유전체 층으로부터 구리 층을 분리하기 위한 배리어 층으로서 사용될 수 있다. 일부 구현들에서, 반사율 신호가 아래 놓인 층으로부터 유래한 것으로 제어기(150)가 결정하는 경우, 제어기(150)는 엔드포인트가 도달된 것으로 결정할 수 있다. 일부 구현들에서, 검출된 변화에 대한 응답으로, 이어서, 제어기는 타티늄 층을 에칭하기 위해, 액체 에천트(40)의 타입을 구리 에천트로부터 티타늄 에천트로 변경할 수 있다.
일부 구현들에서, 제어기(150)는 상단 금속 막과 아래 놓인 유전체 막 간을 판별하기 위해, 수신된 반사 스펙트럼을 사용할 수 있다. 예컨대, 전도성 막 아래의 유전체 층의 두께는 측정된 반사 스펙트럼에 박막 간섭 방정식(thin-film interference equation)을 피팅(fit)함으로써 결정될 수 있다. 일부 경우들에서, 아래 놓인 유전체 층의 굴절률 및 두께는 특정 대역의 파장들에서, 상단 금속 막의 반사율 신호와 실질적으로 유사한 반사율 신호를 발생시킬 수 있다. 그러한 경우들에서, 반사가 금속의 반사인지 또는 아래 놓인 유전체 층의 반사인지를 구별하기 위해, 상이한 대역의 파장들에서의 부가적인 반사율이 사용될 수 있다. 일부 구현들에서, 반사율 신호가 아래 놓인 유전체 층으로부터 유래한 것으로 제어기(150)가 결정하는 경우, 제어기(150)는 엔드포인트가 도달된 것으로 결정할 수 있다.
일반적으로, 반사 스펙트럼으로부터의 엔드포인트 결정은, 재교정 없이, 다수의 타입들의 제품 웨이퍼들에 적용될 수 있는데, 이는 반사 스펙트럼이, 제품 웨이퍼들의 상이한 패턴들과 관계 없을 수 있는 재료의 고유 특성이기 때문이다.
일부 구현들에서, 제어기(150)는 웨이퍼(10)에 걸친 엔드포인트 상태들 또는 반사율 데이터의 매핑을 생성하기 위해, 수신된 반사율 데이터를 프로세싱할 수 있다. 일부 구현들에서, 웨이퍼(10)의 표면은 다수의 구역들로 분할될 수 있다.
도 3은 다수의 구역들을 갖는 기판의 평면도이다. 웨이퍼(10)는 환상 구역들(310a, 310b, 및 310c)을 포함한다. 3개의 구역들이 도시되어 있지만, 환상 구역들의 수는 변경될 수 있다. 예컨대, 구역들의 수는 2개, 4개, 8개, 16개, 또는 32개일 수 있다. 일부 구현들에서, 환상 구역들의 수는 웨이퍼(10)의 사이즈에 기초하여 결정될 수 있다. 일부 구현들에서, 환상 구역들(310a, 310b, 및 310c)은 인접 환상 구역들과 중첩되는 영역들을 가질 수 있다. 중첩은 일부 방위각 인식(azimuthal awareness)을 제공할 수 있다.
일부 구현들에서, 제어기(150)는 반사율 데이터와 연관된 암 위치를 결정하기 위해, 암 위치 데이터의 타임 스탬프 및 반사율 데이터의 타임 스탬프를 분석할 수 있다. 환상 구역들(310a, 310b, 또는 310c)에 반사율 데이터를 할당하여, 웨이퍼(10)의 표면에 걸친 반사율 데이터의 반경 방향 맵을 생성하기 위해, 암 위치 데이터가 분석될 수 있다.
일부 경우들에서, 환상 구역들(310a, 310b, 및 310c) 각각에는 단일 스캔 내의 하나 초과의 반사율 데이터가 할당된다. 환상 구역에 할당된 다수의 반사율 데이터의 상대적 위치들을 결정하기 위해, 스핀들(110)의 회전 레이트가 사용될 수 있다. 예컨대, 암 위치가 고정된 상태로 유지되는 동안 다수의 반사율 데이터가 측정되는 경우, 측정된 반사율 데이터 포인트들은 동일한 환상 구역 내에 속할 것이다. 일부 구현들에서, 반사율 데이터의 상대적 방위각 방향 분리를 계산하기 위해, 스핀들 회전 레이트가 사용된다. 일부 구현들에서, 환상 구역 내의 다수의 데이터 포인트들은 방위각 방향 불-균일성들을 결정하기 위해 분석된다. 다수의 데이터 포인트들은 환상 구역의 평균 반사율을 결정하기 위해 평균될 수 있다. 일부 경우들에서, 평균은 환상 구역의 반사율 측정에서 노이즈를 감소시킬 수 있고, 그에 따라, 엔드포인트 검출의 신뢰성을 증가시킬 수 있다.
일부 구현들에서, 제어기(150)는 환상 구역들(310a, 310b, 및 310c) 각각에 대한 개별 엔드포인트 상태를 결정한다. 환상 구역들(310a, 310b, 및 310c)에 할당된 반사율 데이터는 각각의 구역들에 대해 엔드포인트가 도달되었는지를 결정하기 위해, 위에서 설명된 바와 같이 분석될 수 있다. 예컨대, 바이너리(binary) 엔드포인트 상태 ― 엔드포인트가 도달됨 또는 엔드포인트가 도달되지 않음 ―가 각각의 구역들에 할당될 수 있다. 일부 구현들에서, 엔드포인트 상태의 반경 방향 맵이 웨이퍼(10)의 표면에 걸쳐 생성될 수 있다.
일부 구현들에서, 엔드포인트 결정의 신뢰성을 개선하기 위해, 환상 구역(310a, 310b, 또는 310c) 내의 다수의 데이터 포인트들이 분석된다. 예컨대, 바이너리 엔드포인트 상태 1이 엔드포인트가 도달된 것을 표시하는 것으로 가정하면, 구역 내의 모든 각각의 데이터 포인트가 1의 엔드포인트 상태를 갖는 경우에, 환상 구역의 엔드포인트 상태가 1로 세팅될 수 있다.
일부 구현들에서, 제어기(150)는 시간에 걸친 환상 구역들(310a, 310b, 및 310c)의 엔드포인트 상태의 변화를 검출하기 위해, 웨이퍼(10)의 표면 위에서 프로브(202)를 주기적으로 스캔한다. 각각의 스캔은 시간-분해 반경 방향 엔드포인트 상태 맵을 생성하기 위해 타임 스탬핑 및 프로세싱될 수 있다. 예컨대, 시간-분해 반경 방향 엔드포인트 상태 맵은 상이한 구역들이 이들 각각의 엔드포인트에 도달한 순서를 디스플레이할 수 있다. 주기적인 스캐닝에 대한 시간 간격은 다양한 인자들에 기초하여 결정될 수 있다. 예컨대, 프로세스의 에칭 레이트가 높은 경우, 시간 간격이 단축될 수 있다.
일부 구현들에서, 시스템(100)은, 모든 환상 구역들에 대해 엔드포인트들이 도달된 것으로 제어기(150)가 결정할 때까지, 웨이퍼(10)를 프로세싱한다. 상이한 환상 구역들에 대한 엔드포인트들의 개별적인 검출은 전체 웨이퍼가 완전히 프로세싱된 것을 확인함으로써, 프로세스의 수율을 개선할 수 있다.
일부 구현들에서, 상이한 구역들은 구역에 대해 엔드포인트가 도달되었는지를 결정하기 위한 상이한 기준들을 가질 수 있다.
일부 구현들에서, 환상 구역들(310a, 310b, 및 310c)의 에칭 레이트는 개별적으로 제어될 수 있다. 일부 구현들에서, 전달 암(120)은 분주되지 않은 구역들에서의 에칭 레이트를 느리게 하기 위해, 상이한 환상 구역들로 액체 에천트(40)를 선택적으로 분주할 수 있다. 일부 구현들에서, 환상 구역들의 에칭 레이트를 제어하기 위해, 액체 에천트(40)의 유량이 변화될 수 있다. 일부 구현들에서, 에칭 레이트를 제어하기 위해, 분주 동안의 환상 구역 위의 분주 포트의 체류 시간이 변화될 수 있다.
일부 구현들에서, 제어기(150)는 엔드포인트에 도달한 환상 구역들 상으로의 액체 에천트(40)의 분주를 중단할 수 있다. 제어기(150)는, 모든 환상 구역들이 엔드포인트에 도달한 것으로 결정될 때까지, 엔드포인트 상태에 도달하지 않은 환상 구역들 상에 액체 에천트(40)를 계속 분주할 수 있다.
일부 구현들에서, 후속 웨이퍼에서 에칭 프로세스의 불-균일성들이 보정될 수 있다. 예컨대, 이전의 웨이퍼의 시간-분해 반경 방향 엔드포인트 상태 맵은, 환상 구역(310c)이 엔드포인트에 도달하기 전에 환상 구역(310a)이 엔드포인트에 도달한 것을 나타낼 수 있다. 응답으로, 제어기(150)는 균일한 타겟 에칭 레이트로부터, 구역들의 에칭 레이트의 변동들을 감소시키기 위해 상이한 환상 구역들에서 에천트 유량 및/또는 체류 시간을 변경할 수 있다. 에칭 레이트의 변동의 감소는 상이한 구역들이 유사한 시간들에 엔드포인트에 도달하게 하여, 환상 구역들의 과다-에칭 및 불-균일성들을 감소시킬 수 있다.
일부 구현들에서, 에칭 프로세스의 불-균일성들은 현재의 웨이퍼에 대해 실시간으로 보정될 수 있다. 예컨대, 제어기(150)는 시간-분해 반경 방향 엔드포인트 상태 맵을 실시간으로 프로세싱할 수 있고, 그리고 현재의 웨이퍼 내의 불-균일성들을 감소시키기 위해, 특정 환상 구역들이 다른 환상 구역들보다 조기에 엔드포인트들에 도달한 것에 대한 응답으로, 에천트 유량 및/또는 체류 시간이 변경될 수 있다.
일부 구현들에서, 제어기(150)는 액체 에천트(40)의 에칭 레이트를 결정하도록 구성될 수 있다. 예컨대, 제어기(150)는 시간-분해 반경 방향 엔드포인트 상태 맵을 사용하여, 금속 막으로부터 환상 구역이 클리어링될 때까지의 에칭 지속기간을 결정할 수 있다. 이러한 시간 정보는 에칭 레이트를 계산하기 위해, 제어기(150) 상에 저장된, 환상 구역에서의 막의 시작 두께와 커플링될 수 있다. 예컨대, 시작 두께는 상류 계측 툴에 의해 생성될 수 있다.
액체 에천트(40)는 각각의 타입의 막 및 패턴 특성들에 대해 베이스라인 에칭 레이트를 가질 수 있다. 예컨대, 베이스라인 에칭 레이트는 프로세스 검증 동안 결정될 수 있다. 현재 결정된 에칭 레이트는 다양한 이유들로 베이스라인 에칭 레이트와 상이할 수 있다. 예컨대, 액체 에천트(40)는 에천트 소모를 감소시키기 위해, 웨이퍼를 프로세싱한 후 재활용될 수 있다. 재활용된 에천트는 에칭을 담당하는 케미컬 화합물들의 고갈 또는 변형으로 인해, 감소된 에칭 레이트를 가질 수 있다. 또한, 에칭 레이트는 온도로 인해 변화될 수 있다. 또한, 에칭 레이트는 에천트의 농도로 인해 변화될 수 있다. 또한, 에칭 레이트는 시간에 걸친 액체 에천트의 열화로 인해 변화될 수 있다.
에칭 레이트가 용인가능 범위 밖에 있는 것으로 제어기가 결정하는 경우, 다양한 액션들이 취해질 수 있다. 하나의 액션은 에천트 배스를 새로운 에천트 배스로 변경하도록, 시스템의 오퍼레이터에게 경보, 예컨대 청각 또는 시각 신호를 생성하는 것일 수 있다. 다른 액션은 액체 에천트 저장조(130) 내의 에천트 배스의 프로세싱 파라미터를 조정하는 것일 수 있다. 에천트 배스의 프로세싱 파라미터들은 액체 에천트의 농도 및 온도를 포함할 수 있다. 예컨대, 일부 경우들에서, 저장조(130) 내로 농축된 에천트를 부가하여, 액체 에천트(40)의 농도를 증가시킴으로써, 에칭 레이트가 증가될 수 있다. 예컨대, 적절한 용제(예컨대, 탈이온 수)로 에천트를 희석시켜서, 에천트의 농도를 감소시킴으로써, 에칭 레이트가 감소될 수 있다. 예컨대, 일부 경우들에서, 저장조(130)에 저장된 액체 에천트(40)의 온도를 증가시켜서, 분주되는 액체 에천트(40)의 온도를 증가시킴으로써, 에칭 레이트가 증가될 수 있다.
일부 구현들에서, 제어기(150)에 의해 결정된 에칭 레이트는, 저장조(130)에서 재생된 액체 에천트(40)의 유효 수명을 연장하기 위해 사용될 수 있다. 액체 에천트(40)의 에칭 레이트는, 예컨대, 그 액체 에천트의 노화 정도 및 에칭된 웨이퍼들의 수에 기초하여 변화될 수 있다. 에칭 레이트 결정 능력이 없는 에칭 시스템들에서, 저장조(130)에 의해 재생되는 액체 에천트(40)가 없을 수 있고, 그리고 에칭된 웨이퍼들의 수 또는 시간 간격에 기초하여 액체 에천트(40)의 새로운 공급으로 재충전될 수 있다. 전형적으로, 에칭된 웨이퍼들의 수 또는 시간 간격은, 시간-기반 에칭 시스템들에서 웨이퍼들의 불완전한 프로세싱을 방지하기 위해, 예방적으로 낮게 세팅된다. 그러나, 일부 구현들에서, 액체 에천트(40)의 에칭 레이트가 베이스라인 에칭 레이트보다 더 낮은 것으로 제어기(150)가 결정하는 경우, 제어기(150)는 더 느린 에칭 레이트를 보상하기 위해 에칭 지속기간을 연장할 수 있다. 이러한 보상은 처리량의 약간의 손실로 액체 에천트940)의 유효 수명의 연장을 가능하게 할 수 있다. 일부 구현들에서, 주어진 필름을 제거하기 위한 최대 허용 시간이 설정될 수 있고, 최대 허용 시간이 초과되면, 저장조(130)는 비워질 수 있고 액체 에칭 제 (40)의 신선한 공급으로 재충전 될 수 있다.
일부 구현들에서, 제어기(150)는 웨이퍼(10)의 사전-주사를 수행하고 제공된 웨이퍼가 적절한 유형인지 여부를 결정하도록 구성 될 수 있다. 제품 웨이퍼는 웨이퍼에 걸친 반사율의 알려져 있는 범위를 갖는다. 광학 모니터링 시스템(200)으로부터의 측정치가 예상되는 반사율 범위 밖에 있는 경우, 제어기(150)는 웨이퍼가 부적절한 타입인 것으로 판단할 수 있다. 예를 들어, 부적절한 유형의 웨이퍼는 예상되는 필름과 다른 금속 필름을 가질 수 있다. 예를 들어, 부적절한 유형의 웨이퍼는 상류 공정 단계로부터의 불완전한 제거로 인한 포토 레지스트 잔류물을 함유 할 수 있다. 포토 레지스트 잔류물은 박막 간섭 효과에 의해 분광 반사율 곡선의 형태를 변화시킬 수 있다. 포토레지스트 잔류물은 광 흡수 및 / 또는 산란으로 인한 스펙트럼 전반의 전체 반사율을 감소시킬 수 있다.
웨이퍼가 부적절한 것으로 판단되면, 제어기(150)는 프로세싱 없이 부적절한 웨이퍼를 거부할 수 있고, 정정 동작을 위해 부적절한 웨이퍼를 플래깅할 수 있다. 예컨대, 보정 액션은 포토레지스트 잔류물의 세정을 포함할 수 있다. 예컨대, 금속 막의 예상되지 않은 타입은 상류 프로세싱 에러들을 결정하기 위해 분석될 수 있다. 부적절한 웨이퍼를 거부하면 정정 조치가 취해지기 전에 부적절한 웨이퍼가 손상될 수 있으므로 정정 조치가 필요한 웨이퍼의 처리를 중단하여 공정 수율을 향상시킬 수 있다.
일부 구현들에서, 제어기 (150)는 웨이퍼 (10)의 사전 스캔을 수행하고 지지체(예를 들어, 회전 가능한 스핀들(110)) 상에 웨이퍼 (10)의 오배치를 결정하도록 구성될 수 있다. 웨이퍼의 오배치는지지면에 대해 웨이퍼(10)의 표면을 기울게 할 수 있다. 기울임은 분배된 액체 에칭제가 웨이퍼의 하부를 향해 유동함에 따라 액체 에칭제(40)의 불균일한 분포를 야기 할 수 있어 에칭 불균일성을 초래한다. 다른 예에서, 웨이퍼(10)의 오정렬은 축(114)에 대한 웨이퍼(10)의 중심의 오정렬을 야기할 수 있다. 축 정렬 불균일은 불균일한 원심력이 웨이퍼(10)의 표면 상의 분배된 액체 에칭제에 가해지게 하여 액체 에칭제(40)의 불균일한 분포를 야기 할 수 있다. 또한, 축 방향 오정렬은 높은 회전 속도(예를 들어, 웨이퍼 헹굼 및 건조 단계 동안)에서 지지체로부터 웨이퍼의 전위를 유도할 수 있다.
일부 구현들에서, 웨이퍼의 기울임은 반사율 데이터의 크기를 분석함으로써 검출될 수 있다. 웨이퍼의 기울임은 웨이퍼상의 평행한 스폿의 반사 방향을 조명 방향과 다르게 할 수 있다. 프로브 (202)에 대한 반사광의 오정렬은 수집된 광의 감소를 야기하여 반사율 데이터의 크기를 감소시킨다. 반사율 데이터의 크기가 예정된 임계 값(예를 들어, 5 %, 10 %, 20 %, 50 %, 75 %, 90 %)만큼 낮을 때, 제어기(150)는 웨이퍼가 오배치된 것으로 결정할 수 있다.
일부 구현들에서, 축 방향 오정렬은 웨이퍼를 가로 질러 2개 이상의 반사 측정치를 만들고 분석함으로써 결정될 수 있다. 웨이퍼(10)의 크기 및 예상된 웨이퍼의 중심에 기초하여, 프로브(202)는 웨이퍼(10)의 2개의 대향 에지 근처에 위치될 수 있다. 웨이퍼(10)가 축 방향으로 오정렬된 경우, 시준된 스폿은 웨이퍼 (10)의 에지 부근의 2개의 측정 중 하나가 수행되는 동안 웨이퍼(10)의 표면을 놓칠 수 있다. 웨이퍼(10)의 표면이 누락되면 다른 측정에 비해 더 낮은 반사율을 초래할 수 있다. 2개의 측정치의 크기의 차이가 소정의 임계치(예를 들어, 5 %, 10 %, 20 %, 50 %, 75 %, 90 %)보다 클 때, 제어기(150)는 웨이퍼가 축 방향으로 오정렬된 것으로 판단 할 수 있다.
일부 구현들에서, 제어기(150)에 의한 반사율 데이터의 프로세싱은 수신된 반사 스펙트럼 데이터의 정규화를 포함할 수 있다. 예를 들어, 정규화는 스펙트럼 전반에 걸쳐 개별 스펙트럼 강도 값을 합산하고 각 값을 합계로 나누어 수행 할 수 있다. 반사 스펙트럼 데이터의 정규화는 시스템들 사이의 엄격한 챔버 매칭을 보장할 필요 없이 기판 에칭 시스템(100)의 상이한 경우에 걸쳐 광학 모니터링 시스템(200)으로부터의 데이터의 비교를 가능하게 할 수 있다.
본 명세서에서 설명된 본 발명의 실시예 및 모든 기능 동작은 본 명세서에 개시된 구조적 수단 및 그 구조적 등가물을 포함하는 디지털 전자 회로 또는 컴퓨터 소프트웨어, 펌웨어 또는 하드웨어, 또는 이들의 조합으로 구현될 수 있다 . 본 발명의 실시예는 하나 이상의 컴퓨터 프로그램 제품, 즉 데이터 처리 장치에 의해 실행되거나 또는 데이터 처리 장치의 동작을 제어하기 위해 머신 판독 가능 저장 매체에 실체적으로 구현된 하나 이상의 컴퓨터 프로그램, 프로세서, 컴퓨터 또는 다중 프로세서 또는 컴퓨터 일 수 있다. 컴퓨터 프로그램(프로그램, 소프트웨어, 소프트웨어 응용 프로그램 또는 코드라고도 함)은 컴파일된 언어 또는 해석 된 언어를 비롯한 모든 형태의 프로그래밍 언어로 작성 될 수 있으며 독립형 프로그램을 포함하여 모든 형식으로 배포 할 수 있거나, 또는 컴퓨팅 환경에서 사용하기에 적합한 모듈, 컴포넌트, 서브 루틴 또는 다른 유닛으로서 구현될 수 있다. 컴퓨터 프로그램은 반드시 파일에 대응할 필요는 없다. 프로그램은 다른 프로그램이나 데이터를 보관하는 파일의 일부분, 문제의 프로그램 전용 파일 하나 또는 여러 개의 조정 파일 (예 : 하나 이상의 모듈, 하위 프로그램 또는 부분을 저장하는 파일)에 저장될 수 있다. 하나의 컴퓨터 또는 한 사이트에서 여러 개의 컴퓨터에서 실행되거나 여러 사이트에 분산되고 통신 네트워크로 상호 연결되도록 컴퓨터 프로그램을 배포 할 수 있다.
본 명세서에서 설명되는 프로세스 및 논리 흐름은 입력 데이터를 조작하고 출력을 생성함으로써 기능을 수행하기 위해 하나 이상의 컴퓨터 프로그램을 실행하는 하나 이상의 프로그램 가능 프로세서에 의해 수행 될 수 있다. 프로세스 및 논리 흐름은 또한 FPGA(field programmable gate array) 또는 ASIC(application specific integrated circuit)과 같은 특수 목적 논리 회로에 의해 수행 될 수 있고, 장치는 또한 특수 목적 논리 회로로 구현 될 수 있다.
다수의 실시예들이 설명되었다. 그럼에도 불구하고, 다양한 변형들이 이루어질 수 있다는 것이 이해될 것이다. 따라서, 다른 실시예들이 다음의 청구항들의 범위 내에 있다.

Claims (15)

  1. 기판 에칭 시스템으로서,
    회전가능 스핀들;
    페이스-업(face-up) 배향으로 웨이퍼를 유지하기 위한 상기 회전가능 스핀들상의 지지부;
    상기 지지부 상의 상기 웨이퍼에 걸쳐 측방향으로 이동가능한 분주기 암 ― 상기 분주기 암은 상기 웨이퍼의 상단 면의 일부 상으로 액체 에천트를 선택적으로 분주하기 위한 전달 포트를 지지함 ―;
    광 소스, 검출기, 및 상기 광 소스로부터 상기 웨이퍼로 광을 운반하고, 상기 웨이퍼로부터 상기 검출기로 반사된 광을 운반하기 위한 광 섬유를 포함하는 광학 모니터링 시스템 ― 상기 광 섬유의 단부는, 상기 광 섬유의 단부가 상기 분주기 암과 함께 상기 지지부 상의 웨이퍼에 걸쳐 측방향으로 이동가능하도록, 상기 분주기 암에 고정됨 ―; 및
    제어기를 포함하고, 상기 제어기는:
    상기 광학 모니터링 시스템이 상기 웨이퍼 상의 복수의 상이한 반경 방향 위치들에서 측정들을 생성하도록, 상기 분주기 암이 상기 웨이퍼에 걸쳐 측방향으로 이동하게 하고,
    액체 에천트의 분주를 시작하기 전에, 상기 반사된 광의 크기(magnitude)을 이용하여 상기 웨이퍼의 기울어짐이 있는지 또는 상기 지지부 상에 배치되는 상기 웨이퍼가 오정렬되어 있는지, 또는 둘 모두를 결정하도록 구성되는,
    기판 에칭 시스템.
  2. 제1 항에 있어서,
    상기 제어기는 상기 웨이퍼가 기울어짐을 갖는지 여부를 결정하도록 구성되는,
    기판 에칭 시스템.
  3. 제2 항에 있어서,
    상기 제어기는 상기 반사된 광의 크기를 예상된 크기와 비교하도록 구성되는,
    기판 에칭 시스템.
  4. 제3 항에 있어서,
    상기 제어기는 상기 반사된 광의 크기가 예정된 임계값 만큼 상기 예상된 크기보다 작은 경우, 상기 웨이퍼가 기울어져 있다고 표시하도록 구성되는,
    기판 에칭 시스템.
  5. 제1 항에 있어서,
    상기 제어기는 상기 웨이퍼가 오정렬되어 있는지 여부를 결정하도록 구성되는,
    기판 에칭 시스템.
  6. 제5 항에 있어서,
    상기 제어기는 상기 웨이퍼의 2개의 대향 에지들로부터의 2개의 반사율 측정치들을 비교하도록 구성되는,
    기판 에칭 시스템.
  7. 제6 항에 있어서,
    상기 제어기는 상기 2개의 반사율 측정치들 사이의 차이가 예정된 임계값보다 큰 경우, 상기 웨이퍼가 오정렬되어 있다고 표시하도록 구성되는,
    기판 에칭 시스템.
  8. 제1 항에 있어서,
    상기 제어기는 부적절한 웨이퍼 타입이 상기 지지부 상에 배치되는지를 결정하도록 구성되는,
    기판 에칭 시스템.
  9. 제8 항에 있어서,
    상기 제어기는 반사율 측정치가 예상된 반사율의 범위 외부에 있는 경우, 상기 부적절한 웨이퍼 타입을 표시하도록 구성되는,
    기판 에칭 시스템.
  10. 제1 항에 있어서,
    상기 검출기는 분광계를 포함하며, 상기 제어기는 복수의 스펙트럼 측정으로부터 에칭 레이트를 결정하도록 구성되는,
    기판 에칭 시스템.
  11. 제10 항에 있어서,
    상기 제어기는 타겟 에칭 레이트로부터의 에칭 레이트의 변동을 검출하고, 그리고 상기 타겟 에칭 레이트로부터의 에칭 레이트의 변동을 감소시키기 위해 에천트 배스를 변경하라는 경보를 표시하는 것 또는 저수조 내의 상기 에천트 배스의 프로세싱 파라미터를 조정하는 것 중 적어도 하나를 수행하도록 구성되는,
    기판 에칭 시스템.
  12. 제11 항에 있어서,
    상기 프로세싱 파라미터는 에천트의 농도를 포함하는,
    기판 에칭 시스템.
  13. 기판 에칭 시스템으로서,
    회전가능 스핀들;
    페이스-업(face-up) 배향으로 웨이퍼를 유지하기 위한 상기 회전가능 스핀들상의 지지부;
    상기 지지부 상의 상기 웨이퍼에 걸쳐 측방향으로 이동가능한 분주기 암 ― 상기 분주기 암은 상기 웨이퍼의 상단 면의 일부 상으로 액체 에천트를 선택적으로 분주하기 위한 전달 포트를 지지함 ―;
    광 소스, 분광계, 및 상기 광 소스로부터 상기 웨이퍼로 광을 운반하고, 상기 웨이퍼로부터 상기 분광계로 반사된 광을 운반하기 위한 광 섬유를 포함하는 광학 모니터링 시스템 ― 상기 광 섬유의 단부는, 상기 광 섬유의 단부가 상기 분주기 암과 함께 상기 지지부 상의 웨이퍼에 걸쳐 측방향으로 이동가능하도록, 상기 전달 포트에 인접한 상기 분주기 암에 고정되고, 상기 광 섬유의 단부는 상기 웨이퍼의 표면에 실질적으로 수직으로 상기 웨이퍼 상에 광을 지향하도록 배향됨 ―; 및
    제어기를 포함하고, 상기 제어기는:
    상기 광학 모니터링 시스템이 상기 웨이퍼 상의 복수의 상이한 반경 방향 위치들에서 측정들을 생성하도록, 상기 분주기 암이 상기 웨이퍼에 걸쳐 측방향으로 이동하게 하고,
    상기 측정들의 시기들에 상기 암의 위치들에 기초하여 상기 측정들을 위한 구역들을 선택하고,
    상기 측정들로부터 각각의 구역에 대한 에칭 레이트를 결정하고,
    타겟 에칭 레이트 프로파일로부터의 상기 에칭 레이트의 변동들을 검출하고, 그리고 상기 타겟 에칭 레이트 프로파일로부터의 상기 에칭 레이트의 변동들을 감소시키기 위해, 상기 에천트의 유량 또는 포트의 체류 시간을 조정하도록 구성되는,
    기판 에칭 시스템.
  14. 제13 항에 있어서,
    바닥 및 상기 바닥으로부터 상방으로 연장하는 측벽을 포함하는 하우징을 포함하고, 상기 회전가능 스핀들은 상기 바닥을 관통해 연장하고, 상기 지지부는 상기 측벽의 상부 에지 아래에 그리고 상기 하우징에 의해 둘러싸인 볼륨내에 상기 웨이퍼를 유지하기 위해, 상기 하우징의 상기 바닥 위에 위치하고, 상기 전달 포트 및 상기 광 섬유의 단부는 상기 측벽의 상기 상부 에지 아래에 하방으로 연장하는,
    기판 에칭 시스템.
  15. 제13 항에 있어서,
    상기 제어기는:
    상기 구역 내의 반경 방향 위치들로부터의 측정들에 기초하여, 환상 구역들 중 각각의 구역에 대해 프로세싱 엔드포인트가 도달되었는지 여부를 결정하고, 그리고
    모든 구역들에 대해 엔드포인트가 도달된 것으로 결정할 시에, 상기 분주기가 상기 전달 포트로부터의 상기 액체 에천트의 분주를 중단하게 하도록 구성되는,
    기판 에칭 시스템.
KR1020227037888A 2016-05-06 2017-05-05 에칭 시스템을 위한 웨이퍼 프로파일링 KR20220149637A (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662332992P 2016-05-06 2016-05-06
US62/332,992 2016-05-06
US201762489329P 2017-04-24 2017-04-24
US62/489,329 2017-04-24
KR1020187035472A KR102498170B1 (ko) 2016-05-06 2017-05-05 에칭 시스템을 위한 웨이퍼 프로파일링
PCT/US2017/031302 WO2017192994A1 (en) 2016-05-06 2017-05-05 Wafer profiling for etching system

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020187035472A Division KR102498170B1 (ko) 2016-05-06 2017-05-05 에칭 시스템을 위한 웨이퍼 프로파일링

Publications (1)

Publication Number Publication Date
KR20220149637A true KR20220149637A (ko) 2022-11-08

Family

ID=60203689

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020187035472A KR102498170B1 (ko) 2016-05-06 2017-05-05 에칭 시스템을 위한 웨이퍼 프로파일링
KR1020227037888A KR20220149637A (ko) 2016-05-06 2017-05-05 에칭 시스템을 위한 웨이퍼 프로파일링

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020187035472A KR102498170B1 (ko) 2016-05-06 2017-05-05 에칭 시스템을 위한 웨이퍼 프로파일링

Country Status (5)

Country Link
US (2) US11501986B2 (ko)
KR (2) KR102498170B1 (ko)
CN (2) CN116631902A (ko)
TW (3) TWI821761B (ko)
WO (1) WO2017192994A1 (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3291008A1 (en) * 2016-09-06 2018-03-07 ASML Netherlands B.V. Method and apparatus to monitor a process apparatus
JP6910164B2 (ja) * 2017-03-01 2021-07-28 東京エレクトロン株式会社 基板処理装置および基板処理方法
US11756840B2 (en) * 2018-09-20 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Reflectance measurement system and method thereof
CN110931377B (zh) * 2018-09-20 2023-11-03 台湾积体电路制造股份有限公司 反射率测量系统与方法
JP7210367B2 (ja) * 2019-04-23 2023-01-23 株式会社ディスコ 厚み計測装置、及び厚み計測装置を備えた加工装置
CN113270316B (zh) * 2021-05-20 2023-02-10 惠科股份有限公司 一种待刻蚀基板的蚀刻方法和蚀刻机台
US11965798B2 (en) * 2021-06-10 2024-04-23 Applied Materials, Inc. Endpoint detection system for enhanced spectral data collection
US11901203B2 (en) 2021-06-10 2024-02-13 Applied Materials, Inc. Substrate process endpoint detection using machine learning
US20230009031A1 (en) * 2021-07-08 2023-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. End Point Control in Etching Processes

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5853483A (en) * 1995-05-02 1998-12-29 Dainippon Screen Mfg. Co., Ltd. Substrate spin treating method and apparatus
KR19980045907A (ko) * 1996-12-11 1998-09-15 문정환 에치 종말점 검출장치
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
KR100948220B1 (ko) * 2002-03-19 2010-03-18 도쿄엘렉트론가부시키가이샤 도포처리방법 및 도포처리장치
KR100452918B1 (ko) * 2002-04-12 2004-10-14 한국디엔에스 주식회사 두께측정시스템이 구비된 회전식각장치
US7144459B2 (en) 2002-07-19 2006-12-05 Semitool, Inc. Centrifugal swing arm spray processor
US20040139985A1 (en) * 2003-01-22 2004-07-22 Applied Materials, Inc. Rate monitor for wet wafer cleaning
JP2005114461A (ja) * 2003-10-06 2005-04-28 Hitachi Industries Co Ltd 薄膜厚さ測定方法及び装置
US7514277B2 (en) * 2004-09-14 2009-04-07 Tokyo Electron Limited Etching method and apparatus
KR100716935B1 (ko) * 2005-11-25 2007-05-14 두산디앤디 주식회사 반도체 웨이퍼의 화학기계적 연마장치용 로딩디바이스
JP4835175B2 (ja) 2006-01-31 2011-12-14 株式会社Sumco ウェーハの枚葉式エッチング方法
US7998358B2 (en) * 2006-10-31 2011-08-16 Applied Materials, Inc. Peak-based endpointing for chemical mechanical polishing
JP2008251806A (ja) * 2007-03-30 2008-10-16 Sumco Corp ウェーハの枚葉式エッチング方法及びそのエッチング装置
JP4988616B2 (ja) * 2007-08-21 2012-08-01 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
DE102010015944B4 (de) * 2010-01-14 2016-07-28 Dusemund Pte. Ltd. Dünnungsvorrichtung mit einer Nassätzeinrichtung und einer Überwachungsvorrichtung sowie Verfahren für ein in-situ Messen von Waferdicken zum Überwachen eines Dünnens von Halbleiterwafern
US9997379B2 (en) * 2010-11-30 2018-06-12 Lam Research Ag Method and apparatus for wafer wet processing
US9698062B2 (en) 2013-02-28 2017-07-04 Veeco Precision Surface Processing Llc System and method for performing a wet etching process
JP6289961B2 (ja) * 2014-03-27 2018-03-07 芝浦メカトロニクス株式会社 基板処理装置及び基板処理方法
WO2016070036A1 (en) * 2014-10-31 2016-05-06 Veeco Precision Surface Processing Llc A system and method for performing a wet etching process
US9870928B2 (en) * 2014-10-31 2018-01-16 Veeco Precision Surface Processing Llc System and method for updating an arm scan profile through a graphical user interface

Also Published As

Publication number Publication date
TWI821761B (zh) 2023-11-11
US11501986B2 (en) 2022-11-15
CN109075058A (zh) 2018-12-21
US20230065641A1 (en) 2023-03-02
TW202205434A (zh) 2022-02-01
TW202403940A (zh) 2024-01-16
US20170323806A1 (en) 2017-11-09
WO2017192994A1 (en) 2017-11-09
CN109075058B (zh) 2023-07-21
KR20180133939A (ko) 2018-12-17
TW201740463A (zh) 2017-11-16
CN116631902A (zh) 2023-08-22
TWI746548B (zh) 2021-11-21
KR102498170B1 (ko) 2023-02-08

Similar Documents

Publication Publication Date Title
KR102498170B1 (ko) 에칭 시스템을 위한 웨이퍼 프로파일링
US8257546B2 (en) Method and system for monitoring an etch process
KR101011051B1 (ko) 스펙트럼들의 라이브러리를 생성하기 위한 방법과 장치 및높은 수율 측정 시스템
US9999955B2 (en) Polishing apparatus and polished-state monitoring method
US6390019B1 (en) Chamber having improved process monitoring window
US9240359B2 (en) 3D NAND staircase CD control by using interferometric endpoint detection
US7614932B2 (en) Method and system for endpoint detection
EP1416247A1 (en) Interferometric endpoint determination in a substrate etching process
JP2008112965A (ja) 均一なエッチング速度分布の陰極を備えたマスクエッチングプラズマリアクタ
WO2009131892A2 (en) Methods and apparatus for measuring substrate edge thickness during polishing
US6547458B1 (en) Optimized optical system design for endpoint detection
US9059038B2 (en) System for in-situ film stack measurement during etching and etch control method
US6905624B2 (en) Interferometric endpoint detection in a substrate etching process
US20180057935A1 (en) Endpoint detection for a chamber cleaning process
KR100525218B1 (ko) 플라즈마 에칭 반응기의 플라즈마 챔버의 측벽 박리를검출하는 장치 및 방법
KR20210124366A (ko) 웨이퍼를 프로세싱하기 위한 장치 및 이러한 장치를 제어하는 방법
KR20200112682A (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
KR20070044531A (ko) 반도체 식각설비의 이피디케이블 연결감지장치

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
E902 Notification of reason for refusal