TWI821253B - 半導體裝置與其形成方法 - Google Patents

半導體裝置與其形成方法 Download PDF

Info

Publication number
TWI821253B
TWI821253B TW108108360A TW108108360A TWI821253B TW I821253 B TWI821253 B TW I821253B TW 108108360 A TW108108360 A TW 108108360A TW 108108360 A TW108108360 A TW 108108360A TW I821253 B TWI821253 B TW I821253B
Authority
TW
Taiwan
Prior art keywords
group
gate
gate structures
conductive contacts
metal lines
Prior art date
Application number
TW108108360A
Other languages
English (en)
Other versions
TW202002288A (zh
Inventor
林傑峯
楊筱嵐
林志勇
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202002288A publication Critical patent/TW202002288A/zh
Application granted granted Critical
Publication of TWI821253B publication Critical patent/TWI821253B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

第一閘極結構與第二閘極結構各自在第一方向中延伸。第一導電接點與第二導電接點各自在第一方向中延伸,且第一導電接點與第二導電接點以及第一閘極結構與第二閘極結構在第二方向中分開。第一隔離結構在第二方向中延伸,並分開第一閘極結構與第二閘極結構。第二隔離結構在第二方向中延伸,並分開第一導電接點與第二導電接點。第一閘極結構電性耦接至第一電性節點。第二閘極結構電性耦接至第二電性節點,且第一電性節點與第二電性節點不同。第一導電接點電性耦接至第二電性節點。第二導電接點電性耦接至第一電性節點。

Description

半導體裝置與其形成方法
本發明實施例關於採用鰭狀場效電晶體製作中的多種構件,以實施積體電路上的電容。
半導體積體電路產業已經歷快速成長。積體電路材料與設計的技術進展,使每一代的積體電路比前一代的積體電路具有更小更複雜的電路。然而這些進展亦增加形成與處理積體電路的複雜性。為實現此進展,在形成與處理積體電路時亦需類似發展。在積體電路的演進中,功能密度(如單位晶片面積的內連線裝置數目)通常隨著構件的幾何尺寸(如製程所能產生的最小構件)縮小而增加。
電容可形成於積體電路晶片上。然而習知形成電容於積體電路晶片上的方法,需要多餘的晶片面積,即降低單位面積中的電容密度。如此一,無法最佳化裝置效能。
因此現有的積體電路上電容與其製作方法通常符合預期目的,而不完全適用於每一方面。
本發明一實施例提供之半導體裝置,包括:第一閘極結構與第二閘極結構,各自在第一方向中延伸;第一導電接點與第二導電接點,各自在第 一方向中延伸,其中第一導電接點與第二導電接點以及第一閘極結構該第二閘極結構在第二方向中分開,且第一方向與第二方向不同;第一隔離結構,在第二方向中延伸,其中第一隔離結構分開第一閘極結構與第二閘極結構;以及第二隔離結構,在第二方向中延伸,其中第二隔離結構分開第一導電接點與第二導電接點;其中第一閘極結構電性耦接至第一電性節點;第二閘極結構電性耦接至第二電性節點,且第一電性節點與第二電性節點不同;第一導電接點電性耦接至第二電性節點;以及第二導電接點電性耦接至第一電性節點。
本發明一實施例提供之裝置包括:介電結構;多個閘極結構位於介電結構上,其中閘極結構各自在第一方向中延伸;多個導電接點位於介電結構上,其中導電接點各自在第一方向中延伸;層間介電層位於介電結構上並在第二方向中位於導電接點與閘極結構之間,且第一方向與第二方向不同;多個第一隔離結構位於相鄰的閘極結構之間,以在第一方向中分開相鄰的閘極結構;多個第二隔離結構位於相鄰的導電接點之間,以在第一方向中分開相鄰的導電接點;多個第一金屬線路電性內連線至第一組的閘極結構與第一組的導電接點;以及多個第二金屬線路電性內連線至第二組的閘極結構與第二組的導電接點,其中第一金屬線路與第二金屬線路在第一方向中交錯;第一組的閘極結構與第二組的閘極結構在第一方向中交錯;以及第一組的導電接點與第二組的導電接點在第一方向中交錯。
本發明一實施例提供之方法包括:形成在第一方向中各自延伸的多個閘極結構於介電結構上;形成在第一方向中各自延伸的多個導電接點於介電結構上,其中閘極結構與導電接點在第二方向中彼此分開,且第一方向與第二方向不同;蝕刻溝槽於每一閘極結構的一部份中;將一或多種介電材料填入溝槽;形成一或多個通孔於每一閘極結構的保留部份與每一導電接點上;形成多個金屬線路於通孔上,且金屬線路經由個別的通孔電性內連線至閘極結構或 導電接點,其中進行形成通孔與形成金屬線路的步驟,使第一組金屬線路與第一電性節點相關;第二組金屬線路與第二電性節點相關,且第一電性節點與第二電性節點不同;第一組金屬線路電性內連線至第一組閘極結構與第一組導電接點;以及第二組金屬線路電性內連線至第二組閘極結構與第二組導電接點。
A-A’:剖線
LG:長度
M-M’、N-N’:切線
tox:厚度
Wfin:鰭狀物寬度
50、100、100A、100B、100C:鰭狀場效電晶體裝置
60:閘極
60A:閘極構件
60B:閘極介電層構件
70:源極
80:汲極
120:介電隔離結構
150A、150B:鰭狀結構
200、200A、200B、410、411、412、413、414、415、416、417、418、419、420、421:閘極結構
210、210A、210B:閘極介電層
220、220A、220B:功函數金屬構件
230、230A、230B:充填金屬構件
250A、250B:源極/汲極區
260:蝕刻製程
270:溝槽
275:橫向尺寸
280:製程
290:氣隙
300、500、501:隔離結構
400:選定區域
425:層間介電層
430、431、432、433、434、435、436、437、438、439、440、441、442、443、444:導電接點
510、511、512、513、514、515、516、517:切割金屬閘極結構
530、531、532、533、534、535、536、537、538、539:切割槽接點結構
550、551、552、553、554、555、556、557、558:金屬線路
570、571、572、573、574、575、576、577、578、579、580、581、582、583、584、585、586、587、588、589、590、591、592、593、594、595、596、597、598、599、600、601、602、603、604、605、606、607、608、609、610、611:通孔
650、651、652:單位
700、701、702、703、704、705、706、707、708、709、710、711、712、713、714:電容
900:方法
910、920、930、940、950、960:步驟
圖1係一例中,鰭狀場效電晶體裝置的透視圖。
圖2係本發明多種實施例中,鰭狀場效電晶體張置的部份上視圖。
圖3至6係本發明多種實施例中,鰭狀場效電晶體裝置的一部份於不同製作階段的剖視圖。
圖7係本發明多種實施例中,電容形成其上之鰭狀場效電晶體裝置的部份上視圖。
圖8與9係本發明多種實施例中,圖7所示的鰭狀場效電晶體裝置的一部份之剖視圖。
圖10係本發明多種實施例中,圖7所示的鰭狀場效電晶體裝置的一區域之三維透視圖。
圖11係本發明實施例中,製作鰭狀場效電晶體裝置的方法之流程圖。
應理解的是,下述內容提供的不同實施例或實例可實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。另一方面,本發明之 多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。此外,可由不同比例任意繪示多種結構,使圖式簡化與清楚。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
此外,當數值或數值範圍的描述有「約」、「近似」、或類似用語時,除非特別說明否則其包含所述數值的+/- 10%。舉例來說,用語「約5nm」包含的尺寸範圍介於4.5nm至5.5nm之間。
本發明實施例屬於以積體電路製作方法產生電容。在本發明多種實施例中,可由鰭狀場效電晶體中多種微電子構件的製作方法,形成單位面積中密度增進的多個電容。然而可以理解的是,除非申請專利範圍特別限定,否則實施例的應用不只侷限於特定種類的裝置。
舉例來說,鰭狀場效電晶體裝置可為互補式金氧半裝置,其包含p型金氧半鰭狀場效電晶體裝置與n型金氧半鰭狀場效電晶體裝置。在半導體產業中,鰭狀場效電晶體裝置的應用越來越普及。圖1顯示一例中,鰭狀場效電晶體裝置50的透視圖。鰭狀場效電晶體裝置50為非平面的多閘極電晶體,其建立於基板(如基體基板)上。薄的含矽鰭狀結構,形成鰭狀場效電晶體裝置50的主體。鰭狀物具有鰭狀物寬度Wfin。鰭狀場效電晶體裝置50的閘極60圍繞鰭狀物周圍。閘極60具有長度LG(或寬度,端視角度而定)。閘極60可包含閘極構件60A與閘極介電層構件60B。閘極介電層構件60B具有厚度tox。閘極60的一部份位於介電隔離結構如淺溝槽隔離上。鰭狀場效電晶體裝置50的源極70與汲極80形成於閘極 60之兩側上的鰭狀物之延伸物中。
與傳統的金氧半場效電晶體裝置(又稱作平面電晶體裝置)相較,鰭狀場效電晶體裝置提供多種優點。這些優點可包含較有效的晶面區域、改良載子移動率、以及製程可與平面裝置的製程相容。因此需設計採用鰭狀場效電晶體裝置的積體電路,以用於部份或全部的積體電路晶片。
圖2係鰭狀場效電晶體裝置100的部份上視圖,其包含功能電晶體構件如閘極與源極/汲極區。如圖2所示,製作鰭狀場效電晶體裝置100於基板上。在一些實施例中,基板包含半導體材料如矽。在另一實施例中,其他合適材料亦可用於基板。半導體層可形成於基板上。在一實施例中,半導體層包含結晶矽材。在其他實施例中,半導體可包含矽鍺。可進行佈植製程(如反擊穿佈植製程),以佈植多個摻質離子至半導體層中。在一些實施例中,摻質離子可包含n型材料如砷或磷。在其他實施例中,摻質離子可包含p型材料如硼。摻質離子的種類端視所需的裝置為n型金氧半或p型金氧半裝置。為了說明目的,鰭狀場效電晶體裝置100至少包含鰭狀場效電晶體裝置100A與鰭狀場效電晶體裝置100B。鰭狀場效電晶體裝置100A與鰭狀場效電晶體裝置100B可均為n型場效電晶體或p型場效電晶體,或者各自為n型場效電晶體與p型場效電晶體。
介電隔離結構120如淺溝槽隔離形成於半導體層的部份上。鰭狀場效電晶體裝置100亦包含多個鰭狀結構,比如屬於鰭狀場效電晶體裝置100A的鰭狀結構150A,以及屬於鰭狀場效電晶體裝置100B的鰭狀結構150B。鰭狀結構150A與150B可沿著Z方向向上凸起,而Z方向垂直於圖2所示之X方向與Y方向定義的平面。在多種實施例中,鰭狀結構150A或150B可包含但不限於矽、矽鍺、鍺、III-V族半導體化合物、或石墨烯。如圖2的上視圖所示,鰭狀結構150A與150B各自為沿著X方向(與圖1所示的X方向相同)延伸的長形結構。
閘極結構200形成於鰭狀結構150A與150B上(或部份地包覆鰭狀 結構周圍)。閘極結構200包含鰭狀場效電晶體裝置100A所用的閘極結構200A,以及鰭狀場效電晶體裝置100B所用的閘極結夠200B。閘極結構200A與200B各自延伸於圖2的Y方向中(比如與圖1所示的Y方向相同)。
在一些實施例中,閘極結構200為高介電常數的介電層與金屬閘極的結構。高介電常數的介電層與金屬閘極的結構之形成方法可為閘極置換製程,其中虛置閘極介電層與虛置閘極被取代為高介電常數的閘極介電層與金屬閘極。高介電常數的介電材料其介電常數,大於氧化矽的介電常數(近似4)。在一實施例中,高介電常數的閘極介電層包含氧化鉿,其介電常數介於近似18至近似40之間。在其他實施例中,高介電常數的閘極介電層可包含氧化鋯、氧化釔、氧化鑭、氧化釓、氧化鈦、氧化鉭、氧化鉿鉺、氧化鉿鑭、氧化鉿釔、氧化鉿釓、氧化鉿鋁、氧化鉿鋯、氧化鉿鈦、氧化鉿鉭、或氧化鍶鈦。
金屬閘極可包含功含數金屬構件與充填金屬構件。功函數金屬構件設置為調整其對應的鰭狀場效電晶體之功函數,以達所需的臨界電壓。在多種實施例中,功函數金屬構件可包含鈦鋁、氮化鈦鋁、碳氮化鉭、氮化鈦、氮化鎢、鎢、或上述之組合。充填金屬構件設置以作為功能閘極的主要導電部份。在多種實施例中,充填金屬構件可含鋁、鎢、銅、或上述之組合。
鰭狀場效電晶體裝置100亦包含源極/汲極區,比如鰭狀場效電晶體裝置100A所用的源極/汲極區250A與鰭狀場效電晶體裝置100B所用的源極/汲極區250B。源極/汲極區250A與250B的形成方法可各字為一或多道磊晶製程,因此其可為磊晶成長的結構。在多種實施例中,源極/汲極區250A或250B可包含但不限於矽、磷化矽、砷化矽、矽鍺、鍺、III-V族半導體化合物、或石墨烯。
層間介電層可形成於介電隔離結構120上,並形成於鰭狀結構150A與150B的部份上。在一些實施例中,層間介電層可包含低介電常數的介電材料。在一些其他實施例中,層間介電層可包含氧化矽。層間介電層的形成方 法可為合適的沉積製程,之後進行平坦化製程如化學機械研磨,以平坦化層間介電層的上表面。
鰭狀場效電晶體裝置100亦包含隔離結構300。如圖2的上視圖所示,隔離結構300為長形,且在X方向(比如與圖1所示的X方向相同)中延伸。由於隔離結構300位於鰭狀場效電晶體裝置100A與100B之間,其可電性隔離鰭狀場效電晶體裝置100A與100B,比如電性隔離閘極結構200A與200B。閘極結構200A與200B之間的良好電性隔離,會使鰭狀場效電晶體裝置100A與100B之間的噪音或干擾降低,進而改良鰭狀場效電晶體裝置100的整體效能。
可以理解的是,在形成隔離結構300之前,每一組閘極結構200A與200B在Y方向中為連續結構(比如接在一起)。舉例來說,每一組閘極結構200A與200B可為閘極結構的單一部份,之後可蝕刻開口或溝槽於每一連續的閘極結構中,再將電性絕緣材料如介電材料填入蝕刻的開口或溝槽中,以形成隔離結構300。由於每一隔離結構300的形成方法為切穿連續的閘極結構,隔離結構300之後可改稱作切割金屬閘極結構。相反地,在形成閘極結構(如高介電常數的閘極介電層與金屬閘極結構)之前,即形成習知的隔離結構(用於提供相鄰的閘極結構之間的電性隔離)。由於習知方法與本發明實施例形成閘極隔離結構的順序不同,與習知的鰭狀場效電晶體裝置相較,本發明實施例可改良腳位或增加單位面積之結構密度。
為方便理解本發明實施例(比如採用隔離結構以實施高密度電容),將搭配圖3至6大致說明隔離結構300的形成方法如下。在此考量下,圖3至6為不同製作階段中的鰭狀場效電晶體裝置100之部份剖視圖,且剖面沿著圖2中的剖線A-A’。
如圖3所示,鰭狀結構150A與150B在Z方向中向上凸起出介電隔離結構120(如淺溝槽隔離)。閘極結構200形成於鰭狀結構150A與150B上,比如部 份地包覆鰭狀結構150A與150B周圍。閘極結構200包含閘極介電層210。在一些實施例中,閘極介電層210可包含上述的高介電常數介電材料。閘極結構200亦包含閘極形成於閘極介電層210上。閘極包含功函數金屬構件220與充填金屬構件230。如上所述,功函數金屬構件220設置為調整對應電晶體的功函數,而充填金屬構件230設置為閘極的主要導電部份。
可以理解的是,界面層可形成於閘極介電層210與鰭狀結構150A及150B之間。然而為簡化圖式,並未繪示界面層。亦應理解的是,層間介電層圍繞或埋置閘極結構200。然而剖線A-A’的位置,因此無法在圖3的剖面圖中直接看到層間介電層。在圖3所示的製作階段中,閘極結構200在Y方向中為連續結構且未被切割。
如圖4所示,可進行一或多道蝕刻製程260以蝕刻開口或溝槽270。溝槽270在Z方向中垂直延伸穿過閘極結構200,包含穿過充填金屬構件230、功函數金屬構件220、與閘極介電層210。溝槽270將連續的閘極結構200切割成兩個不同部份:包含閘極介電層210A、功函數金屬構件220A、與充填金屬構件230A的一部份,以及包含閘極介電層210B、功函數金屬構件220B、與充填金屬構件230B的另一部份。溝槽270具有橫向尺寸275(在Y方向中量測)。在一些實施例中,上述橫向尺寸275小,比如介於約20nm至約30nm之間。溝槽的橫向尺寸275小可減少晶片面積,或增加每一單位面積的結構密度。
如圖5所示,可進行一或多道沉積與研磨製程,以形成隔離結構300於溝槽270中。舉例來說,先進行沉積製程以沉積介電材料至溝槽270中。在一些實施例中,介電材料具有良好的填隙或填凹陷特性。因此沉積的介電材料仍可有效地填入溝槽270,即使溝槽的橫向尺寸275小。在一些實施例中,介電材料的沉積方法可採用原子層沉積製程,其沉積速率慢但可提供良好的填隙效能。在其他實施例中,介電材料的沉積方法可採用電漿增強化學氣相沉積製程。 製程280的一部份接著可進行一或多道研磨製程如化學機械研磨製程,以平坦化沉積的介電材料之上表面,進而形成隔離結構300。
在一些實施例中,隔離結構300可包含單一型態的介電材料如氧化矽、低介電常數的氧化物、或氧化鋁。值得注意的是,即使在隔離結構300包含單一型態的介電材料時,其物理性質仍可不同於其他構件所包含之相同型態的介電材料,因為形成其他構件所採用的製程不同。舉例來說,一些實施例的淺溝槽隔離(如介電隔離結構120)可包含氧化矽,而隔離結構300亦可包含氧化矽。然而淺溝槽隔離的氧化矽之形成方法可為可流動的化學氣相沉積,其與形成隔離結構300的氧化矽所用的電漿增強化學氣相沉積或原子層沉積不同。如此一來,淺溝槽隔離的氧化矽與隔離結構300的氧化矽,可具有不同特性如密度或蝕刻速率。
在一些實施例中,形成隔離結構300的沉積製程可包含多個製程,以沉積多個不同層狀物/材料至溝槽270中。舉例來說,隔離結構300可包含多層或多堆疊結構,其具有多個相疊的層狀物。由於可設置多堆疊結構中每一層的組成與厚度,因此可特別設置隔離結構300的整體介電常數值以最佳化隔離結構300的功能,其可提供相鄰的閘極結構200A與200B之間的電性隔離。
在多堆疊結構的一例中,隔離結構300可包含第一層與沉積在第一層上的第二層,其中第一層與第二層具有不同材料組成。在一些實施例中,第一層可包含氧化矽、氮氧化矽、碳氮氧化矽、碳氮化矽、氮化矽、或上述之組合,且第二層可包含氧化矽、碳化矽、或上述之組合。第一層可具有良好的填隙效能如前述,而第二層不需考量填隙效能,因為第一層實質上已填滿溝槽270。在一些實施例中,第二層的目的為提供平坦或光滑的上表面。因此第二層可為成本較低的材料,且其沉積製程非高成本或耗時的製程如原子層沉積製程。舉例來說,沉積第二層於第一層上的方法可採用製程如化學氣相沉積,比如電 漿增強化學氣相沉積製程。在一些實施例中,沉積第二層可保留一或多個縫或氣隙290於隔離結構300中,如圖6所示。由於空氣具有低介電常數,一或多個氣隙290的存在可改善隔離結構300的低介電常數性質。
隔離結構300與其形成方法的額外細節可參考美國專利申請案15/941,137,其申請日為2018年3月30日,名稱為”An Isolation Structure Having Different Distances to Adjacent FinFET Devices”。可以理解的是一些實施例中,隔離結構300(或與隔離結構300類似的方法所形成的另一隔離結構)亦可作為相鄰的導電接點之間的電性隔離。換言之,可切穿連續的導電接點線路並填入一或多種介電材料,以形成「切割槽接點結構」。然而在其他實施例中,切割槽接點結構可單純包含圍繞導電接點的層間介電層材料,且不會特別切開導電接點並填入介電材料以形成切割槽接點結構。
依據本發明多種實施例,切割金屬閘極結構與切割槽接點結構可用於形成緊密堆疊的電容裝置,其將搭配圖7至10詳述如下。在此考量下,圖7顯示鰭狀場效電晶體裝置100之另一部份(之後稱作鰭狀場效電晶體裝置100C)的部份上視圖,其包含切割金屬閘極結構與切割槽接點結構。圖8係鰭狀場效電晶體裝置100C沿著切線M-M’的剖視圖,圖9係鰭狀場效電晶體裝置沿著切線N-N’的另一剖視圖,而圖10係鰭狀場效電晶體裝置100C的選定區域400(以虛線框示其輪廓)之三維透視圖。
如圖7所示,鰭狀場效電晶體裝置100C的部份包含多個閘極結構(如閘極結構410至421),其各自於Y方向中延伸為長形態樣。閘極結構410至412、413至415、416至418、與419至421在X方向中,彼此之間亦可隔有層間介電層425的部份。閘極結構410至421的形成方法,可與形成閘極結構200所用的製作製程相同。舉例來說,閘極結構410至421的形成方法可為置換閘極製程,且可各自包含高介電常數的閘極介電層與金屬閘極。在一些實施例中,閘極結構410 至421各自的橫向尺寸(在X方向中量測),可介於約10nm至約30nm之間。然而閘極結構410至421形成於隔離區(比如下述的虛置鰭狀物或淺溝槽隔離)上,因此閘極結構410至421可能不會包覆鰭狀物周圍。因此應理解的是,閘極結構410至421不必與圖1至6相關的上述閘極結構相同,但可採用與圖1至6相關的上述閘極結構之製作流程形成閘極結構410至421,以實現本發明實施例之下述電容結構。
鰭狀場效電晶體裝置100C的部份亦包含多個導電接點(如導電接點430至444),其各自於Y方向中延伸為長形態樣。導電接點430至432、433至435、436至438、439至441、與442至444在X方向中,彼此之間亦可隔有層間介電層425的部份。導電接點430至444的形成方法,可採用與源極/汲極區(比如圖2所示之源極/汲極區250A或250B)所用的導電接點之形成方法相同的製作製程。在多種實施例中,導電接點430至444可包含導電材料如銅、鋁、鎢、或上述之組合及/或合金。在一些實施例中,導電接點430至444各自的橫向尺寸(在X方向中量測),可介於約20nm至約40nm之間。在一些實施例中,每一對相鄰的閘極結構與導電接點(比如閘極結構419與導電接點439)之間的間隔(在X方向中量測),可介於約15nm至約35nm之間。
在本發明多種實施例中,閘極結構410至421與導電接點430至444位於電性絕緣結構上,比如位於圖8至10所示的介電隔離結構120(如淺溝槽隔離)或另一合適的介電材料上,或者位於淺溝槽隔離上的虛置鰭狀物(亦稱作混合鰭狀物)上。舉例來說,虛置鰭狀物可包含氮化物材料或高介電常數的介電材料。如圖10所示,每一導電接點430至444的部份可部份地向下(在Z方向中)延伸至介電隔離結構120中。
鰭狀場效電晶體裝置100C的部份更包含隔離結構500與501,其沿著X方向延伸為長形態樣。隔離結構500位於閘極結構410與411、413與414、416與417、以及419與420之間,並位於導電接點430與431、433與434、436與437、 439與440、以及442與443之間。隔離結構501位於閘極結構411與412、414與415、417與418、以及420與421之間,並位於導電接點431與432、434與435、437與438、440與441、以及443與444之間。
可以理解的是,雖然圖式中的隔離結構500與501各自為連續結構,其實際上可不連續且包含多個不同的隔離結構。舉例來說,隔離結構500可包含切割金屬閘極結構510至513,而隔離結構501可包含切割金屬閘極結構514至517。切割金屬閘極結構510至517可為前述的隔離結構300之例子,且其形成方法可與搭配圖3至6說明的上述製程類似。換言之,切割金屬閘極結構510至517切穿原本連續的閘極線路,因此分別隔開並電性隔離閘極結構410與411、413與414、416與417、419與420、411與412、414與415、417與418、以及420與421。
隔離結構500亦可包含切割槽接點結構530至534,且隔離結構501可包含切割槽結構535至539。在一些實施例中,切割槽接點結構530至539可包含圍繞導電接點430至444的層間介電層材料。換言之,在形成導電接點430至444時,即形成切割槽接點結構530至539,比如圍繞導電接點的層間介電層材料。然而在一些實施例中,切割槽接點結構530至539的形成方法亦可為蝕刻開口至連續的導電接點線路中,以切斷連續的導電接點線路,並將介電材料填入開口。上述形成方法與切割金屬閘極結構510至517的形成方法類似。
切割金屬閘極結構510至517與切割槽接點結構530至539可具有不同的材料組成。舉例來說,切割槽接點結構539至539可包含與層間介電層相同的材料(比如低介電常數的介電層),而一些實施例之切割金屬閘極結構510至517可包含氮化矽或氧化矽,且其他實施例之切割金屬閘極結構510至517可包含多種不同材料。亦應理解的是,切割金屬閘極結構510至517與切割槽接點結構530至539在X方向及/或Y方向中可具有不同尺寸,且彼此之間可對不準。此外,切割金屬閘極結構510至517在X方向上不必與切割槽接點結構530至539鄰接。
鰭狀場效電晶體裝置100C亦包含多個金屬線路550至558。如圖7所示,金屬線路550至552位於閘極結構410、413、416、與419上,並位於導電接點430、433、436、439、與442上。金屬線路553至555位於閘極結構411、414、417、與420上,並位於導電接點431、434、437、440、與443上。金屬線路556至558位於閘極結構412、415、418、與421上,並位於導電接點432、435、438、441、與444上。
金屬線路550至558為多層的內連線結構之金屬線路。舉例來說,金屬線路550至558可為金屬0(M0)的內連線層之金屬線路。在多種實施例中,金屬線路550至558可包含導電材料如銅、鋁、鎢、及/或上述之組合及/或合金。
金屬線路550至558的排列為交錯設置。具體而言,第一組金屬線路550、552、554、556、與558各自電性連接至電性「高」節點,而第二組的金屬線路551、553、555、與557電性連接至電性「低」節點。在一些實施例中,「高」節點與「低」節點表示不同組的電極,其可為任何電位(只要「高」節點與「低」節點之間的電壓不同即可),端視應用而定,只要能通過可信度需求。舉例來說,一實施例可施加電性「高」訊號(如第一電壓)至「高」節點,並可施加電性「低」訊號(如電性接地或第二電壓,且第二電壓低於第一電壓)至「低」節點。
閘極結構410至421與導電接點430至444經由多個通孔570至611,電性耦接至金屬線路550至558。舉例來說,導電接點430、433、436、439、與442分別經由通孔570至574電性連接至金屬線路550,並分別經由通孔575至579電性連接至金屬線路552。導電接點431、434、437、440、與443分別經由通孔580至584電性連接至金屬線路553,並分別經由通孔585至589電性連接至金屬線路555。導電接點432、435、438、441、與444分別經由通孔590至594電性連接至金屬線路556,並分別經由通孔595至599電性連接至金屬線路558。與此同時 ,閘極結構410、413、416、與419經由通孔600至603電性連接至金屬線路551。閘極結構411、414、417、與420經由通孔604至607電性連接至金屬線路554。閘極結構412、415、418、與421經由通孔608至611電性連接至金屬線路557。
依據上述內容,可知閘極結構410至421與導電接點430至444以交錯設置電性連接至「高」節點與「低」節點,其為鰭狀場效電晶體裝置100C的獨特性質之一。舉例來說,圖7所示的鰭狀場效電晶體裝置100C的部份包含單位650、與單位650相鄰的單位651(在Y方向中處於單位650下方)、以及與單位651相鄰的單位652(在Y方向中處於單位651下方)。對單位650而言,「高」節點電性連接至導電接點430、433、436、439、與442,而「低」節點電性連接至閘極結構410、413、416、與419。然而對單位651而言,「低」節點電性連接至導電接點431、434、437、440、與443,而「高」節點電性連接至閘極結構411、414、417、與420。
換言之,「高」節點連接至一單位中的導電接點但連接至相鄰單位中的閘極結構,而「低」節點連接至一單位中的閘極結構但連接至相鄰單位中的導電接點。此交錯圖案可重複多次。舉例來說,單位652(未圖別圖示其隔離結構以簡化圖式)可與單位650具有相同的電性設置。應理解的是,與單位651具有相同設置的另一單位,亦可在Y方向中處於單位652下。在此態樣中,鰭狀場效電晶體100C可在Y方向中擴展。同樣地,鰭狀場效電晶體裝置100C亦可在X方向中擴展,而閘極結構與導電接點的交錯設置,以及閘極結構與導電接點連接至其上的金屬線路亦可在X方向中重複。
本發明實施例之閘極結構與導電接點至「高」節點與「低」節點的交錯電性線路設置,可提供高密度的電容,其可讓電容形成於X方向與Y方向中。上述結構的細節將進一步圖示於圖8與9的剖視圖以及圖10的三維透視圖中。如10所示的例子,形成多個電容700至712。電容711與類似形成的電容713圖 示於圖8中。電容710與類似形成的電容714亦圖示於圖9中。一些電容710至714可由導電接點、相鄰的閘極結構、與上述兩者之間的介電材料所形成,而一些其他電容可由相鄰的導電接點與位於導電接點之間的介電材料所形成,或由相鄰的閘極結構與位於閘極結構之間的介電材料所形成。
如圖8與10所示的例子,相鄰的閘極結構419與420及閘極結構419與420之間的切割金屬閘極結構513定義電容711。切割金屬閘極結構圖示於圖8中,但未圖示於圖10中以簡化圖式。如圖7所示,電容711的一末端(閘極結構419)電性連接至「低」節點,而電容711的另一末端(閘極結構420)電性連接至「高」節點。閘極結構419與420電性連接至對向的電性節點(沿著位於電性節點之間的介電材料如切割金屬閘極結構513),以產生所需的寄生電容效應,進而形成電容711。若相鄰的閘極結構419與420未連接至不同電性節點(節點之間具有電位),則不會形成電容(至少不會形成所需電容)。
同樣地,圖9與10所示的電容係由相鄰的導電接點439與440與位於導電接點439與440之間的切割槽接點結構533所定義。切割槽接點結構533圖示於圖9中,但未圖示於圖10中以簡化圖式。如圖7所示,電容710的一末端(導電接點439)電性連接至「高」節點,而電容710的另一末端(導電接點440)電性連接至「低」節點。導電接點439至440電性連接至對向的電性節點(沿著位於電性節點之間的介電材料如切割槽接點結構533),以產生所需的寄生電容效應,進而形成電容710。若相鄰的導電接點439至440未連接至不同的電性節點(節點之間具有電位),則不會形成電容(至少不會形成所需電容)。
除了定義電容(如電容710與711)於Y方向中,鰭狀場效電晶體裝置100C亦定義電容於X方向中。如圖10所示的例子,相鄰之導電接點與閘極結構對可定義電容700至707於X方向中。以電容700為為例但不限於此,導電接點436、相鄰的閘極結構416、與上述兩者之間的層間介電層425之部份可定義電容700 。電容700的一末端(導電接點436)電性連接至「高」節點,而電容700的另一末端(閘極結構)電性連接至「低」節點(在圖7中更明顯)。類似地,電容701至707各自具有連接至不同節點的兩個末端,其中一末端為導電接點,而另一末端為閘極結構。如上所述,每一電容700至707的兩個末端電性耦接至不同節點(比如具有不同電位的節點),可能產生電容700至707。若兩個末端連接至相同節點,則不形成所需的電容。
依據上述內容,可知鰭狀場效電晶體裝置100C的多種結構之獨特的電性設置,能有效形成電容於X方向及Y方向中。每一相鄰的導電接點對(在Y方向中相鄰)、每一相鄰的閘極結構對(在Y方向中相鄰)、與每一相鄰的導電接點及閘極結構對(在X方向中相鄰)可定義電容。與習知積體電路上的電容相較,上述設置的結果為更緊密堆疊的電容陣列。可設置切割金屬閘極結構510至517與切割槽接點結構530至539的尺寸(比如其於Y方向的尺寸),以調整Y方向中定義的電容之電容值,可設置一對相鄰的導電接點與閘極結構於X方向之間的距離,以調整X方向中定義的電容之電容值。
除了提供密集堆疊的電容陣列,其電容值可彈性調整之外,本發明實施例亦增進一致性的控制。與習知製程不同,「高」節點並未全部連接至相同種類的結構,而「低」節點亦未全部連接至相同種類的結構。相反地,在其他設置中的一些「高」節點可電性連接至導電接點,而其他「高」節點電性連接至閘極結構。「低」節點同樣適用於其他設置。如此一來,本發明實施例藉由平均效應提供較佳的一致性控制。舉例來說,影響特定種類的結構(如導電接點或閘極結構)之製程變異或其他缺陷,對某一種節點的負面影響不會遠大於對另一種節點的負面影響。相反地,製程變異或缺陷幾乎一致地影響「高」節點結構與「低」節點結構。
此外,本發明實施例易於擴展。舉例來說,單位650與651可一併 包含可擴展的結構。換言之,單位652可為單位650的複製品,而單位652下(在Y方向中)的另一單位可為單位651的複製品。此圖案可重複多次。此外,每一單位不限於具有連接至3個節點的3個金屬線路(比如高-低-高或低-高-低)。相反地,每一單位可只包含連接至2個節點的2個金屬線路(比如高-低或低-高),或連接至超過3個節點的超過3個金屬線路。此外,本發明實施例亦可在X方向重複多次具有每一單位的電線線路設置,以在X方向擴展圖案。
圖11係本發明多種實施例中,製作鰭狀場效電晶體裝置所用的方法900之流程圖。方法900包含的步驟910形成多個閘極結構於介電結構上,且閘極結構各自延伸於第一方向中。
方法900包含的步驟920形成多個導電接點於介電結構上,且導電接點各自延伸於第一方向中。閘極結構與導電接點在第二方向中彼此相隔,且第二方向與第一方向不同。
方法900包含的步驟930蝕刻溝槽於每一閘極結構的的一部份中。
方法900包含的步驟940將一或多種介電材料填入溝槽。
方法900包含的步驟950形成一或多個通孔於每一閘極結構的保留部份上,以及每一導電接點上。
方法900包含的步驟960形成多個金屬線路於通孔上。金屬線路經由個別通孔,可電性內連線至閘極結構或導電接點。
在一些實施例中,步驟950形成一或多個通孔,而步驟960形成多個金屬線路,因此第一組金屬線路關於第一電性節點,第二組金屬線路關於第二電性節點,且第一電性節點與第二電性節點不同;第一組金屬線路電性內連線至第一組閘極結構與第一組導電接點,而第二組金屬線路電性內連線至第二組閘極結構與第二組導電接點。
在一些實施例中,第一組金屬線路與第二組金屬線路在第一方向 上具有交錯設置,第一組閘極結構與第二組閘極結構在第一方向上具有交錯設置,且第一組導電接點與第二組導電接點在第一方向上具有交錯設置。在一些實施例中,施加第一電壓訊號至第一組金屬線路,並施加第二電壓訊號至第二組金屬線路。
在一些實施例中,層間介電層圍繞閘極結構與導電接點,而步驟940包含將材料組成不同於層間介電層的一或多種介電材料填入溝槽。在一些實施例中,步驟940包含將多種不同介電材料填入溝槽。
可以理解的是,在上述的步驟910至960之前、之中、或之後可進行額外製程步驟,以完成製作半導體裝置。但不在此詳述這些額外製程步驟,以簡化說明。
總而言之,本發明實施例採用鰭狀場效電晶體製作中的多種構件,以實施積體電路上的電容。舉例來說,可採用閘極結構、導電接點、分別切割穿過閘極結構與導電接點的切割金屬閘極結構與切割槽接點結構、以及層間介電層的部份,以定義高密度的電容陣列。閘極結構與導電接點的位置交錯設置,使電容可定義於X方向與Y方向。
本發明實施例以上述態樣實施的電容,可比習知的積體電路上電容提供更多優點。然而可以理解的是,其他實施例可提供額外優點,此處不必說明所有優點,且所有實施例不需具有特定優點。本發明實施例的優點之一為定義電容於X方向與Y方向中,可增進每一單位晶片面積的電容密度。因此與習知裝置相較,可形成更多電容至任何給定尺寸的晶片中。另一優點為一致性控制較佳。舉例來說,並非所有的閘極結構電性連接至給定種類的節點(比如「高」節點或「低」節點)與導電接點,因此本發明實施例可達平均效應。與製作閘極結構與導電接點相關的製程變異或其他缺陷,可平均分攤於「高」節點與「低」節點之間。如此一來,來自積體電路的不同區或來自不同積體電路(其可或可不 來自相同晶圓)之電容,可具有較佳的一致性。其他優點包含與現存的鰭狀場效電晶體的製作流程相容,因此可簡單且低成本地實施本發明實施例。
本發明一實施例關於半導體裝置。半導體裝置包括第一閘極結構與第二閘極結構各自在第一方向中延伸;第一導電接點與第二導電接點各自在第一方向中延伸,其中第一導電接點與第二導電接點以及第一閘極結構與第二閘極結構在第二方向中分開,且第一方向與第二方向不同;第一隔離結構在第二方向中延伸,其中第一隔離結構分開第一閘極結構與第二閘極結構;以及第二隔離結構在第二方向中延伸,其中第二隔離結構分開第一導電接點與第二導電接點;其中第一閘極結構電性耦接至第一電性節點;第二閘極結構電性耦接至第二電性節點,且第一電性節點與第二電性節點不同;第一導電接點電性耦接至第二電性節點;以及第二導電接點電性耦接至第一電性節點。
在一些實施例中,半導體裝置更包括介電結構,其中第一閘極結構、第二閘極結構、第一導電接點、第二導電接點、第一隔離結構、與第二隔離結構全部位於介電結構上。
在一些實施例中,介電結構包括淺溝槽隔離。
在一些實施例中,第一閘極結構,與第一導電接點相鄰;以及第二閘極結構,與第二導電接點相鄰;其中半導體裝置更包括:第一金屬線路與第二金屬線路,各自在第二方向中延伸且位於第一閘極結構與第一導電接點上;第三金屬線路與第四金屬線路,各自在第二方向中延伸且位於第二閘極結構與第二導電接點上;第一通孔,位於第一閘極結構與第一金屬線路之間;第二通孔,位於第一導電接點與第二金屬線路之間;第三通孔,位於第二導電接點與第三金屬線路之間;以及第四通孔,位於第二閘極結構與第四金屬線路之間。
在一些實施例中,半導體裝置更包括:第五金屬線路,在第二方 向中延伸且位於第一閘極結構與第一導電接點上;第六金屬線路,在第二方向中延伸且位於第二閘極結構與第二導電接點上;第五通孔,位於第一導電接點與第五金屬線路之間;以及第六通孔,位於第二導電接點與第六金屬線路之間。
在一些實施例中,半導體裝置更包括層間介電層,其至少部份地位於第一閘極結構與第一導電接點之間,以及第二閘極結構與第二導電接點之間。
在一些實施例中,當第一電性訊號施加至第一電性節點,而第二電性訊號施加至第二電性節點時,第一閘極結構、第一導電接點、與層間介電層的第一部份形成第一電容;第二閘極結構、第二導電接點、與層間介電層的第二部份形成第二電容;第一閘極結構、第二閘極結構、與第一隔離結構形成第三電容;以及第一導電接點、第二導電接點、與第二隔離結構形成第四電容。
在一些實施例中,第一電性訊號與第二電性訊號包含不同電壓。
在一些實施例中,層間介電層與第二隔離結構的材料組成相同。
在一些實施例中,第一隔離結構與第二隔離結構的材料組成不同。
在一些實施例中,第一隔離結構與第二隔離結構的尺寸不同。
在一些實施例中,第一閘極結構、第二閘極結構、第一導電接點、第二導電接點、第一隔離結構、與第二隔離結構為單位的部份;以及半導體裝置包含多個單位。
本發明一實施例關於裝置。裝置包括:介電結構;多個閘極結構位於介電結構上,其中閘極結構各自在第一方向中延伸;多個導電接點位於介電結構上,其中導電接點各自在第一方向中延伸;層間介電層位於介電結構上並在第二方向中位於導電接點與閘極結構之間,且第一方向與第二方向不同;多個第一隔離結構位於相鄰的閘極結構之間,以在第一方向中分開相鄰的閘極結構;多個第二隔離結構位於相鄰的導電接點之間,以在第一方向中分開相鄰 的導電接點;多個第一金屬線路電性內連線至第一組的閘極結構與第一組的導電接點;以及多個第二金屬線路電性內連線至第二組的閘極結構與第二組的導電接點,其中第一金屬線路與第二金屬線路在第一方向中交錯;第一組的閘極結構與第二組的閘極結構在第一方向中交錯;以及第一組的導電接點與第二組的導電接點在第一方向中交錯。
在一些實施例中,第一隔離結構與層間介電層的材料組成不同,且第二隔離結構與層間介電層的材料組成相同。
在一些實施例中,第一隔離結構與第二隔離結構具有不同尺寸。
本發明又一實施例關於方法。方法包括:形成在第一方向中各自延伸的多個閘極結構於介電結構上;形成在第一方向中各自延伸的多個導電接點於介電結構上,其中閘極結構與導電接點在第二方向中彼此分開,且第一方向與第二方向不同;蝕刻溝槽於每一閘極結構的一部份中;將一或多種介電材料填入溝槽;形成一或多個通孔於每一閘極結構的保留部份與每一導電接點上;形成多個金屬線路於通孔上,且金屬線路經由個別的通孔電性內連線至閘極結構或導電接點,其中進行形成通孔與形成金屬線路的步驟,使第一組金屬線路與第一電性節點相關;第二組金屬線路與第二電性節點相關,且第一電性節點與第二電性節點不同;第一組金屬線路電性內連線至第一組閘極結構與第一組導電接點;以及第二組金屬線路電性內連線至第二組閘極結構與第二組導電接點。
在一些實施例中,第一組金屬線路與第二組金屬線路在第一方向中具有交錯設置;第一組閘極結構與第二組閘極結構在第一方向中具有交錯設置;以及第一組導電接點與第二組導電接點在第一方向中具有交錯設置。
在一些實施例中,方法更包括施加第一電壓訊號至第一組金屬線路,並施加第二電壓訊號至第二組金屬線路。
在一些實施例中,層間介電層圍繞閘極結構與導電接點;且填充步驟包括將材料組成不同於層間介電層的一或多種介電材料填入溝槽。
在一些實施例中,填充步驟包括將多種不同介電材料填入溝槽。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
120:介電隔離結構
416、417、419、420:閘極結構
425:層間介電層
436、437、439、440、442、443:導電接點
552、553:金屬線路
577、578、579、582、583、584:通孔
700、701、702、703、704、705、706、707、708、709、710、711、712:電容

Claims (18)

  1. 一種半導體裝置,包括:一第一閘極結構與一第二閘極結構,各自在一第一方向中延伸;一第一導電接點與一第二導電接點,各自在該第一方向中延伸,其中該第一導電接點與該第二導電接點以及該第一閘極結構與該第二閘極結構在一第二方向中分開,且該第一方向與該第二方向不同;一第一隔離結構,在該第二方向中延伸,其中該第一隔離結構分開該第一閘極結構與該第二閘極結構;以及一第二隔離結構,在該第二方向中延伸,其中該第二隔離結構分開該第一導電接點與該第二導電接點;其中該第一閘極結構電性耦接至一第一電性節點;該第二閘極結構電性耦接至一第二電性節點,且該第一電性節點與該第二電性節點不同;該第一導電接點電性耦接至該第二電性節點;以及該第二導電接點電性耦接至該第一電性節點。
  2. 如請求項1之半導體裝置,更包括:一介電結構,其中該第一閘極結構、該第二閘極結構、該第一導電接點、該第二導電接點、該第一隔離結構、與該第二隔離結構全部位於該介電結構上。
  3. 如請求項2之半導體裝置,其中該介電結構包括淺溝槽隔離結構。
  4. 一種半導體裝置,包括:一介電結構;多個閘極結構位於該介電結構上,其中該些閘極結構各自在一第一方向中延伸; 多個導電接點位於該介電結構上,其中該些導電接點各自在該第一方向中延伸;一層間介電層位於該介電結構上並在一第二方向中位於該些導電接點與該些閘極結構之間,且該第一方向與該第二方向不同;多個第一隔離結構位於相鄰的該些閘極結構之間,以在該第一方向中分開相鄰的該些閘極結構;多個第二隔離結構位於相鄰的該些導電接點之間,以在該第一方向中分開相鄰的該些導電接點;多個第一金屬線路電性內連線至第一組的該些閘極結構與第一組的該些導電接點;以及多個第二金屬線路電性內連線至第二組的該些閘極結構與第二組的該些導電接點,其中:該些第一金屬線路與該些第二金屬線路在該第一方向中交錯;第一組的該些閘極結構與第二組的該些閘極結構在該第一方向中交錯;以及第一組的該些導電接點與第二組的該些導電接點在該第一方向中交錯。
  5. 如請求項4之半導體裝置,其中該第一隔離結構與該層間介電層的材料組成不同,且該第二隔離結構與該層間介電層的材料組成相同。
  6. 如請求項4或5之半導體裝置,其中該第一隔離結構與該第二隔離結構具有不同尺寸。
  7. 一種半導體裝置,包括:多個閘極結構,各自延伸於一第一方向中;多個導電接點,各自延伸於該第一方向中,其中該些閘極結構與該些導電 接點在一第二方向中彼此分開,且該第一方向與該第二方向不同;多個通孔,位於該些閘極結構與該些導電接點上;以及多個金屬線路,位於該些通孔上,且該些金屬線路經由該些通孔電性內連線至該些閘極結構或該些導電接點,其中:第一組的該些金屬線路與一第一電性節點相關,並電性內連線至第一組的該些閘極結構與第一組的該些導電接點;以及第二組的該些金屬線路與一第二電性節點相關,並電性內連線至第二組的該些閘極結構與第二組的該些導電接點。
  8. 如請求項7之裝置,其中:第一組的該些金屬線路與第二組的該些金屬線路在該第一方向中具有交錯設置;第一組的該些閘極結構與第二組的該些閘極結構在該第一方向中具有交錯設置;以及第一組的該些導電接點與第二組的該些導電接點在該第一方向中具有交錯設置。
  9. 如請求項7或8之半導體裝置,其中:第一組的該些金屬線路電性耦接至一第一電壓訊號;以及第二組的該些金屬線路電性耦接至一第二電壓訊號,且該第一電壓訊號與該第二電壓訊號的電壓不同。
  10. 一種半導體裝置的形成方法,包括:形成各自延伸於一第一方向中的多個閘極結構,其中該些閘極結構分別各包含一閘極電極;形成各自延伸於該第一方向中的多個導電接點,其中該些閘極結構與該些導電接點在一第二方向中彼此分開,且該第一方向與該第二方向不同; 蝕刻一開口於每一該些閘極結構中,其中該開口被蝕刻以延伸通過每一該些閘極結構的該閘極電極;將一或多種介電材料填入該開口;以及至少部分地採用該些閘極結構與該或該些介電材料以形成一或多個電容。
  11. 如請求項10之半導體裝置的形成方法,其中形成該或該些電容的步驟包括:電性耦接第一組的該些閘極結構與第一組的該些導電接點至一第一電性節點;以及電性耦接第二組的該些閘極結構與第二組的該些導電接點至一第二電性節點,且該第一電性節點與該第二電性節點不同。
  12. 如請求項11之半導體裝置的形成方法,更包括:施加一第一電性訊號至該第一電性節點;以及施加一第二電性訊號至該第二電性節點,其中該第一電性訊號與該第二電性訊號之間的電壓不同。
  13. 一種半導體裝置的形成方法,包括:形成各自延伸於一第一方向中的多個閘極結構;形成各自延伸於該第一方向中的多個導電接點,其中該些閘極結構與該些導電接點在一第二方向中彼此分開,且該第一方向與該第二方向不同;將每一該些閘極結構分成隔有一間隙的兩個分開的閘極結構;形成一隔離結構於該間隙中;以及電性耦接該些閘極結構與該些導電接點,至少形成一第一電容於該第一方向中,以及一第二電容於該第二方向中。
  14. 如請求項13之半導體裝置的形成方法,其中該第一電容與該第二電容共用至少一該些閘極結構。
  15. 如請求項13或14之半導體裝置的形成方法,其中該第一電容由該些閘極結構的一第一閘極結構、該些閘極結構的一第二閘極結構、與位於該第一閘極結構與該第二閘極結構之間的該隔離結構所形成;以及該第二電容由該第一閘極結構、該些導電接點的一第一導電接點、與位於該第一閘極結構與該第一導電接點之間的一層間介電層所形成。
  16. 一種半導體裝置的形成方法,包括:形成在一第一方向中各自延伸的多個閘極結構於一介電結構上;形成在該第一方向中各自延伸的多個導電接點於該介電結構上,其中該些閘極結構與該些導電接點在一第二方向中彼此分開,且該第一方向與該第二方向不同;蝕刻一溝槽於每一該些閘極結構的一部份中;將一或多種介電材料填入該溝槽;形成一或多個通孔於每一該些閘極結構的保留部份與每一該些導電接點上;形成多個金屬線路於該或該些通孔上,且該些金屬線路經由個別的該或該些通孔電性內連線至該些閘極結構或該些導電接點,其中進行形成該或該些通孔與形成該些金屬線路的步驟,使第一組的該些金屬線路與一第一電性節點相關;第二組的該些金屬線路與一第二電性節點相關,且該第一電性節點與該第二電性節點不同;第一組的該些金屬線路電性內連線至第一組的該些閘極結構與第一組的該些導電接點;以及第二組的該些金屬線路電性內連線至第二組的該些閘極結構與第二組的該些導電接點。
  17. 如請求項16之半導體裝置的形成方法,其中:第一組的該些金屬線路與第二組的該些金屬線路在該第一方向中具有交錯設置;第一組的該些閘極結構與第二組的該些閘極結構在該第一方向中具有交錯設置;以及第一組的該些導電接點與第二組的該些導電接點在該第一方向中具有交錯設置。
  18. 如請求項16或17之半導體裝置的形成方法,更包括施加一第一電壓訊號至第一組的該些金屬線路,並施加一第二電壓訊號至第二組的該些金屬線路。
TW108108360A 2018-06-28 2019-03-13 半導體裝置與其形成方法 TWI821253B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/021,662 US10790352B2 (en) 2018-06-28 2018-06-28 High density capacitor implemented using FinFET
US16/021,662 2018-06-28

Publications (2)

Publication Number Publication Date
TW202002288A TW202002288A (zh) 2020-01-01
TWI821253B true TWI821253B (zh) 2023-11-11

Family

ID=69028502

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108108360A TWI821253B (zh) 2018-06-28 2019-03-13 半導體裝置與其形成方法

Country Status (3)

Country Link
US (3) US10790352B2 (zh)
CN (1) CN110660801A (zh)
TW (1) TWI821253B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET
US12057387B2 (en) * 2020-12-03 2024-08-06 International Business Machines Corporation Decoupling capacitor inside gate cut trench
US20220359268A1 (en) * 2021-05-04 2022-11-10 Texas Instruments Incorporated Through wafer isolation element backside processing

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160336183A1 (en) * 2015-05-14 2016-11-17 Globalfoundries Inc. Methods, apparatus and system for fabricating finfet devices using continuous active area design
US20180138168A1 (en) * 2016-11-17 2018-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor field effect transistors and manufacturing method thereof

Family Cites Families (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7425740B2 (en) 2005-10-07 2008-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure for a 1T-RAM bit cell and macro
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US7818698B2 (en) * 2007-06-29 2010-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Accurate parasitic capacitance extraction for ultra large scale integrated circuits
US8048723B2 (en) 2008-12-05 2011-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs having dielectric punch-through stoppers
US8776734B1 (en) 2008-05-19 2014-07-15 Innovative Environmental Solutions, Llc Remedial system: a pollution control device for utilizing and abating volatile organic compounds
US7910453B2 (en) 2008-07-14 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Storage nitride encapsulation for non-planar sonos NAND flash charge retention
US8053299B2 (en) 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8362575B2 (en) 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8610240B2 (en) 2009-10-16 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with multi recessed shallow trench isolation
US8415718B2 (en) 2009-10-30 2013-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming epi film in substrate trench
US8395195B2 (en) 2010-02-09 2013-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-notched SiGe FinFET formation using condensation
US8310013B2 (en) 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8399931B2 (en) 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8618556B2 (en) 2011-06-30 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design and method of fabricating same
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8609518B2 (en) 2011-07-22 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Re-growing source/drain regions from un-relaxed silicon layer
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8723236B2 (en) 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8815712B2 (en) 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US8742509B2 (en) 2012-03-01 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8716765B2 (en) 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US8680576B2 (en) 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8736056B2 (en) 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8633516B1 (en) 2012-09-28 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stack stressor for semiconductor device
US8497177B1 (en) 2012-10-04 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US8826213B1 (en) * 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9502542B2 (en) * 2014-12-18 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET transistor with fin back biasing
US10790352B2 (en) 2018-06-28 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. High density capacitor implemented using FinFET

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160336183A1 (en) * 2015-05-14 2016-11-17 Globalfoundries Inc. Methods, apparatus and system for fabricating finfet devices using continuous active area design
US20180138168A1 (en) * 2016-11-17 2018-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor field effect transistors and manufacturing method thereof

Also Published As

Publication number Publication date
US11728373B2 (en) 2023-08-15
US20200006467A1 (en) 2020-01-02
US20230369387A1 (en) 2023-11-16
US10790352B2 (en) 2020-09-29
US20210013300A1 (en) 2021-01-14
CN110660801A (zh) 2020-01-07
TW202002288A (zh) 2020-01-01

Similar Documents

Publication Publication Date Title
US10340194B2 (en) Guard rings including semiconductor fins and regrown regions
US11935835B2 (en) Methods of manufacturing semiconductor devices
US11575027B2 (en) Dummy dielectric fin design for parasitic capacitance reduction
US8679925B2 (en) Methods of manufacturing semiconductor devices and transistors
JP2018537856A (ja) 縦型電界効果トランジスタおよびその製造方法
CN110534561A (zh) 半导体器件
CN108807531B (zh) 半导体装置及其制造方法
TWI821253B (zh) 半導體裝置與其形成方法
KR20130137068A (ko) FinFET 소자를 제조하는 방법
US10840144B2 (en) Structure and formation method of semiconductor device structure
TWI749986B (zh) 半導體元件及其形成方法
TWI697985B (zh) 半導體裝置及其製造方法
CN112018069B (zh) 集成电路及其形成方法
US11908896B2 (en) Integrated circuit structure with non-gated well tap cell
US20190074211A1 (en) Semiconductor device
KR20200014197A (ko) 계단형 배리어층을 갖는 도전성 콘택부
TW202018953A (zh) 在閘極與源極/汲極接觸之間具有絕緣層的finfet
CN113497121B (zh) 分离栅沟槽结构功率器件的形成方法
TWI749383B (zh) 半導體結構及其製備方法