JP2018537856A - 縦型電界効果トランジスタおよびその製造方法 - Google Patents

縦型電界効果トランジスタおよびその製造方法 Download PDF

Info

Publication number
JP2018537856A
JP2018537856A JP2018527893A JP2018527893A JP2018537856A JP 2018537856 A JP2018537856 A JP 2018537856A JP 2018527893 A JP2018527893 A JP 2018527893A JP 2018527893 A JP2018527893 A JP 2018527893A JP 2018537856 A JP2018537856 A JP 2018537856A
Authority
JP
Japan
Prior art keywords
drain
fin
substrate
channel
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018527893A
Other languages
English (en)
Other versions
JP6788672B2 (ja
Inventor
ヴェニガラ、ラジャセカー
キム、ソンドン
ドリス、ブルース
アンダーソン、ブレント、アラン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2018537856A publication Critical patent/JP2018537856A/ja
Application granted granted Critical
Publication of JP6788672B2 publication Critical patent/JP6788672B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66666Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823885Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of vertical transistor structures, i.e. with channel vertical to the substrate surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/1608Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7827Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78642Vertical transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/27ROM only
    • H10B20/40ROM only having the source region and drain region on different levels, e.g. vertical channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

【課題】縦型電界効果トランジスタおよびその製造方法を提供する。
【解決手段】縦型電界効果トランジスタを製造する方法であって、第1の陥凹部を基板内に形成することと、第1の陥凹部の第1の下部表面から第1のドレインをエピタキシャル成長させることと、基板内に形成された第2の陥凹部の第2の下部表面から第2のドレインをエピタキシャル成長させることと、第1のドレインおよび第2のドレイン上にチャネル材料をエピタキシャル成長させることと、チャネル材料内にトラフを形成して、第1のドレイン上に1つまたは複数のフィン・チャネルをおよび第2のドレイン上に1つまたは複数のフィン・チャネルを形成することであって、第1のドレインの上方のトラフは第1のドレインの表面まで延在し、第2のドレインの上方のトラフは第2のドレインの表面まで延在する、形成することと、1つまたは複数のフィン・チャネルの各々上にゲート構造を形成することと、第1のドレインおよび第2のドレインと関連付けられるフィン・チャネルの各々上にソースを成長させることとを含む、方法。
【選択図】図42

Description

本発明は、縦型finFETデバイスを形成する方法、および、それらの方法により生み出される電子デバイス構造に関係し、より詳しくは、縦型finFETのドレイン、チャネル、およびソースを、ドレイン、チャネル、およびソースが同じ結晶方位を有するべくエピタキシャル形成する方法に関係する。
電界効果トランジスタ(FET)は、典型的には、電流がソースからドレインに流れる、ソース、チャネル、およびドレイン、ならびに、チャネルを通る電流の流れを制御するゲートを有する。電界効果トランジスタ(FET)は、種々の異なる構造を有し得るものである。例えばFETは、基板材料それ自体内に形成されるソース、チャネル、およびドレインを伴って形成されており、電流は水平に(すなわち、基板の面内で)流れる。FinFETは、基板から外向きに延在するチャネルを伴って形成されているが、電流は、やはり水平に流れる。FinFETに対するチャネルは、単一のプレーナ・ゲートを伴うMOSFETと比較して、普通はフィンと呼称される、薄い矩形Siの直立した平板であり得るものであり、ゲートをフィン上に伴う。ソースおよびドレインのドーピングに依存して、n−FETまたはp−FETが形成され得る。
FETの例は、金属酸化物半導体電界効果トランジスタ(MOSFET)および絶縁ゲート電界効果トランジスタ(IGFET)を含み得る。2つのFETはさらには、相補型金属酸化物半導体(CMOS)を形成するために結合され得るものであり、pチャネルMOSFETおよびnチャネルMOSFETが、直列に接続される。
デバイス寸法がますます減少するにつれて、個々の構成要素および電気的接点を形成することは、より困難になる。それゆえに、従前のFET構造の有望な態様を保持し、一方で、より小さなデバイス構成要素を形成することにより生じるスケーリング問題点を克服する手法が必要とされる。
縦型電界効果トランジスタを製造する方法は、第1の下部表面を有する第1の陥凹部を基板内に形成することと、第1の陥凹部の第1の下部表面から第1のドレインをエピタキシャル成長させることと、基板内に形成された第2の陥凹部の第2の下部表面から第2のドレインをエピタキシャル成長させることと、第1のドレインおよび第2のドレイン上にチャネル材料をエピタキシャル成長させることと、チャネル材料内にトラフを形成して、第1のドレイン上に1つまたは複数のフィン・チャネルを、および第2のドレイン上に1つまたは複数のフィン・チャネルを形成することであって、第1のドレインの上方のトラフは、第1のドレインの表面まで延在し、第2のドレインの上方のトラフは、第2のドレインの表面まで延在する、形成することと、1つまたは複数のフィン・チャネルの各々上にゲート構造を形成することと、第1のドレインおよび第2のドレインと関連付けられるフィン・チャネルの各々上にソースを成長させることとを含む。
縦型電界効果トランジスタは、第1の下部表面を有する第1の陥凹部を基板内に含む。第1のドレインが、第1の陥凹部の第1の下部表面から成長させられ、第1のドレインは、第1の下部表面と同じ結晶方位を有する。第2の陥凹部が基板内に形成され、第2の陥凹部は第2の下部表面を有し、第2のドレインが、基板内に形成された第2の陥凹部の第2の下部表面上に成長させられ、第2のドレインは、第2の下部表面と同じ結晶方位を有する。1つまたは複数のフィン・チャネルが、第1のドレイン上に形成され、第1のドレイン上の1つまたは複数のフィン・チャネルは、第1の下部表面と同じ結晶方位を有し、1つまたは複数のフィン・チャネルが、第2のドレイン上に形成され、第2のドレイン上の1つまたは複数のフィン・チャネルは、第2の下部表面と同じ結晶方位を有する。ゲート構造が、フィン・チャネルの各々上に形成され、ソースが、第1のドレインおよび第2のドレインと関連付けられるフィン・チャネルの各々上に成長させられ、ソースは、フィン・チャネルと同じ結晶方位を有する。
これらおよび他の、特徴および利点は、付随する図面との連関で読まれることになる、それらの特徴および利点の例解的な実施形態の、以下の詳細な説明から明らかになるであろう。
本開示は、以下の図を参照して、好ましい実施形態の以下の説明において、詳細を提供することになる。
例示的な実施形態による、電子デバイス構造に対する、基板の断面図である。 例示的な実施形態による、電子デバイス構造に対する、基板および表面層の断面図である。 例示的な実施形態による、電子デバイス構造に対する、基板、および、パターニングされた表面層の断面図である。 例示的な実施形態による、電子デバイス構造に対する、エッチングされた陥凹部を伴う基板、および、パターニングされた表面層の断面図である。 例示的な実施形態による、電子デバイス構造に対する、誘電体充填材を伴う、陥凹させられた領域を伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造の、シャロウ・トレンチ・アイソレーション領域を伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造の、露出させた基板領域を伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造の、露出させた基板領域を伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造の、第1のドレイン領域を伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造に対する、ハードマスクを伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造に対する、部分的に除去されたハードマスクを伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造の、露出させた基板領域を伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造の、露出させた基板領域を伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造の、第2のドレイン領域を伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造からハードマスクが除去された基板の断面図である。 例示的な実施形態による、電子デバイス構造を形成する、チャネル材料を伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造の、フィン・チャネルを伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造を形成する、低誘電率(low-k)誘電体材料を伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造を形成する、低誘電率誘電体材料を伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造を形成する、低誘電率誘電体材料を伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造の、WFM層を伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造の、WFMキャップを伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造を形成する、ゲート材料を伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造を形成する、低減された高さのゲート材料を伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造を形成する、低減された高さのゲート材料を伴う基板の、断面図および拡大差込図である。 例示的な実施形態による、電子デバイス構造を形成する、低減された高さのゲート材料を伴う基板の拡大差込断面図である。 例示的な実施形態による、電子デバイス構造を形成する、低減された高さのゲート事前構造およびWFMキャップを伴う基板の拡大差込断面図である。 例示的な実施形態による、電子デバイス構造を形成する、使い捨てスペーサを伴う基板の拡大差込断面図である。 例示的な実施形態による、電子デバイス構造を形成する、使い捨てスペーサを伴う基板の拡大差込断面図である。 例示的な実施形態による、電子デバイス構造を形成する、使い捨てスペーサを伴う基板の拡大差込断面図である。 例示的な実施形態による、電子デバイス構造を形成する、露出させたフィン・チャネル、およびゲート構造を伴う基板の拡大差込断面図である。 例示的な実施形態による、電子デバイス構造を形成する、ゲート構造および低誘電率誘電体材料を伴う基板の拡大差込断面図である。 例示的な実施形態による、電子デバイス構造を形成する、ゲート構造および低誘電率誘電体材料を伴う基板の拡大差込断面図である。 例示的な実施形態による、電子デバイス構造の、低誘電率誘電体スペーサ、および、露出させたフィン・チャネルを伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造の、層間誘電体を伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造の、層間誘電体およびハードマスクを伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造の、層間誘電体、および、部分的に除去されたハードマスクを伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造の、層間誘電体およびソースを伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造の、層間誘電体を伴い、ハードマスクが除去された基板の断面図である。 例示的な実施形態による、電子デバイス構造の、層間誘電体、および、部分的に除去されたハードマスクを伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造の、層間誘電体およびソースを伴う基板の断面図である。 例示的な実施形態による、電子デバイス構造の、層間誘電体を伴い、ハードマスクが除去された基板の断面図である。 例示的な実施形態による、電子デバイス構造の、ドレイン、および、複数のフィン・チャネルを伴う基板の断面上面図である。 例示的な実施形態による、電子デバイス構造の、ドレイン接点、ゲート接点、およびソース接点を伴う基板の断面上面図である。 例示的な実施形態による、電子デバイス構造の、ドレイン接点、ゲート接点、およびソース接点を伴うフィン・チャネル・アセンブリの断面側面図である。 例示的な実施形態による、電子デバイス構造の、複数個の層を示すフィン・チャネル・アセンブリの断面側面図である。 例解的な実施形態による、縦型finFETを形成する例示的な方法のブロック/フロー図である。 例解的な実施形態による、図47の縦型finFETを形成する例示的な方法のブロック/フロー図の続きの図である。 例解的な実施形態による、図48の縦型finFETを形成する例示的な方法のブロック/フロー図の続きの図である。 例解的な実施形態による、図49の縦型finFETを形成する例示的な方法のブロック/フロー図の続きの図である。 例解的な実施形態による、図50の縦型finFETを形成する例示的な方法のブロック/フロー図の続きの図である。 例解的な実施形態による、図51の縦型finFETを形成する例示的な方法のブロック/フロー図の続きの図である。 例解的な実施形態による、図52の縦型finFETを形成する例示的な方法のブロック/フロー図の続きの図である。
本開示の原理および実施形態は、半導体構造が上に形成される基板の表面の法線方向に導電性経路を形成するための、基板上に積層される導電性要素を伴う半導体デバイス構造に関係する。実施形態は一般的には、電気的接点形成および電流搬送特性に対する高められた幾何形状をもたらす縦型finFET構造に関係する。実施形態ではfinFET半導体デバイスは、基板表面の平面に直立して配置構成される、ドレイン、フィン・チャネル、およびソース・デバイス構成要素を有し、そのことは縦型積層と呼称される。縦型積層finFETは、比較可能な接点ゲート・ピッチを有する水平(すなわち、基板表面の平面と平行に配置構成される、ドレイン、フィン・チャネル、およびソース・デバイス構成要素を有する)finFETより、長いゲート長さ(すなわち、高さ)、および、大きな誘電体スペーサを有し得る。
1つまたは複数の実施形態では、finFETのソース、ドレイン、およびチャネルは、結晶性基板上にエピタキシャルに成長させられる。様々な実施形態では、ソースまたはドレインは、基板上に直接エピタキシャル形成され、フィン・チャネルは、ソースまたはドレイン上に直接エピタキシャル形成され、基板、ドレイン、フィン・チャネル、およびソースはすべて、同じ結晶構造および方位を有する。
本発明は、所与の例解的なアーキテクチャに関して説明されることになるが、他のアーキテクチャ、構造、基板材料、ならびに、プロセス特徴およびステップが、本発明の範囲内で変動させられ得るということが理解されることになる。
層、領域、または基板などの要素が、別の要素の「上に」または「上方に」あると言及されるとき、その要素は、その別の要素の直接上にあることがあり、または、介在要素がさらには存在することがあるということが、さらには理解されよう。対照的に、要素が、別の要素の「直接上に」または「直接上方に」あると言及されるとき、介在要素は存在しない。要素が、別の要素に「接続される」または「結合される」と言及されるとき、その要素は、その別の要素に直接接続もしくは結合されることがあり、または、介在要素が存在することがあるということが、さらには理解されよう。対照的に、要素が、別の要素に「直接接続される」または「直接結合される」と言及されるとき、介在要素は存在しない。
本実施形態は、集積回路チップに対する設計を含み、その設計は、グラフィカル・コンピュータ・プログラミング言語で創出され、コンピュータ・ストレージ媒体(ディスク、テープ、物理ハード・ドライブ、または、ストレージ・アクセス・ネットワーク内などの仮想ハード・ドライブなど)内に記憶され得る。設計者が、チップ、または、チップを製造するために使用されるフォトリソグラフィック・マスクを製造しないとき、設計者は、結果的に生じる設計を送り届けることを、物理手段により(例えば、設計を記憶するストレージ媒体のコピーを提供することにより)、または電子的に(例えば、インターネットを介して)、そのようなエンティティに、直接、または間接的に行い得る。記憶された設計は次いで、フォトリソグラフィック・マスクの製造のために、適切なフォーマット(例えば、GDSII)に変換され、それらのフォトリソグラフィック・マスクは典型的には、ウェハ上に形成されることになる、当のチップ設計の複数個のコピーを含む。フォトリソグラフィック・マスクは、エッチングされる、または他の形で処理されることになる、ウェハ(または、そのウェハ上の層、あるいはその両方)の区域を規定するために利用される。
本明細書で説明されるような方法は、集積回路チップの製造で使用され得る。結果的に生じる集積回路チップは、製造者により、未加工ウェハ形式で(すなわち、複数個のパッケージ化されていないチップを有する単一のウェハとして)、ベア・ダイとして、または、パッケージ化された形式で頒布され得る。最後の事例ではチップは、単一チップ・パッケージ(マザーボード、または他の、より高いレベルの支持部に付着させられるリードを伴う、プラスチック支持部など)で、または、マルチチップ・パッケージ(表面相互接続または埋め込み相互接続の、いずれかまたは両方を有するセラミック支持部など)で装着される。いずれの事例でも、チップは次いで、(a)マザーボードなどの中間製品、または(b)最終製品のいずれかの部分として、他のチップ、ディスクリート回路要素、または他の信号処理デバイス、あるいはその組み合わせとともに集積させられる。最終製品は、玩具および他の低価格帯応用例から、ディスプレイ、キーボードまたは他の入力デバイス、および中央プロセッサを有する先進的なコンピュータ製品までの範囲にわたる、集積回路チップを含む任意の製品であり得る。
材料化合物は、列挙される要素、例えば、SiN、GaAs、またはSiGeに関して説明されることになるということが、さらには理解されるべきである。これらの化合物は、化合物の中の元素を異なる比率で含み、例えば、GaAsはGaAs1−xを含み、ただしxは1以下であり、または、SiGeはSiGe1−xを含み、ただしxは1以下であり、その他である。加えて、他の元素が、例えばAlInGaAsなどの化合物に含まれ、それでもなお、本原理によって機能することがある。追加的な元素を伴う化合物は、本明細書では合金と呼称されることになる。
本明細書で、本原理の「1つの実施形態」または「実施形態」、および、それらの他の変形に言及することは、実施形態との連関で説明される、個別の特徴、構造、特質等々が、本原理の少なくとも1つの実施形態に含まれるということを意味する。かくして、本明細書の全体を通して様々な場所に出現する、語句「1つの実施形態で」または「実施形態で」、および、任意の他の変形は、必ずしもすべてが、同じ実施形態に言及しているわけではない。
例えば、「A/B」、「AまたはBあるいはその両方」、および「AおよびBの少なくとも1つ」の事例での、以下の「/」、「〜または…あるいはその両方」、および「〜の少なくとも1つ」のいずれかの使用は、第1の列挙される選択肢(A)のみの選択、または、第2の列挙される選択肢(B)のみの選択、または、両方の選択肢(AおよびB)の選択を包含することが意図されるということが察知されることになる。さらなる例として、「A、B、またはC、あるいはその組み合わせ」、および「A、B、およびCの少なくとも1つ」の事例では、そのような言い回しは、第1の列挙される選択肢(A)のみの選択、または、第2の列挙される選択肢(B)のみの選択、または、第3の列挙される選択肢(C)のみの選択、または、第1および第2の列挙される選択肢(AおよびB)のみの選択、または、第1および第3の列挙される選択肢(AおよびC)のみの選択、または、第2および第3の列挙される選択肢(BおよびC)のみの選択、または、3つのすべての選択肢(AおよびBおよびC)の選択を包含することが意図される。このことは、当技術分野および関係付けられる技術分野の当業者により、容易に明らかなように、列挙される同じように多くの項目に対して拡張され得る。
1つまたは複数の実施形態では、縦型finFETを構築するためのフロント・エンド・プロセスは、finFETの構築ブロックを用意するためのいくつかのプロセス・モジュールを含み、別々のデバイス構成要素が、各々のプロセス・モジュールにより形成される。
様々な実施形態では、デバイス構成要素は、<10nmの最も小さな、または最も細い寸法を有するが、他のノード・サイズが、さらには企図される。
ここで、類する数字が、同じまたは同様の要素を表す図面を、最初は図1を参照するものであり、その図1は、例示的な実施形態による、電子デバイス構造に対する、基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
様々な実施形態では、基板100は半導体であり得る。基板は結晶性であり得る。基板は主として(すなわち、ドーピングを伴う)、単一の元素、例えばケイ素(Si)もしくはゲルマニウム(Ge)のものであり得るものであり、または基板は、化合物、例えばGaAs、SiC、もしくはSiGeであり得る。基板はさらには、複数個の材料層を有し、例えば、半導体オン・インシュレータ基板(SeOI)、シリコン・オン・インシュレータ基板(SOI)、ゲルマニウム・オン・インシュレータ基板(GeOI)、またはシリコン・ゲルマニウム・オン・インシュレータ基板(SGOI)であり得る。基板はさらには、高誘電率(high-k)の酸化物または窒化物あるいはその両方を含む、基板を形成する他の層を有し得る。1つまたは複数の実施形態では、基板100はシリコン・ウェハであり得る。実施形態では、基板は単結晶シリコン・ウェハである。
基板100の露出した表面110は、基板100の大部分または本体とは異なる材料であり得るものであり、あるいは、化合物材料の成分リッチ表面、例えば、SiGe基板のケイ素リッチもしくはゲルマニウム・リッチ層、GaAs基板のガリウム・リッチ層、または、GaN層の窒素リッチ表面であり得るものであり、露出させた表面は、2つの変質する原子層の1つであり得る。様々な実施形態では、基板は、段階的濃度を有し得るものであり、例えば、基板の表面で露出させた材料は、主として、エピタキシャル成長に適した、あらかじめ決定された結晶方位を伴うケイ素であり、しかるに、大部分の材料は、他の化学元素、例えばゲルマニウムを含み得る。様々な実施形態では、表面110での単結晶材料の厚さは、約200nmの厚さより大きい、または、約300nmの厚さより大きい、または、約500nmの厚さより大きいものであり得る。例えばSOI基板は、絶縁層より上に、約300nmの厚さの単結晶シリコン表面層を有し得る。
様々な実施形態では、ドープされる材料は、十億分率から千分率、または、より具体的には、約1013cm−3から約1018cm−3の範囲内の不純物濃度を有する。対照的に、SiGe1−xの式は、ドーピング・レベルより少なくとも1桁大きな濃度を表すものであり、または、より具体的には、0.005≦x≦0.995である。
様々な実施形態では、基板は、様々なヘテロ構造を含み得る。
基板100の露出した表面110は、例えば、洗浄、化学機械研磨(CMP)、平坦化、アニーリング、エッチング/欠陥除去、または酸化物除去、あるいはその組み合わせにより、マスキング、エッチング、堆積、打ち込み、および熱的活性化を含むが、それらに限定されない、後続の処理ステップに対して用意され得る。
図2は、例示的な実施形態による、電子デバイス構造に対する、基板および表面層の図である。線図は、デバイス構造のxおよびz方向を例解する断面図を示す。
様々な実施形態では、層200が、基板100の露出した表面110上に形成され得る。層200は、基板100の材料、または、露出した表面110での材料とは異なる材料であり得る。層200、および、基板100の露出した表面110は、界面120を形成し得るものであり、層200、および、露出した表面110は、接触の様態にある。層200は、基板の表面110の少なくとも一部分の上方で、連続的および均一であり得る。
層200は、ある厚さを有し得るものであり、厚さは、約20Åから約500Å、または、約30Åから約300Å、または、約50Åから約250Åの範囲内であり得る。
様々な実施形態では、層200は、物理気相堆積(PVD)、化学気相堆積(CVD)、原子層堆積(ALD)、または、それらの様々な変更形態のうちのいずれか、例えば、プラズマ強化化学気相堆積(PECVD)、金属有機化学気相堆積(MOCVD)、低圧化学気相堆積(LPCVD)、電子ビーム物理気相堆積(EB−PVD)、およびプラズマ強化原子層堆積(PE−ALD)により堆積させられ得る。1つまたは複数の実施形態では、層200は、PECVDまたはLPCVDにより堆積させられる。堆積はエピタキシャル・プロセスであり得るものであり、堆積させられる材料は結晶性であり得る。様々な実施形態では、層の形成は、1つまたは複数の堆積プロセスにより行ってもよく、例えば、コンフォーマル層が、第1のプロセス(例えば、ALD、PE−ALD、その他)により形成され得るものであり、充填材が、第2のプロセス(例えば、CVD、電着、PVD、その他)により形成され得る。
図3は、例示的な実施形態による、電子デバイス構造に対する、基板、および、パターニングされた表面層の図である。線図は、デバイス構造のxおよびz方向を例解する断面図を示す。
1つまたは複数の実施形態での、基板100のエッチングに対するマスクを提供する絶縁体材料で作製され得るものであり、基板100上の層200の材料のアイランド220を形成するために、例えば、マスキング、および、ウェット・エッチングまたは反応性イオン・エッチング(RIE)により除去され得る、層200の一部分。すべてのマスキング、パターニング、およびリソグラフィ・プロセスが示されるわけではないが、これは、当業者は、本明細書で説明されるように、識別される層および開口部を形成するため、ならびに、識別される選択エッチング・プロセスを実行するために、どこでマスキングおよびパターニングが利用されるかを認識するからであるということが注目されるべきである。層200は、アイランド220の間の領域を露出させたマスクを形成するための、窒化物、例えば窒化ケイ素(SiN)または窒化チタン(TiN)であり得る。1つまたは複数の実施形態では、層200は、Siの選択エッチングに対して提供するためのSi層である。様々な実施形態では、層200の一部分は、約100nmから約100,000nmの範囲内、または、約1,000nmから約50,000nmの範囲内、または、約5,000nmから約10,000nmの範囲内のサイズを有するアイランド220を形成するために除去される。アイランド220の間の区域230は、約500nm掛ける約500nmの範囲内、または、約250nm掛ける約250nmの範囲内、または、約100nm掛ける約100nm、もしくは約60nm掛ける約60nmの範囲内の寸法を有し得るものであり、区域は正方形または矩形であり得る。様々な実施形態では、アイランドの間の区域は、約3600nmから約250,000nmの範囲内の表面積を有し得るものであり、区域は矩形であり得る。
図4は、例示的な実施形態による、電子デバイス構造に対する、エッチングされた陥凹部を伴う基板、および、パターニングされた表面層の図である。線図は、デバイス構造のxおよびz方向を例解する断面図を示す。
1つまたは複数の実施形態では、基板100の一部分は、陥凹させられた領域150を基板100の中に形成するためにエッチングされ得るものであり、陥凹させられた領域150は、シャロウ・トレンチ・アイソレーション(STI)領域を形成するために利用され得る。
図5は、例示的な実施形態による、電子デバイス構造に対する、誘電体充填材を伴う、陥凹させられた領域を伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
誘電体材料層300が、基板100の陥凹させられた領域150内を充填するために、基板100およびアイランド220の上方に堆積させられ得る。様々な実施形態では、誘電体材料層300は、酸化ケイ素(SiO)、酸窒化ケイ素(SiON)、または窒化ケイ素(SiN)であり得るものであり、誘電体材料層300の化学組成は、アイランド220の化学組成とは異なる。アイランド220および誘電体材料層300の材料の違いが、選択エッチング・レートまたはエッチ・ストップあるいはその両方をもたらし得る。様々な実施形態では、堆積させられる誘電体材料層300の厚さは、陥凹させられた領域150およびアイランド220を被覆するのに十分であり、陥凹させられた領域より上の誘電体材料層300の高さは、陥凹させられた領域150の最も低い表面155より上の、基板およびアイランド220の高さより大きい。誘電体材料層300は、陥凹させられた領域150内にコンフォーマルに堆積させられ得る。
図6は、例示的な実施形態による、電子デバイス構造の、シャロウ・トレンチ・アイソレーション(STI)領域を伴う基板の図である。線図は、デバイス構造のxおよびz方向を例解する断面図を示す。
誘電体材料層300は、平坦な表面350を形成するために、エッチ・バックされること、または化学機械研磨されること、あるいはその両方が行われ得る。誘電体材料層300によって充填され、陥凹させられた領域150の最も低い表面155、平坦な表面350、ならびに、基板100およびアイランド220の側壁180により境界設定される、陥凹させられた領域150が、シャロウ・トレンチ・アイソレーション領域330を形成する。様々な実施形態では、誘電体材料層300は、堆積させられるSiO層であり得る。アイランドの厚さは、アイランド220の表面が、最終的な厚さに戻るようにエッチングまたは研磨されることを可能とするために、最終的な厚さより大きくしてもよい。STI領域330は、アイランド220を分離し、アイランド220より下の基板区域の間の電気的絶縁をもたらす。
図7は、例示的な実施形態による、電子デバイス構造の、露出させた基板領域を伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
1つまたは複数の実施形態では、アイランド220は、基板100の、下にある一部分を露出させるために、エッチングにより除去され得るものであり、一方で他のアイランド220は、基板上に残存する。1つまたは複数のアイランドの選択エッチングは、ウェット・エッチングまたは反応性イオン・エッチングにより達成され得る。マスクが、1つまたは複数の別々のエッチング・ステップで、1つまたは複数のアイランドの選択除去を可能とするために、特定のアイランド220の上方に形成され得る。
図8は、例示的な実施形態による、電子デバイス構造の、露出させた基板領域を伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
様々な実施形態では、先に除去されたアイランド220より下の基板100は、基板の高さを減少させ、2つのシャロウ・トレンチ・アイソレーション領域330の間の第1の陥凹部170の深さを増大するためにエッチングされ得る。第1の陥凹部170の下部での露出した基板表面190(すなわち、第1の下部表面)は、エピタキシャル成長に適したものであり得る。露出した基板表面190は、洗浄され、ドレイン材料またはソース材料のエピタキシャル成長に対して用意され得る。実施形態は、基板上に形成されるドレイン領域によって説明されるが、このことは、単に説明的な目的でのものであり、限定と解釈されるべきではなく、基板上に形成されるソース領域も、発明的な範囲内として企図される。
様々な実施形態では、基板表面の最終的な陥凹部深さは、近接するSTI領域の深さ未満である。シャロウ・トレンチ・アイソレーション領域330は、約10nmから約300nmの範囲内、または、約25nmから約250nmの範囲内、または、約50nmから約200nmの範囲内の深さを有し得る。
図9は、例示的な実施形態による、電子デバイス構造の、第1のドレイン領域を伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
1つまたは複数の実施形態では、第1のドレイン400が、シャロウ・トレンチ・アイソレーション領域330の間の、基板100の表面190上に形成される。第1のドレイン400は、CVD、PE−CVD、ALD、PE−ALD、または、それらの組み合わせにより、表面190上にエピタキシャル成長させられ得るものであり、基板の結晶方位を有し得る。
1つまたは複数の実施形態では、第1のドレイン400は、ケイ素(Si)、炭化ケイ素(SiC)、またはシリコン・ゲルマニウム(SiGe)であり得る。様々な実施形態では、第1のドレイン400は、n−FETまたはp−FETを形成するために、ホウ素、リン、または炭素をドープされ得る。1つまたは複数の実施形態では、第1のドレイン400は、ホウ素ドープ・シリコン・ゲルマニウム(SiGe−B)またはリン・ドープ炭化ケイ素(SiC−P)であり得る。実施形態では、n−FETはSiGeを使用して形成され、p−FETはSiCを使用して形成される。様々な実施形態では、ヒ素は、ドーパントとして使用されない。
様々な実施形態では、第1のドレイン400は、約10nmから約250nm、または、約20nmから約150nm、または、約50nmから約100nmの範囲内の厚さを有し得る。基板100と、第1のドレイン400の下部表面との間の界面は、基板100と、STI領域330の下部表面との間の界面より上であり得るものであり、STI領域330は、第1のドレイン400に近接するSTI領域であり得る。様々な実施形態では、ドーパントが、第1のドレイン400に、イン・サイチュで、ドレインのエピタキシャル成長の間に、または、エクス・サイチュで、イオン打ち込み技法により添加され得る。第1のドレイン表面の、<5nmの中のドーパント濃度は、ドレイン400の露出させた表面上のチャネル・エピタキシャル成長を可能にするのに、本質的には支障のないもので(すなわち、近似的にゼロ濃度で)あり得る。
図10は、例示的な実施形態による、電子デバイス構造に対する、ハードマスクを伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
ハードマスク500が、第1のドレイン400、シャロウ・トレンチ・アイソレーション領域330、およびアイランド220の上方に形成され得る。様々な実施形態では、ハードマスク500は、酸化物、ホウ化物、炭化物、または窒化物であり得るものであり、ハードマスク500は、ドレイン材料と共存可能である。様々な実施形態では、ハードマスクは窒化ケイ素である。
図11は、例示的な実施形態による、電子デバイス構造に対する、部分的に除去されたハードマスクを伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
ハードマスク500は、1つまたは複数のアイランド220から除去されることが、1つまたは複数のアイランド220を除去に向けて露出させるために行われ得るものであり、一方で、第1のドレイン400を保護する。ハードマスク500の一部分は、選択マスキングおよびエッチングすることにより除去され得る。
図12は、例示的な実施形態による、電子デバイス構造の、露出させた基板領域を伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
アイランド220が除去され得るものであり、アイランド材料は、下にある基板100を露出させるために、ウェット・エッチングまたはドライ・エッチングされ得る。
図13は、例示的な実施形態による、電子デバイス構造の、露出させた基板領域を伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
様々な実施形態では、先に除去されたアイランド220より下の基板100は、基板の高さを減少させ、2つのシャロウ・トレンチ・アイソレーション領域330の間の第2の陥凹部175の深さを増大するためにエッチングされ得る。エッチングの後、基板の高さは、基板100と、隣接するSTI領域330との間の界面より上であり得る。第2の陥凹部175の下部での露出した基板表面195(すなわち、第2の下部表面)は、エピタキシャル成長に適したものであり得る。露出した基板表面195は、洗浄され、ドレイン材料またはソース材料のエピタキシャル成長に対して用意され得る。
図14は、例示的な実施形態による、電子デバイス構造の、第2のドレイン領域を伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
1つまたは複数の実施形態では、第2のドレイン600が、シャロウ・トレンチ・アイソレーション領域330の間の、基板100の第2の下部表面195上に形成される。第2のドレイン600は、CVD、PE−CVD、ALD、PE−ALD、または、それらの組み合わせにより、表面195上にエピタキシャル成長させられ得るものであり、基板の結晶方位を有し得る。
1つまたは複数の実施形態では、第2のドレイン600は、ケイ素(Si)、炭化ケイ素(SiC)、またはシリコン・ゲルマニウム(SiGe)であり得る。様々な実施形態では、第2のドレイン600は、n−FETまたはp−FETを形成するために、ホウ素、リン、または炭素をドープされ得る。1つまたは複数の実施形態では、第2のドレイン600は、ホウ素ドープ・シリコン・ゲルマニウム(SiGe−B)またはリン・ドープ炭化ケイ素(SiC−P)であり得る。様々な実施形態では、ヒ素は、ドレインに対するドーパントとして使用されない。
様々な実施形態では、第2のドレイン600は、第1のドレイン400とは異なる材料で作製されること、または、第1のドレイン400とは異なる材料をドープされること、あるいはその両方が行われ得る。第2のドレイン600はn型材料であり得るものであり、第1のドレイン400はp型材料であり得るものであり、または、第2のドレイン600はp型材料であり得るものであり、第1のドレイン400はn型材料であり得る。
様々な実施形態では、第2のドレイン600は、約10nmから約250nm、または、約20nmから約150nm、または、約50nmから約100nmの範囲内の厚さを有し得る。基板100と、第2のドレイン600の下部表面との間の界面は、基板100と、STI領域330の下部表面との間の界面より上であり得るものであり、STI領域330は、第2のドレイン600に近接するSTI領域であり得る。様々な実施形態では、ドーパントが、第2のドレイン600に、イン・サイチュで、第2のドレインのエピタキシャル成長の間に、または、エクス・サイチュで、イオン打ち込み技法により添加され得る。第2のドレイン600の表面の、<5nmの中のドーパント濃度は、ドレイン600の露出させた表面上のエピタキシャル・チャネル成長を可能にするのに、本質的には支障のないもので(すなわち、近似的にゼロ濃度で)あり得る。第1のドレイン400および第2のドレイン600は、同じ高さ、または、異なる高さを有し得る。STI領域330は、第1のドレイン400を第2のドレイン600から分離し、ドレイン400とドレイン600との間の電気的絶縁をもたらし得る。
図15は、例示的な実施形態による、電子デバイス構造からハードマスクが除去された基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
ハードマスク500は、第2のドレイン600およびシャロウ・トレンチ・アイソレーション領域330からエッチングにより除去され得る。第1のドレイン400および第2のドレイン600の露出した表面は、チャネル材料のエピタキシャル成長に対して、洗浄または熱的処理あるいはその両方により用意され得る。
図16は、例示的な実施形態による、電子デバイス構造を形成する、チャネル材料を伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
1つまたは複数の実施形態では、チャネル材料700が、少なくとも第1のドレイン400および第2のドレイン600上に形成される。チャネル材料700は、STI領域330の上部表面より上に延在するように、エピタキシャル成長させられ得るものであり、過剰なチャネル材料700は、平滑で平らな表面710をもたらすために、エッチングまたは化学機械研磨され得るものであり、チャネル材料700の表面710は、エピタキシャル成長に適したものであり得る。
1つまたは複数の実施形態では、チャネル材料700は、真性Si、SiGe、GaAs、およびInGaAsであり得る。チャネル材料は、第1のドレイン400または第2のドレイン600あるいはその両方の露出した表面上に、エピタキシャル成長させられ得るものであり、チャネル材料/ドレイン界面が、ドレイン400またはドレイン600あるいはその両方と、チャネル材料との間に形成され得る。チャネル材料は、第1のドレイン400および第2のドレイン600上に同時に成長させられ得る。様々な実施形態では、界面720は、個別の電子的特性、例えば、改善されたキャリア移動度および輸送特質を、第1のドレイン400または第2のドレイン600あるいはその両方の、結晶構造および方位に基づいて有し得るものであり、例えば、堆積させられるSiGeは、チャネル材料/ドレイン界面720での結晶格子不整合に起因して歪まされ得る。
図17は、例示的な実施形態による、電子デバイス構造の、フィン・チャネルを伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
チャネル材料は、トラフ740を複数のチャネル・フィン750の間に生み出すために、マスキングされること、またはエッチングされること、あるいはその両方が行われ得るものであり、チャネル・フィン750は、第1のドレイン400または第2のドレイン600の表面に近接する基端を伴い、第1のドレイン400または第2のドレイン600の表面から直立して延在する、柱状物であり得る。トラフ740は、フィン・チャネル750の間に、RIEにより形成され得る。チャネル・フィン750は、そのことにより、第1のドレインと、または第2のドレインと関連付けられ得る。
様々な実施形態では、チャネル材料700の単一のセクションが、1から25個のフィン・チャネル、または、1から15個のフィン・チャネル、または、1から10個のフィン・チャネル、2から10個のフィン・チャネル、または、1から5個のフィン・チャネル、または、2から5個のフィン・チャネル、または、2〜3個のフィン・チャネルを生み出すためにエッチングされ得る。チャネル材料700のセクションのサイズは、チャネル材料のセクションから形成されることになる、フィン・チャネルのあらかじめ決定された数に基づくものであり得るものであり、チャネル材料のセクションのサイズは、アイランド220のサイズに依存する、第1のドレイン400または第2のドレイン600のサイズにより決定される。様々な実施形態では、複数のフィン・チャネル750が、同じドレイン400から、または、同じドレイン600から延在し、そのことによって、第1のドレイン400または第2のドレイン600を通過する電流が、それらのドレイン上に形成される複数のフィン・チャネル750に分配される。
単一のフィン・チャネルが、第1のドレイン400または第2のドレイン600上に形成される実施形態では、トラフ740は、フィン・チャネル750の側部と、STI領域330の側壁との間に形成され得る。複数個のフィン・チャネル750が、第1のドレイン400または第2のドレイン600上に形成される実施形態では、トラフ740は、STI領域330の側壁に面するフィン・チャネル750の側部の間に形成され得る。
z方向でのフィン・チャネル750の高さは、約30nmから約400nmの範囲内、または、約50nmから約300nmの範囲内、または、約75nmから約200nmの範囲内であり得る。様々な実施形態では、x方向でのフィン・チャネル750の幅は、約5nmから約30nm、または、約10nmから約20nmの範囲内であり得る。様々な実施形態では、フィン・チャネル750のアスペクト比は、約3から約40の範囲内、または、約5から約20の範囲内、または、約7から約10の範囲内であり得る。様々な実施形態では、フィン・チャネル750は、約10nmから約2000nmの範囲内、または、約20nmから約1000nmの範囲内、または、約25nmから約500nmの範囲内の、y方向での長さを有し得るものであり、y方向での長さは、x方向での幅より大きい。非限定的な例では、フィン・チャネル750は、5nmのx方向での幅、100nmのy方向での長さ、および、約200nmのz方向での高さを有し得る。
形成されることになるフィン・チャネル750の数およびサイズは、電子デバイス構造の意図される電流対処能力に基づくものであり得る。フィン・チャネルの数およびサイズは、アイランド220のサイズと相関させられるものであり、より多くの、または、より大きなフィン・チャネル750が、より大きな区域ドレイン上に形成され得る。
図18は、例示的な実施形態による、電子デバイス構造を形成する、低誘電率誘電体材料を伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
1つまたは複数の実施形態を基に、第1の低誘電率誘電体材料800が、フィン・チャネル750を隔離する低誘電率スペーサを形成するために、チャネル・フィン750の上方、および、トラフ740内に形成される。第1の低誘電率誘電体材料800は、シャロウ・トレンチ・アイソレーション領域330を埋め込み得る。様々な実施形態では、第1の低誘電率スペーサ材料は、SiBCN、SiOCN、SiN、または、それらの組み合わせであり得る。
図19は、例示的な実施形態による、電子デバイス構造を形成する、低誘電率誘電体材料を伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
第1の低誘電率誘電体材料800の一部分は、平らで均一な表面810をもたらすために、化学機械研磨により除去され得る。第1の低誘電率誘電体材料800の高さは、平滑で平坦な表面を形成するために、化学機械研磨により、フィン・チャネル750の高さまで低減され得る。
図20は、例示的な実施形態による、電子デバイス構造を形成する、低誘電率誘電体材料を伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
第1の低誘電率誘電体材料800は、第1の低誘電率誘電体スペーサ820より上で露出した、フィン・チャネル750の少なくとも一部分を残して、あらかじめ決定された深さまで除去され得る。様々な実施形態では、第1の低誘電率誘電体スペーサ820の上部表面は、シャロウ・トレンチ・アイソレーション領域330の上部表面と同一面である。第1の低誘電率誘電体スペーサ820は、フィン・チャネル750の各々の少なくとも一部分に近接し得る。
様々な実施形態では、仕事関数金属(WFM)層900が、フィン・チャネル750の露出した一部分、STI領域330、および、第1の低誘電率誘電体スペーサ820の上方に形成され、仕事関数金属層900は、コンフォーマルに堆積させられ得る。WFMは、複数個の堆積させられた層を含み得る。様々な実施形態では、WFM層900は、ALDまたはPE−ALDにより堆積させられ得る。
様々な実施形態では、WFM層900は、少なくとも1つの高誘電率酸化物層と、少なくとも1つのゲート金属層とからなり、高誘電率酸化物は、HfO、HfSiO、HfSiON、La、Ta、ZrO、またはSrTiO、あるいはその組み合わせであり得るものであり、ゲート金属は、TiN、HfN、TaN、TiC、TaC、HfC、WC、TiAlN、または、それらの組み合わせであり得るものであり、WFM層900は、複数個の材料層を有し得る。様々な実施形態では、ゲート金属は金属窒化物であり得るものであり、金属窒化物は、TiN、HfN、TaN、TiAlN、または、それらの組み合わせであり得る。様々な実施形態では、WFM層間誘電体(ILD)は、ゲート金属に先行して形成される高誘電率酸化物である。1つまたは複数の実施形態では、高誘電率酸化物層は、フィン・チャネル750に近接し、フィン・チャネル750の縦の側部表面との界面を形成する。高誘電率酸化物層は、ゲート金属層の前に形成され得るものであり、高誘電率酸化物層は、フィン・チャネル750の少なくとも一部分の直接上にある。
図21は、例示的な実施形態による、電子デバイス構造の、WFM層を伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
仕事関数金属層900は、仕事関数金属キャップ920を、フィン・チャネル750の露出した上部および側部表面上に残すように、選択的に除去され得る。WFM層900は、高誘電率酸化物および金属窒化物材料の各々を、マスキングおよびエッチングすることにより除去され得る。様々な実施形態では、仕事関数金属キャップ920は、約15nm未満の厚さを有し得るものであり、WFMキャップ920の厚さは、少なくとも1つの高誘電率酸化物層の厚さ、および、少なくとも1つの金属窒化物層の厚さの総和である。
図22は、例示的な実施形態による、電子デバイス構造の、WFMキャップを伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
仕事関数金属キャップ920の、上部の一部分は、WFMキャップ920の側部を、フィン・チャネル750の各々の側部上に残して、フィン・チャネル750の上部を露にするために、エッチングまたは研磨により除去され得る。様々な実施形態では、WFMキャップ920は、約5nmから約15nmの範囲内の厚さ、または、約7nmから約10nmの範囲内の厚さ、または、約7nmの厚さを有し得る。
図23は、例示的な実施形態による、電子デバイス構造を形成する、ゲート材料を伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
ゲート材料1000が、フィン・チャネル750および仕事関数金属キャップ920の上部の上方に形成され得るものであり、ゲート材料1000は、WFMキャップ920の間、および、WFMキャップ920とSTI領域330との間のトラフ740内を充填する。STI領域330および第1の低誘電率誘電体スペーサ820は、ゲート材料1000の下に埋め込まれ得る。様々な実施形態では、ゲート材料1000は金属であり、金属は、タングステン(W)、窒化タングステン(WN)、または、それらの組み合わせであり得る。1つまたは複数の実施形態では、ゲート材料1000はタングステン(W)である。ゲート材料1000は、CVDまたはPE−CVDにより堆積させられ得る。
1つまたは複数の実施形態では、ゲート材料1000およびWFMキャップ920は、第1のドレイン400または第2のドレイン600あるいはその両方から、第1の低誘電率誘電体スペーサ820により分離され、そのことはさらには、ゲート材料1000およびWFMキャップ920の導電層からの、第1のドレイン400または第2のドレイン600あるいはその両方の隔離をもたらし得る。
図24は、例示的な実施形態による、電子デバイス構造を形成する、低減された高さのゲート材料を伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
ゲート材料1000の一部分は、平らで均一な表面をもたらすために、化学機械研磨により除去され得るものであり、ゲート材料1000の上部表面は、フィン・チャネル750の上部表面と同一面であり得る。
図25は、例示的な実施形態による、電子デバイス構造を形成する、低減された高さのゲート材料を伴う基板の、図および拡大差込図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
ゲート材料1000は、ゲート材料1000より上で露出した、フィン・チャネル750の少なくとも一部分を残して、あらかじめ決定された深さまで除去され得る。ある高さのゲート材料が、ゲート事前構造1020を形成するために残される。様々な実施形態では、低誘電率誘電体スペーサ820の表面より上のゲート事前構造1020の高さは、約15nmから約300nm、または、約15nmから約100nm、または、約15nmから約50nmの範囲内であり得る。様々な実施形態では、z方向でのゲート事前構造1020の高さは、フィン・チャネルの高さに関係付けられ、ゲート事前構造の高さは、z方向でのフィン・チャネル750の高さ未満である。様々な実施形態では、ゲート事前構造1020の高さは、WFMキャップ920の高さ以下であり得るものであり、WFMキャップ920の高さが、引き続いて、ゲート事前構造1020の高さとほとんど等しくなるように低減され得る。
図26は、例示的な実施形態による、電子デバイス構造を形成する、低減された高さのゲート材料を伴う基板の拡大差込図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
ドレインおよびフィン・チャネル・アセンブリを例解する図25の拡大差込図が、さらには図26で示される。差込図は、同じ第1のドレイン400から延在し、その同じ第1のドレイン400に電気的に結合される3つのフィン・チャネル、ならびに、ゲート事前構造1020より大きな高さを伴うWFMキャップ920を示す。WFMキャップは、ゲート事前構造1020をフィン・チャネル750から電気的に分離する。WFMキャップ920およびゲート事前構造1020は、第1のドレイン400から、第1の低誘電率誘電体スペーサ820およびSTI領域330により絶縁される。ゲート事前構造1020のタングステンは、フィン・チャネル750から、WFMキャップ920の高誘電率誘電体層により隔離される。
図27は、例示的な実施形態による、電子デバイス構造を形成する、低減された高さのゲート事前構造およびWFMキャップを伴う基板の拡大差込図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
様々な実施形態では、ゲート事前構造1020のレベルより上のWFMキャップ920が、フィン・チャネル750の側壁を、ゲート事前構造1020の上部表面より上で露出させるために除去され得るものであり、WFMキャップ920は、エッチングにより除去され得る。ゲート事前構造1020より上に延在するWFMキャップ920の一部分の除去の後、WFMキャップ920およびゲート事前構造1020は、ほとんど同じ高さを有し得る。様々な実施形態では、フィン・チャネル750は、WFMキャップ920およびゲート事前構造1020より上に約25nmから約100nm、または、WFMキャップ920およびゲート事前構造1020より上に約35nmから約75nmの範囲内で延在する。
図28は、例示的な実施形態による、電子デバイス構造を形成する、使い捨てスペーサを伴う基板の拡大差込図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
使い捨てスペーサ材料1100が、フィン・チャネル750の露出した表面の上方に形成され得るものであり、使い捨てスペーサ材料は、薄いコンフォーマル酸化物または窒化物層(例えば、SiO、SiN)であり得る。様々な実施形態では、使い捨てスペーサ材料1100は、ALDまたはPE−ALDプロセスにより形成され得る。フィン・チャネル750の少なくとも側壁上の、使い捨てスペーサ材料1100の厚さは、ゲート1030の厚さを規定するために、WFMキャップ920の厚さを超えて延在するのに十分であり得るものであり、例えばWFMキャップ920が、xおよびy方向で約7nmの厚さを有するとき、使い捨てスペーサ材料1100の厚さは、約9から約12nmの範囲内であり、そのため、ゲートの厚さは、約2nmから約5nmの範囲内であり得る。様々な実施形態では、使い捨てスペーサ・キャップ1120の厚さは、仕事関数金属キャップ920の厚さより大きく、そのため、ゲート事前構造1020の上方に水平に延在する張り出しが形成される。様々な実施形態では、堆積させられる使い捨てスペーサ材料1100の厚さは、WFMキャップ920の厚さより2nmから3nmの範囲内で大きくてもよい。
図29は、例示的な実施形態による、電子デバイス構造を形成する、使い捨てスペーサを伴う基板の拡大差込図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
ゲート事前構造1020の表面上の使い捨てスペーサ材料1100は、ゲート事前構造1020の少なくとも一部分を、フィン・チャネル750の間で露出させ、一方で、使い捨てスペーサ材料を、フィン・チャネル750の側壁上に残すように除去され得る。使い捨てスペーサ材料1100は、使い捨てスペーサ・キャップ1120を、縦型のフィン・チャネル750の露出した表面の上方に形成するために、縦型のフィン・チャネル750の間の水平表面から除去される。使い捨てスペーサ・キャップ1120は、ゲート1030を形成するための、ゲート事前構造1020の少なくとも一部分の、後続のエッチングに対するマスクであり得る。
図30は、例示的な実施形態による、電子デバイス構造を形成する、使い捨てスペーサを伴う基板の拡大差込図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
1つまたは複数の実施形態では、使い捨てスペーサ・キャップ1120の間で露出させたゲート事前構造1020の一部分が、ゲート1030を形成するために、例えばRIEにより除去され得るものであり、ゲート1030は、約2nmから約5nmの範囲内、または、約2nmから約3nmの範囲内の厚さを有し得る。ゲート1030は、仕事関数金属キャップ920に近接して形成され得るものであり、ゲート1030は、WFMキャップ920のゲート金属層との界面を形成し得るものであり、ゲート金属層は金属窒化物層であり得る。ゲート事前構造1020の露出した一部分は、第1の低誘電率誘電体スペーサ820の表面に至るまで除去され得るものであり、第1の低誘電率誘電体スペーサ820の材料は、エッチ・ストップとして働き得る。様々な実施形態では、ゲート1030は、約20nmから約300nm、または、約50nmから約200nm、または、約75nmから約100nmの、z方向での高さを有し得るものであり、ゲート1030の高さは、WFMキャップ920およびフィン・チャネル750の高さ未満である。
図31は、例示的な実施形態による、電子デバイス構造を形成する、露出させたフィン・チャネル、およびゲート構造を伴う基板の拡大差込図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
様々な実施形態では、使い捨てスペーサ・キャップ1120は、フィン・チャネル750の先端の一部分を露出させるために除去され得るものであり、使い捨てスペーサ・キャップ1120は、エッチングにより除去され得る。ゲート1030およびWFMキャップ920は、フィン・チャネル750を通る電流の制御のために、フィン・チャネル750とともにゲート構造を形成する。ゲート構造は、4つの側部上のものであり、フィン・チャネル750を包囲し得る。様々な実施形態では、ゲート構造は、約20nmから約300nm、または、約50nmから約200nm、または、約75nmから約100nmの範囲内の高さを有し得る。
図32は、例示的な実施形態による、電子デバイス構造を形成する、ゲート構造および低誘電率誘電体材料を伴う基板の拡大差込図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
様々な実施形態では、第2の低誘電率誘電体材料1200が、フィン・チャネル750の露出した先端の一部分の上方、および、ゲート1030の間の空間内に形成され得る。様々な実施形態では、第2の低誘電率誘電体材料1200は、第1のドレイン400および第2のドレイン600に近接して形成される第1の低誘電率誘電体材料800と同じであり得る。第2の低誘電率誘電体材料1200は酸化物であり得る。様々な実施形態では、第2の低誘電率誘電体材料1200は、コンフォーマルに堆積させられ得る。
図33は、例示的な実施形態による、電子デバイス構造を形成する、ゲート構造および低誘電率誘電体材料を伴う基板の拡大差込図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
第2の低誘電率誘電体材料1200は、第2の低誘電率誘電体スペーサ1220をフィン・チャネル750の間に形成するために、フィン・チャネル750の上部のレベルまで、エッチングまたは化学機械研磨により除去され得る。第2の低誘電率誘電体スペーサ1220は、フィン・チャネルを、互いから、および、他の隣接する構成要素から隔離し得る。様々な実施形態では、第2の低誘電率誘電体スペーサ1220は、第1の低誘電率誘電体スペーサ820と同じ材料で作製され得るものであり、第1の低誘電率誘電体スペーサ820および第2の低誘電率誘電体スペーサ1220は、本質的には同じ電気的特性を有し得る。
図34は、例示的な実施形態による、電子デバイス構造の、低誘電率誘電体スペーサ、および、露出させたフィン・チャネルを伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
第2の低誘電率誘電体スペーサ1220の高さは、フィン・チャネル750の先端の一部分を露出させるために低減され得る。第2の低誘電率誘電体スペーサ1220の高さは、エッチングにより低減され得る。
図35は、例示的な実施形態による、電子デバイス構造の、層間誘電体を伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
層間誘電体(ILD)材料層1300が、フィン・チャネル750の間の電気的絶縁をもたらすために、フィン・チャネル750の先端の上方に形成され得る。様々な実施形態では、層間誘電体はSiOである。様々な実施形態では、層間誘電体はSiではない。SiOは、第2の低誘電率誘電体スペーサ1220、および、フィン・チャネル750の先端の露出した一部分の上方に堆積させられ得るものであり、ILD材料層1300は、コンフォーマルに堆積させられ得る。層間誘電体(ILD)材料層1300の一部分は、平らで均一な表面をもたらすために、化学機械研磨により除去され得るものであり、層間誘電体(ILD)材料層1300の上部表面は、フィン・チャネル750の上部と同一面であり得る。様々な実施形態では、ILD材料は、第2の低誘電率誘電体スペーサ1220の材料とは異なる。
図36は、例示的な実施形態による、電子デバイス構造の、層間誘電体およびハードマスクを伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
ハードマスク1400が、層間誘電体材料層1300の上方に形成され得る。ハードマスクは、窒化チタン(TiN)、SiO、Al、窒化ケイ素(SiN)、または、それらの組み合わせであり得る。様々な実施形態では、ハードマスク1400はSiNである。
図37は、例示的な実施形態による、電子デバイス構造の、層間誘電体、および、部分的に除去されたハードマスクを伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
ハードマスク1400の一部分は、フィン・チャネル750および層間誘電体材料層1300の上部を露出させるために除去され得るものであり、ハードマスクは、マスキングまたはエッチングあるいはその両方により除去され得る。フィン・チャネル750の露出した上部は、フィン・チャネルの高さを低減するためにエッチングされ得る。様々な実施形態では、フィン・チャネル750の上部表面は、層間誘電体材料層1300と、第2の低誘電率誘電体スペーサ1220との間の界面と、ほぼ同一面であり得る。
図38は、例示的な実施形態による、電子デバイス構造の、層間誘電体およびソースを伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
様々な実施形態では、フィン・チャネル750の一部分は、開口部1310を層間誘電体材料層1300内に形成するために除去され得るものであり、残存する層間誘電体材料層1300は、層間誘電体スペーサ1320を、開口部1310の間に形成する。層間誘電体材料層1300の一部分は、当技術分野で知られているように、ILD材料層をマスキングおよびエッチングすることにより、開口部1310を形成するために除去され得る。
ソース材料が、第1のソース1520を形成するために、開口部1310内に形成され得る。様々な実施形態では、第1のソース1520は、フィン・チャネル750の上部表面上にエピタキシャル成長させられ得るものであり、第1のソース1520は、下にあるフィン・チャネル750と同じ結晶構造および方位を有し得る。第1のソースは、Si、SiGe、SiC、またはSiPであり得るものであり、第1のソースは、ホウ素、リン、または炭素をドープされ得る。様々な実施形態では、ドーパントはヒ素ではない。ソース材料の成長は、単一の層として、または、変動するドーパント・レベルを有する複数個の堆積させられる層として行われ得る。様々な実施形態では、第1のソース1520は、第1のドレイン400と同じドーピングを有する。第1のドレイン400と関連付けられるフィン・チャネル750の各々上のソース1520は、あらかじめ決定された電気的特性(例えば、キャリア移動度)をもたらすために、第1の下部表面190と同じ結晶方位を有する。
図39は、例示的な実施形態による、電子デバイス構造の、層間誘電体を伴い、ハードマスクが除去された基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
残存するハードマスク1400は、ILD材料層1300の表面から、例えば、選択エッチングにより除去され得る。
図40は、例示的な実施形態による、電子デバイス構造の、層間誘電体、および、部分的に除去されたハードマスクを伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
1つまたは複数の実施形態では、新しいハードマスク1410が、第1のソース1520、および、ILD材料層1300のセクションの上方に形成され得るものであり、ハードマスク1410の一部分が、フィン・チャネル750および層間誘電体材料層1300の、先に露出させなかった上部表面を露出させるために除去され得る。
フィン・チャネル750の一部分は、開口部1315を層間誘電体材料層1300内に形成するために除去され得るものであり、残存する層間誘電体材料層1300は、層間誘電体スペーサ1320を、開口部1315の間に形成する。フィン・チャネル750の露出した上部は、フィン・チャネルの高さを低減するためにエッチングされ得る。様々な実施形態では、フィン・チャネル750の上部表面は、層間誘電体材料層1300と、第2の低誘電率誘電体スペーサ1220との間の界面と、ほぼ同一面であり得る。
図41は、例示的な実施形態による、電子デバイス構造の、層間誘電体およびソースを伴う基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
ソース材料が、第2のソース1540を形成するために、開口部1315内に形成され得る。様々な実施形態では、第2のソース1540は、フィン・チャネル750の上部表面上にエピタキシャル成長させられ得るものであり、第2のソース1540は、下にあるフィン・チャネル750と同じ結晶構造および方位を有し得る。第2のソースは、Si、SiGe、SiC、またはSiPであり得るものであり、第2のソースは、ホウ素、リン、または炭素をドープされ得る。様々な実施形態では、ドーパントはヒ素ではない。ソース材料の成長は、単一の層として、または、変動するドーパント・レベルを有する複数個の堆積させられる層として行われ得る。1つまたは複数の実施形態では、第2のソース1540の材料は、第1のソース1520の材料とは異なる。様々な実施形態では、第2のソース1540は、第2のドレイン600と同じドーピングを有する。第2のドレイン600と関連付けられるフィン・チャネル750の各々上のソース1540は、あらかじめ決定された電気的特性(例えば、キャリア移動度)をもたらすために、第2の下部表面195と同じ結晶方位を有する。
図42は、例示的な実施形態による、電子デバイス構造の、層間誘電体を伴い、ハードマスクが除去された基板の図である。図は、デバイス構造のxおよびz方向を例解する断面図を示す。
様々な実施形態では、ハードマスク1410の残存する一部分が除去され得る。ソース1520、ソース1540、および層間誘電体スペーサ1320の露出した表面は、化学機械研磨され得る。表面は、当技術分野で知られているように洗浄され得る。
1つまたは複数の実施形態では、FETの相補対が、第1のドレイン400、フィン・チャネル750、および第1のソース1520、ならびに、第2のドレイン600、フィン・チャネル750、および第2のソース1540から形成される。様々な実施形態では、第1のドレイン400および第1のソース1520は、真性のフィン・チャネル750を有するn−finFETを形成し、第2のドレイン600および第2のソース1540は、真性のフィン・チャネル750を有するp−finFETを形成する。FETの、各々の相補対に対するゲート1030が、電気的に結合され得る。対応するfinFETは、相補型金属酸化物半導体(CMOS)トランジスタを形成するために結合され得る。
図43は、例示的な実施形態による、電子デバイス構造の、ドレイン、および、複数のフィン・チャネルを伴う基板の上面図であり、その上面図は、デバイス構造のxおよびy方向を例解する断面図を示す。
1つまたは複数の実施形態では、複数の縦型のフィン・チャネル750が、基板100上に堆積させられた第1のドレイン400上にエピタキシャル成長させられる。開口部が、第1のドレイン400との第1のドレイン接点1600を形成するために、介在層内に形成され、導電性材料によって充填され得るものであり、第1のドレイン接点は、フィン・チャネル750から横方向にずらされ得る。
図44は、例示的な実施形態による、電子デバイス構造の、ドレイン接点、ゲート接点、およびソース接点を伴う基板の上面図であり、その上面図は、デバイス構造のxおよびy方向を例解する断面図を示す。
1つまたは複数の実施形態では、WFMキャップ920およびゲート1030は、複数の縦型のフィン・チャネル750の周囲に形成され、WFMキャップ920およびゲート1030は、カラー(collar)をフィン・チャネル750の周囲に形成し、そのカラーは、フィン・チャネル750の高さの少なくとも一部分を被覆する。開口部が、第1のソース接点1650より下の第1のソース1520との第1のソース接点1650を形成するために、介在層内に形成され、導電性材料によって充填され得る。開口部が、第1のゲート接点1670を形成するために、介在層内に形成され、導電性材料によって充填され得るものであり、第1のゲート接点1670は、ゲート1030の側壁と電気的に接触し得る。第1のゲート接点1670は、CMOSを形成するために、第2のゲート接点と結合され得る。
図45は、例示的な実施形態による、電子デバイス構造の、ドレイン接点、ゲート接点、およびソース接点を伴うフィン・チャネル・アセンブリの側面図であり、その側面図は、デバイス構造のyおよびz方向を例解する断面図を示す。ドレイン、フィン・チャネル、およびソースの縦型配置構成は、電流が、基板に直立する方向に、finFetデバイスを通って流れることを可能とする。電流は、縦に、ソース1520または1540の各々を通って、それぞれ、ドレイン400またはドレイン600と関連付けられるフィン・チャネル、および、ドレイン400またはドレイン600へと流れ得る。
1つまたは複数の実施形態では、第1のドレイン接点1600、第1のゲート接点1670、および第1のソース接点1650は、縦に、それぞれのドレイン、ソース、およびゲート層から、配線レベルまで延在し得る。接点は、配線レベルへの電気的導線を形成するために、コンフォーマルに堆積させられる金属によって充填されるビアを含み得る。
図46は、例示的な実施形態による、電子デバイス構造の、複数個の層を示すフィン・チャネル・アセンブリの側面図であり、その側面図は、デバイス構造のyおよびz方向を例解する断面図を示す。
1つまたは複数の実施形態では、第1のドレイン接点1600、第1のゲート接点1670、および第1のソース接点1650は、縦に、第1の低誘電率誘電体スペーサ820、第2の低誘電率誘電体スペーサ1220、または層間誘電体スペーサ1320、あるいはその組み合わせを通って、配線レベルまで延在し得るものであり、充填されたビアは、コンフォーマルに堆積させられる金属によって充填され得る導電性トレンチ1690と交差し得る。導電性トレンチ1690は、他のデバイスおよび構成要素との電気的接続を形成して、集積回路、例えばASICまたは中央処理ユニット(CPU)を形成し得る。
図47は、例解的な実施形態による、縦型finFETを形成する例示的な方法のブロック/フロー図である。
方法1700のブロック1710で、基板表面が、後続の堆積および形成ステップに対して用意され、基板は、自然酸化物層の除去、有機およびイオン性汚染物質を除去するための洗浄、ならびに、エピタキシャル成長に適した結晶性表面をもたらすための熱処理により用意され得る。
ブロック1720で、用意された基板表面の少なくとも一部分の上方に窒化物層が形成され得るものであり、窒化物層はSiであり得る。窒化物層は、後続のエッチングおよび形成プロセスに対するマスクとして機能し得る。
ブロック1730で、マスキングおよびエッチングにより窒化物層の一部分が除去され、下にある基板の一部分を露にし、一方で、基板表面の他の一部分の上方に窒化物アイランドを残し得る。
ブロック1740で、下にある基板の露にされた一部分がエッチングされて、基板の中にトレンチを形成し得る。
ブロック1750で、トレンチ内に誘電体材料が堆積させられ得る。誘電体材料は、シャロウ・トレンチ・アイソレーション領域を基板上に形成し得るものであり、それらの領域が、窒化物アイランドにより被覆された、隆起した基板の一部分を分離する。堆積させられる誘電体材料は、トレンチを充填し、窒化物アイランドを被覆し得る。様々な実施形態では、トレンチ内に堆積させられる誘電体材料はSiOであり、SiOは、熱的に成長させられない。
ブロック1760で、誘電体材料は、窒化物アイランドの表面より上に延在する誘電体材料の一部分を除去するために化学機械研磨され得る。化学機械研磨は、後続のエッチングおよび堆積プロセスに対する初期高さを規定し得る、均一で平坦な表面をもたらし得る。
ブロック1770で、化学機械研磨により露出させた窒化物アイランドの1つまたは複数は除去され、下にある基板を露出させ得る。露出した窒化物アイランドは、選択エッチング・プロセス、例えば、Siに対する、RIEまたはリン酸溶液あるいはその両方により除去され得る。
図48は、例解的な実施形態による、図47の縦型finFETを形成する例示的な方法のブロック/フロー図の続きである。
ブロック1780で、下にある基板の高さは、先に除去された窒化物アイランドの下にある基板材料の一部分を除くようにエッチングすることにより低減され得る。陥凹させられた領域が、あらかじめ決定された量の基板材料をエッチングすることにより形成され得るものであり、陥凹させられた領域は、露出した下部表面を有する。陥凹させられた領域の露出した下部表面は、エッチングが完了した後、隣接するSTI領域の下部表面より上であり得るものであり、そのため、STI領域は、基板の陥凹させられた領域を分離する。
ブロック1790で、陥凹させられた領域内に第1の材料が堆積させられ、finFET構造に対する第1のドレインを形成し得る。第1のドレインは、基板内の陥凹させられた領域の露出した下部表面からエピタキシャル成長させられ得るものであり、そのため、ドレインは、基板の表面と同じ結晶構造および方位を有する。様々な実施形態では、基板の組成は、エピタキシ界面での、結晶歪み、または、欠陥および転位、あるいはその両方を考慮に入れるように、基板および下部表面の格子パラメータを変化させるために、あらかじめ決定され得る、または調整され得る。基板の結晶方位および格子定数が、デバイス構成要素の界面での、エピタキシャル成長特性および電気的特性(すなわち、制御される格子不整合、歪み、最小限の転位)をもたらすために、あらかじめ決定され得る。様々な実施形態では、ドレインは、nドープ・ドレインまたはpドープ・ドレインであり得る。
様々な実施形態は、陥凹させられた領域内に初期に形成されるドレインを有すると説明され得るが、このことは、単に説明的な目的でのものであり、1つまたは複数の実施形態では、ソースおよびドレインは交換されることがあり、そのことによって、ソース材料が、陥凹部領域内に形成される。
ブロック1800で、STI領域、ドレイン、および窒化物アイランドの上方にハード酸化物マスクが形成され得る。
ブロック1810で、1つまたは複数の窒化物アイランドから、エッチングによりハード酸化物マスクが除去され得る。
ブロック1820で、露出させた窒化物アイランドが除去され、下にある基板を露出させ得る。
ブロック1830で、下にある基板の高さは、先に除去された窒化物アイランドの下にある基板材料の一部分を除くようにエッチングすることにより低減され得る。陥凹させられた領域が、あらかじめ決定された量の基板材料をエッチングすることにより形成され得るものであり、陥凹させられた領域は、露出した下部表面を有する。陥凹させられた領域の露出した下部表面は、エッチングが完了した後、隣接するSTI領域の下部表面より上であり得るものであり、そのため、STI領域は、基板の陥凹させられた領域を分離する。
ブロック1840で、陥凹させられた領域内に第2の材料が堆積させられ、finFET構造に対する第2のドレインを形成し得る。基板内の陥凹させられた領域の露出した下部表面から第2のドレインがエピタキシャル成長させられ得るものであり、そのため、ドレインは、基板の表面と同じ結晶構造および方位を有する。様々な実施形態では、基板の組成は、エピタキシ界面での、結晶歪み、または、欠陥および転位、あるいはその両方を考慮するように、基板および下部表面の格子パラメータを変化させるために、あらかじめ決定され得る、または調整され得る。様々な実施形態では、ドレインは、nドープ・ドレインまたはpドープ・ドレインであり得る。第2の材料は、第1の材料と同じであり得る、または、第1の材料とは異なり得る。
図49は、例解的な実施形態による、図48の縦型finFETを形成する例示的な方法のブロック/フロー図の続きである。
ブロック1850で、先に形成されたドレインおよびSTI領域の上方のハード酸化物マスクが除去され、下にある構成部分を露にし得る。ハード酸化物マスクの除去の後、ドレインの上部表面のすべてを、後続のプロセスに向けて露出させる。
ブロック1860で、露出したドレイン表面上にチャネル材料が形成される。チャネル材料は、露出したドレイン表面からエピタキシャル成長させられ得るものであり、そのため、チャネル材料は、ドレインの表面と同じ結晶構造および方位を有する。様々な実施形態では、チャネル材料は真性シリコン(Si)であり、しかるに、ドレインは外因性材料である。チャネル材料は、隣接するSTI領域の誘電体材料の高さより大きな高さまでエピタキシャル成長させられ得る。
ブロック1870で、チャネル材料の一部分が除去され、トラフにより分離されたチャネル材料の柱状物を形成し得るものであり、トラフは、ドレインの表面まで延在し、ドレインの表面からチャネル材料が成長させられる。トラフによるチャネル材料の柱状物の分離は、下にあるドレインから延在する1つまたは複数のフィン・チャネルを形成する。様々な実施形態では、各々のドレイン上に、最終的なfinFETの、あらかじめ決定された電流搬送能力に基づいて1から25個のフィン・チャネルが形成され得る。
ブロック1880で、フィン・チャネルの上方、およびトラフ内に低誘電率スペーサ材料が形成され得る。低誘電率スペーサ材料は、トラフが本質的に完全に充填されることを確実にするために、フィン・チャネルの上方、およびトラフ内に、コンフォーマルに堆積させられ得るが、例えば、意図されないボイド、および、被覆されない表面が、偶発的に発生することがある。低誘電率スペーサ材料は、ALD、PE−ALD、CVD、PE−CVD、または、それらの組み合わせにより堆積させられ得る。様々な実施形態では、低誘電率スペーサ材料は、SiBCN、SiOCN、SiN、または、それらの組み合わせであり得る。
ブロック1890で、堆積させられる低誘電率スペーサ材料は化学機械研磨され、フィン・チャネルの上部表面より上に延在する低誘電率スペーサ材料の一部分を除去し得る。化学機械研磨は、後続のエッチングおよび堆積プロセスに対する均一な初期高さを規定し得る、均一で平坦な表面をもたらし得る。
ブロック1900で、あらかじめ決定された深さまで低誘電率スペーサ材料の厚さを除去することにより、トラフ内の、フィン・チャネルに近接する、低誘電率スペーサ材料の高さが低減され得る。あらかじめ決定された深さは、STI領域を形成するSiOの上部表面と、ほぼ同一平面であり得るものであり、そのため、均一な表面高さが、後続の堆積およびエッチングに対して利用可能である。
ブロック1910で、低誘電率スペーサ材料の除去の後に露出したフィン・チャネルの上部および側部表面の上方に多層仕事関数金属層が形成される。少なくとも1つの高誘電率誘電体材料層が、最初に、フィン・チャネルを電気的に絶縁するために、フィン・チャネルの上部および側部表面の直接上に形成され得るものであり、高誘電率誘電体材料は、高誘電率酸化物材料であり得る。異なる化学組成および特性を有する追加的な高誘電率誘電体材料層が、さらには堆積させられ得るものであり、例えば、HfOの層、および、HfSiOの層が、界面特性を制御するために堆積させられ得る。少なくとも1つの金属窒化物層が、ゲート材料に対する接触界面を形成するため、および、界面の仕事関数を制御するために、高誘電率誘電体材料層の上方に形成される。WFM層材料およびゲート材料は、finFETデバイスの意図される電気的特性、例えば、容量性結合および電流−電圧特質を実現するために、あらかじめ決定され得る。
図50は、例解的な実施形態による、図49の縦型finFETを形成する例示的な方法のブロック/フロー図の続きである。
ブロック1920で、フィン・チャネルの上部表面上のWFM層の一部分が除去され、フィン・チャネルの上部表面を露出させ得る。
ブロック1930で、ゲート材料が、フィン・チャネルおよびWFM層の上方に形成され、フィン・チャネルの側部を被覆するWFM層の間のトラフ内を充填し得る。ゲート材料は、トラフが本質的に完全に充填されることを確実にするために、コンフォーマルに堆積させられ得るものであり、均一な界面が、WFM層とゲート材料との間で実現される。様々な実施形態では、ゲート材料はタングステンである。
ブロック1940で、ゲート材料は化学機械研磨され、フィン・チャネルの上部表面より上に延在するゲート材料の一部分を除去し得る。化学機械研磨は、後続のエッチングおよび堆積プロセスに対する均一な初期高さを規定し得る、均一で平坦な表面をもたらし得る。WFM層およびゲート材料は、低誘電率スペーサ材料から、フィン・チャネルの上部表面まで延在し得る。
ブロック1950で、ゲート材料の一部分を除去することによりゲート材料の高さが低減され、ゲート事前構造を形成し得る。残存するゲート材料により被覆されるフィン・チャネルの高さは、ゲートの高さを決定する。
ブロック1960で、フィン・チャネルの側部上のWFM層が除去され、フィン・チャネルの一部分を露出させ得る。WFM層の、金属窒化物層および高誘電率誘電体材料層が、1つまたは複数のエッチング・プロセスにより除去され得る。WFM層およびゲート事前構造は、同じ高さを有し得る。
ブロック1970で、フィン・チャネルの露出した上部および側部表面、ならびに、WFM層およびゲート事前構造の露出した表面の上方に使い捨てスペーサ材料が形成される。使い捨てスペーサ材料の厚さは、例えば、引き続いて形成されるゲートの幅を規定するために、ALD堆積による1つの原子層の中で、精密に制御され得るものであり、使い捨てスペーサ材料は、フィン・チャネルの露出した上部および側部表面上に、コンフォーマルに堆積させられる。
ブロック1980で、ゲート事前構造のゲート材料のみを被覆する使い捨てスペーサ材料の水平方向の一部分が除去され、一方で、フィン・チャネルの上部および側部表面を被覆する使い捨てスペーサ材料は、使い捨てスペーサ・キャップを創出するために残存する。マスキングまたはRIEあるいはその両方が、ゲート事前構造の上部表面上の使い捨てスペーサ材料を選択エッチングするために使用され得る。エッチングの後、使い捨てスペーサ・キャップの間のゲート材料を、後続の除去に向けて露出させる。
図51は、例解的な実施形態による、図50の縦型finFETを形成する例示的な方法のブロック/フロー図の続きである。
ブロック1990で、ゲート事前構造の露出したゲート材料は除去され、低誘電率スペーサを露出させ、トラフにより分離された2つのゲートを創出する。低誘電率スペーサは、ゲートをドレインから電気的に絶縁する。
ブロック2000で、フィン・チャネルから使い捨てスペーサ・キャップが除去される。あらかじめ決定された高さのWFM層およびゲートは、少なくとも、各々のフィン・チャネルの両側にある。様々な実施形態では、WFM層およびゲートは、フィン・チャネルの4つの側部上にあり、チャネル電流は、少なくとも1つの側部上のゲートを欠くMOSFETチャネルと比較すると、ゲート電圧により遮断され得る。
ブロック2010で、低誘電率スペーサ材料が、フィン・チャネル、WFM層、およびゲートの上方に形成され、ゲートの間のトラフ内を充填し得る。低誘電率スペーサ材料は、ゲートの間のトラフが本質的に完全に充填されることを確実にするために、コンフォーマルに堆積させられ得る。様々な実施形態では、低誘電率スペーサ材料は、SiBCN、SiOCN、SiN、または、それらの組み合わせであり得る。
ブロック2020で、低誘電率スペーサ材料は化学機械研磨され、フィン・チャネルの上部表面より上に延在する低誘電率スペーサ材料の一部分を除去し得る。化学機械研磨は、後続のエッチングおよび堆積プロセスに対する均一な初期高さを規定し得る、均一で平坦な表面をもたらし得る。
ブロック2030で、フィン・チャネルの上部より下の、あらかじめ決定された深さまで低誘電率スペーサ材料が除去され得る。低誘電率スペーサ材料はエッチングされ得る。
ブロック2040で、露出したフィン・チャネル、および、低誘電率スペーサ材料の露出した表面上に層間誘電体が堆積させられ、ILDはSiOであり得る。
ブロック2050で、均一で平坦な表面を形成するためにILDが化学機械研磨される。
図52は、例解的な実施形態による、図51の縦型finFETを形成する例示的な方法のブロック/フロー図の続きである。
ブロック2060で、ILD、および、フィン・チャネルの上部表面上に第1のハードマスクが堆積させられる。
ブロック2070で、第1のハードマスクの一部分が、ILDの選択された区域から除去され、フィン・チャネルの、1つまたは複数の上部表面を露出させる。
ブロック2080で、フィン・チャネル材料が除去され、ILD内に開口部を形成する。フィン・チャネルの高さは、低誘電率スペーサの上部表面のレベルまで低減され得る。
ブロック2090で、finFETのソースを形成するための材料が、ILD内の開口部内に堆積させられ得る。ソース材料は、フィン・チャネルからエピタキシャル成長させられ得るものであり、フィン・チャネル材料と同じ結晶構造および方位を有し得る。
ブロック2100で、ILDの表面上に残存する第1のハードマスクが除去される。
ブロック2110で、ILD、先に堆積させられたソース、および、フィン・チャネルの露出した上部の、表面上に第2のハードマスクが堆積させられる。
ブロック2120で、ILDの選択された区域から第2のハードマスクが除去され、フィン・チャネルの、1つまたは複数の上部表面を露出させる。
図53は、例解的な実施形態による、図52の縦型finFETを形成する例示的な方法のブロック/フロー図の続きである。
ブロック2130で、フィン・チャネル材料が除去され、ILD内に開口部を形成する。フィン・チャネルの高さは、低誘電率スペーサの上部表面のレベルまで低減され得る。
ブロック2140で、finFETのソースを形成するための材料が、ILD内の開口部内に堆積させられ得る。ソース材料は、フィン・チャネルからエピタキシャル成長させられ得るものであり、フィン・チャネル材料と同じ結晶構造および方位を有し得る。第1のドレインおよび第2のドレインと関連付けられるフィン・チャネルの各々上のソースは、フィン・チャネルと同じ結晶方位を有する。
ブロック2150で、ILDの表面上に残存する第2のハードマスクが除去される。
様々な実施形態では、開口部が、ソース、ドレイン、およびゲートとの電気的接点を形成するように、導電性材料によって充填され得るビアを形成するために、介在層内に作製され得る。ビアは、1つまたは複数のコンフォーマル層を堆積させ、ビア内において金属をコンフォーマル層上に電着させることにより充填され得る。
本開示の態様は、縦型電界効果トランジスタを製造する方法であって、第1の下部表面を有する第1の陥凹部を、あらかじめ決定された結晶方位を伴う基板内に形成することと、第1の陥凹部の下部表面から第1のドレインをエピタキシャル成長させることと、第2の下部表面を有する第2の陥凹部を基板内に形成することと、第2の陥凹部の下部表面から第2のドレインをエピタキシャル成長させることと、第1のドレインおよび第2のドレイン上にチャネル材料をエピタキシャル成長させることと、チャネル材料内にトラフを形成して、第1のドレイン上に1つまたは複数のフィン・チャネルを、および第2のドレイン上に1つまたは複数のフィン・チャネルを形成することであって、第1のドレインの上方のトラフは、第1のドレインの表面まで延在し、第2のドレインの上方のトラフは、第2のドレインの表面まで延在する、形成することと、WFMキャップをフィン・チャネルの各々上に形成することと、ゲートをWFMキャップの各々上に形成することであって、WFMキャップはゲートをフィン・チャネルから電気的に分離する、形成することと、第1のソースを、第1のドレイン上に成長させたフィン・チャネルの各々上にエピタキシャル成長させることと、第2のソースを、第2のドレイン上に成長させたフィン・チャネルの各々上にエピタキシャル成長させることとを含む、方法に関係する。
本開示の態様はさらには、縦型電界効果トランジスタであって、あらかじめ決定された結晶方位を伴う基板内の第1の陥凹部であって、第1の下部表面を有する第1の陥凹部と、第1の陥凹部の下部表面上の第1のドレインであって、第1の下部表面と同じ結晶方位を有する第1のドレインと、基板内の第2の陥凹部であって、第2の下部表面を有する第2の陥凹部と、第2の陥凹部の下部表面上の第2のドレインであって、第2の下部表面と同じ結晶方位を有する第2のドレインと、第1のドレイン上の1つまたは複数のフィン・チャネルであって、第1の下部表面と同じ結晶方位を有する1つまたは複数のフィン・チャネルと、第2のドレイン上の1つまたは複数のフィン・チャネルであって、第2の下部表面と同じ結晶方位を有する1つまたは複数のフィン・チャネルと、フィン・チャネルの各々上のWFMキャップと、WFMキャップの各々上のゲートであって、WFMキャップはゲートをフィン・チャネルから電気的に分離する、ゲートと、第1のドレイン上に成長させたフィン・チャネルの各々上の第1のソースであって、第1の下部表面と同じ結晶方位を有する第1のソースと、第2のドレイン上に成長させたフィン・チャネルの各々上の第2のソースであって、第2の下部表面と同じ結晶方位を有する第2のソースとを備える、縦型電界効果トランジスタに関係する。
縦型トランジスタ製造およびデバイスの好ましい実施形態(例解的にし、限定的にしないことを意図している)を説明したが、変更および改変が、当業者により、上記の教示に鑑みて為され得るということが注目される。それゆえに、添付された特許請求の範囲により概説されるような本発明の範囲内にある変形が、開示される個別の実施形態において為され得るということが理解されることになる。かくして、特許法により要される詳細および個別性を伴う、本発明の態様を説明したが、特許証により保護される、請求および所望されるものは、添付された特許請求の範囲において論述される。

Claims (20)

  1. 縦型電界効果トランジスタを製造する方法であって、
    第1の下部表面を有する第1の陥凹部を基板内に形成することと、
    前記第1の陥凹部の前記第1の下部表面から第1のドレインをエピタキシャル成長させることと、
    前記基板内に形成された第2の陥凹部の第2の下部表面から第2のドレインをエピタキシャル成長させることと、
    前記第1のドレインおよび前記第2のドレイン上にチャネル材料をエピタキシャル成長させることと、
    前記チャネル材料内にトラフを形成して、前記第1のドレイン上に1つまたは複数のフィン・チャネルを、および前記第2のドレイン上に1つまたは複数のフィン・チャネルを形成することであって、前記第1のドレインの上方の前記トラフは、前記第1のドレインの表面まで延在し、前記第2のドレインの上方の前記トラフは、前記第2のドレインの表面まで延在する、前記形成することと、
    前記1つまたは複数のフィン・チャネルの各々上にゲート構造を形成することと、
    前記第1のドレインおよび前記第2のドレインと関連付けられる前記フィン・チャネルの各々上にソースを成長させることと、
    を含む、方法。
  2. 前記第1のドレインと前記第2のドレインとの間にあるシャロウ・トレンチ・アイソレーション領域を前記基板内に形成することと、前記フィン・チャネルの間の前記トラフの各々内に第1の低誘電率誘電体スペーサを形成することとをさらに含む、請求項1に記載の方法。
  3. 前記第1のドレインへの第1のドレイン接点を形成することと、第1のゲートへの第1のゲート接点を形成することと、前記第1のドレインと関連付けられる前記フィン・チャネル上の前記ソースの各々への第1のソース接点を形成することとをさらに含む、請求項1に記載の方法。
  4. 前記第1のドレイン上に1から25個のフィン・チャネルが形成され、前記第2のドレイン上に1から25個のフィン・チャネルが形成される、請求項1に記載の方法。
  5. 前記フィン・チャネルは、30nmから400nmの範囲内の高さを有し、前記フィン・チャネルは真性シリコンを含む、請求項1に記載の方法。
  6. 前記第1のドレイン、および、前記第1のドレインと関連付けられる前記フィン・チャネル上の前記ソースは、nドープ材料を含み、前記第2のドレイン、および、前記第2のドレインと関連付けられる前記フィン・チャネル上のソースは、pドープ材料を含む、請求項1に記載の方法。
  7. 前記ゲート構造は、原子層堆積(ALD)またはプラズマ強化原子層堆積(PE−ALD)により前記フィン・チャネル上に形成される仕事関数金属(WFM)キャップを備える、請求項1に記載の方法。
  8. 前記WFMキャップの各々は、5nmから15nmの範囲内の厚さまで形成され、前記WFMキャップの各々上の前記ゲートは、2nmから5nmの範囲内の厚さまで形成される、請求項7に記載の方法。
  9. 縦型電界効果トランジスタを製造する方法であって、
    シャロウ・トレンチ・アイソレーション領域を基板内に形成することと、
    第1の下部表面を有する第1の陥凹部を基板内に形成することと、
    前記第1の陥凹部の前記第1の下部表面から第1のドレインをエピタキシャル成長させることと、
    前記基板内に形成された第2の陥凹部の第2の下部表面から第2のドレインをエピタキシャル成長させることであって、前記シャロウ・トレンチ・アイソレーション領域は、前記第1のドレインと前記第2のドレインとの間にある、前記エピタキシャル成長させることと、
    前記第1のドレインおよび前記第2のドレイン上にチャネル材料をエピタキシャル成長させることであって、前記チャネル材料は真性シリコンを含む、前記成長させることと、
    前記チャネル材料内にトラフを形成して、前記第1のドレイン上に1つまたは複数のフィン・チャネルを、および前記第2のドレイン上に1つまたは複数のフィン・チャネルを形成することであって、前記第1のドレインの上方の前記トラフは、前記第1のドレインの表面まで延在し、前記第2のドレインの上方の前記トラフは、前記第2のドレインの表面まで延在する、前記形成することと、
    前記フィン・チャネルの間の前記トラフの各々内に第1の低誘電率誘電体スペーサを形成することと、
    前記1つまたは複数のフィン・チャネルの各々上にゲート構造を形成することと、
    前記第1のドレインおよび前記第2のドレインと関連付けられる前記フィン・チャネルの各々上にソースを成長させることと、
    を含む、方法。
  10. 前記フィン・チャネルは、30nmから400nmの範囲内の高さを有し、前記フィン・チャネルは真性シリコンを含む、請求項9に記載の方法。
  11. 前記ゲート構造は、20nmから300nmの範囲内の高さを有する、請求項9に記載の方法。
  12. 前記1つまたは複数のフィン・チャネルの各々上の、各々のゲート構造は、5nmから15nmの範囲内の厚さまで形成されるWFMキャップと、2nmから5nmの範囲内の厚さまで形成される、前記WFMキャップの各々上のゲートとを備える、請求項9に記載の方法。
  13. 前記ゲートはタングステンを含む、請求項12に記載の方法。
  14. 縦型電界効果トランジスタであって、
    基板内の第1の陥凹部であって、第1の下部表面を有する前記第1の陥凹部と、
    前記第1の陥凹部の前記第1の下部表面上の第1のドレインであって、前記第1の下部表面と同じ結晶方位を有する前記第1のドレインと、
    前記基板内の第2の陥凹部であって、第2の下部表面を有する前記第2の陥凹部と、
    前記基板内に形成された第2の陥凹部の前記第2の下部表面上の第2のドレインであって、前記第2の下部表面と同じ結晶方位を有する前記第2のドレインと、
    前記第1のドレイン上の1つまたは複数のフィン・チャネルであって、前記第1の下部表面と同じ結晶方位を有する前記1つまたは複数のフィン・チャネルと、
    前記第2のドレイン上の1つまたは複数のフィン・チャネルであって、前記第2の下部表面と同じ結晶方位を有する前記1つまたは複数のフィン・チャネルと、
    前記フィン・チャネルの各々上のゲート構造と、
    前記第1のドレインおよび前記第2のドレインと関連付けられる前記フィン・チャネルの各々上のソースであって、前記フィン・チャネルと同じ結晶方位を有する前記ソースと、
    を備える、縦型電界効果トランジスタ。
  15. 前記基板内のシャロウ・トレンチ・アイソレーション領域であって、前記第1のドレインと前記第2のドレインとの間にある前記シャロウ・トレンチ・アイソレーション領域と、前記フィン・チャネルの間のトラフの各々内の第1の低誘電率誘電体スペーサとをさらに備える、請求項14に記載の縦型電界効果トランジスタ。
  16. 前記第1のドレインと電気的に接触する第1のドレイン接点と、第1のゲートと電気的に接触する第1のゲート接点と、前記第1のドレインと関連付けられる前記フィン・チャネル上の前記ソースの各々と電気的に接触する第1のソース接点とをさらに備える、請求項14に記載の縦型電界効果トランジスタ。
  17. 1から25個のフィン・チャネルが、前記第1のドレイン上にあり、前記第1のドレインと電気的に接触し、1から25個のフィン・チャネルが、前記第2のドレイン上にあり、前記第2のドレインと電気的に接触する、請求項14に記載の縦型電界効果トランジスタ。
  18. 前記フィン・チャネルは、30nmから400nmの範囲内の高さを有する、請求項14に記載の縦型電界効果トランジスタ。
  19. 前記第1のドレイン、および、前記第1のドレインと関連付けられる前記フィン・チャネル上の前記ソースは、nドープ材料を含み、前記第2のドレイン、および、前記第2のドレインと関連付けられる前記フィン・チャネル上の前記ソースは、pドープ材料を含む、請求項14に記載の縦型電界効果トランジスタ。
  20. 前記第1のドレイン、および、前記第1のドレインと関連付けられる前記フィン・チャネル上の前記ソースは、ホウ素ドープ・シリコン・ゲルマニウム(SiGe−B)を含み、前記第2のドレイン、および、前記第2のドレインと関連付けられる前記フィン・チャネル上の前記ソースは、リン・ドープ炭化ケイ素(SiC−P)を含み、前記フィン・チャネルは真性シリコンを含む、請求項14に記載の縦型電界効果トランジスタ。
JP2018527893A 2015-12-18 2016-12-15 縦型電界効果トランジスタおよびその製造方法 Active JP6788672B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/975,168 US9431305B1 (en) 2015-12-18 2015-12-18 Vertical transistor fabrication and devices
US14/975,168 2015-12-18
PCT/IB2016/057645 WO2017103832A1 (en) 2015-12-18 2016-12-15 Vertical transistor fabrication and devices

Publications (2)

Publication Number Publication Date
JP2018537856A true JP2018537856A (ja) 2018-12-20
JP6788672B2 JP6788672B2 (ja) 2020-11-25

Family

ID=56739967

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018527893A Active JP6788672B2 (ja) 2015-12-18 2016-12-15 縦型電界効果トランジスタおよびその製造方法

Country Status (6)

Country Link
US (7) US9431305B1 (ja)
JP (1) JP6788672B2 (ja)
CN (1) CN108431953B (ja)
DE (1) DE112016003961B4 (ja)
GB (1) GB2556260B (ja)
WO (1) WO2017103832A1 (ja)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2015147866A1 (en) * 2014-03-28 2015-10-01 Intel Corporation Selectively regrown top contact for vertical semiconductor devices
US9431305B1 (en) * 2015-12-18 2016-08-30 International Business Machines Corporation Vertical transistor fabrication and devices
US9954101B2 (en) * 2016-06-15 2018-04-24 International Business Machines Corporation Precise junction placement in vertical semiconductor devices using etch stop layers
US10424515B2 (en) * 2016-06-30 2019-09-24 International Business Machines Corporation Vertical FET devices with multiple channel lengths
US9922983B1 (en) 2016-09-22 2018-03-20 International Business Machines Corporation Threshold voltage modulation through channel length adjustment
US9768166B1 (en) * 2016-09-26 2017-09-19 International Business Machines Corporation Integrated LDMOS and VFET transistors
WO2018063277A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Integrated circuit devices with non-collapsed fins and methods of treating the fins to prevent fin collapse
US10297598B2 (en) 2017-01-16 2019-05-21 International Business Machines Corporation Formation of full metal gate to suppress interficial layer growth
US9960254B1 (en) 2017-02-06 2018-05-01 International Business Machines Corporation Replacement metal gate scheme with self-alignment gate for vertical field effect transistors
US10840354B2 (en) * 2017-02-06 2020-11-17 International Business Machines Corporation Approach to bottom dielectric isolation for vertical transport fin field effect transistors
US9870952B1 (en) 2017-02-07 2018-01-16 International Business Machines Corporation Formation of VFET and finFET
US10468524B2 (en) 2017-03-24 2019-11-05 International Business Machines Corporation Vertical field effect transistor with improved reliability
US10056289B1 (en) 2017-04-20 2018-08-21 International Business Machines Corporation Fabrication of vertical transport fin field effect transistors with a self-aligned separator and an isolation region with an air gap
US10177037B2 (en) * 2017-04-25 2019-01-08 Globalfoundries Inc. Methods of forming a CT pillar between gate structures in a semiconductor
US10236379B2 (en) 2017-05-12 2019-03-19 Globalfoundries Inc. Vertical FET with self-aligned source/drain regions and gate length based on channel epitaxial growth process
US9991359B1 (en) 2017-06-15 2018-06-05 International Business Machines Corporation Vertical transistor gated diode
US9960077B1 (en) * 2017-08-17 2018-05-01 Globalfoundries Inc. Ultra-scale gate cut pillar with overlay immunity and method for producing the same
US10672888B2 (en) 2017-08-21 2020-06-02 International Business Machines Corporation Vertical transistors having improved gate length control
US10176997B1 (en) 2017-09-11 2019-01-08 International Business Machines Corporation Direct gate patterning for vertical transport field effect transistor
US11081483B2 (en) 2017-09-29 2021-08-03 Intel Corporation CMOS circuit with a group III-nitride transistor and method of providing same
US10256320B1 (en) 2017-10-06 2019-04-09 International Business Machines Corporation Vertical field-effect-transistors having a silicon oxide layer with controlled thickness
US10297507B2 (en) 2017-10-17 2019-05-21 International Business Machines Corporation Self-aligned vertical field-effect transistor with epitaxially grown bottom and top source drain regions
US10236346B1 (en) 2017-10-25 2019-03-19 International Business Machines Corporation Transistor having a high germanium percentage fin channel and a gradient source/drain junction doping profile
US10319836B1 (en) 2017-12-20 2019-06-11 International Business Machines Corporation Effective junction formation in vertical transistor structures by engineered bottom source/drain epitaxy
US10340341B1 (en) 2017-12-20 2019-07-02 International Business Machines Corporation Self-limiting and confining epitaxial nucleation
US10615276B2 (en) * 2017-12-22 2020-04-07 International Business Machines Corporation Integration of input/output device in vertical field-effect transistor technology
US10811528B2 (en) * 2018-03-21 2020-10-20 International Business Machines Corporation Two step fin etch and reveal for VTFETs and high breakdown LDVTFETs
US10361315B1 (en) 2018-03-22 2019-07-23 International Business Machines Corporation Method and apparatus of fabricating source and drain epitaxy for vertical field effect transistor
US10686057B2 (en) 2018-04-12 2020-06-16 International Business Machines Corporation Vertical transport FET devices having a sacrificial doped layer
US10593797B2 (en) 2018-06-26 2020-03-17 International Business Machines Corporation Vertical transport field effect transistor structure with self-aligned top junction through early top source/drain epitaxy
US10756097B2 (en) * 2018-06-29 2020-08-25 International Business Machines Corporation Stacked vertical transistor-based mask-programmable ROM
CN109326650B (zh) * 2018-10-10 2022-04-19 中国科学院微电子研究所 半导体器件及其制造方法及包括该器件的电子设备
US20200135585A1 (en) * 2018-10-29 2020-04-30 International Business Machines Corporation Maskless top source/drain epitaxial growth on vertical transport field effect transistor
US10777659B2 (en) 2018-11-15 2020-09-15 International Business Machines Corporation Self-aligned bottom source/drain epitaxial growth in vertical field effect transistors
US11024738B2 (en) * 2019-03-13 2021-06-01 International Business Machines Corporation Measurement of top contact resistance in vertical field-effect transistor devices
US11011411B2 (en) * 2019-03-22 2021-05-18 International Business Machines Corporation Semiconductor wafer having integrated circuits with bottom local interconnects
US10937890B2 (en) 2019-04-03 2021-03-02 International Business Machines Corporation Vertical field-effect transistor late gate recess process with improved inter-layer dielectric protection
US11437489B2 (en) 2019-09-27 2022-09-06 International Business Machines Corporation Techniques for forming replacement metal gate for VFET
KR20210081679A (ko) * 2019-12-24 2021-07-02 삼성전자주식회사 반도체 장치
US11615992B2 (en) * 2020-01-15 2023-03-28 International Business Machines Corporation Substrate isolated VTFET devices

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040161886A1 (en) * 1999-08-13 2004-08-19 Leonard Forbes Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk. SOI and thin film structures and method of forming same
JP2005310921A (ja) * 2004-04-19 2005-11-04 Okayama Prefecture Mos型半導体装置及びその製造方法
US20070148939A1 (en) * 2005-12-22 2007-06-28 International Business Machines Corporation Low leakage heterojunction vertical transistors and high performance devices thereof
JP2009094364A (ja) * 2007-10-10 2009-04-30 Toshiba Corp 半導体装置及びその製造方法
US20150194423A1 (en) * 2014-01-07 2015-07-09 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with multiple threshold voltage and method of fabricating the same

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05206394A (ja) * 1992-01-24 1993-08-13 Mitsubishi Electric Corp 電界効果トランジスタおよびその製造方法
US5521422A (en) * 1994-12-02 1996-05-28 International Business Machines Corporation Corner protected shallow trench isolation device
US5929477A (en) * 1997-01-22 1999-07-27 International Business Machines Corporation Self-aligned diffused source vertical transistors with stack capacitors in a 4F-square memory cell array
US6242775B1 (en) 1998-02-24 2001-06-05 Micron Technology, Inc. Circuits and methods using vertical complementary transistors
US6580124B1 (en) 2000-08-14 2003-06-17 Matrix Semiconductor Inc. Multigate semiconductor device with vertical channel current and method of fabrication
TW533473B (en) 2001-12-31 2003-05-21 Silicon Integrated Sys Corp Manufacturing method of shallow trench isolation
US7683428B2 (en) 2004-01-22 2010-03-23 International Business Machines Corporation Vertical Fin-FET MOS devices
KR100673105B1 (ko) * 2005-03-31 2007-01-22 주식회사 하이닉스반도체 반도체 소자의 수직형 트랜지스터 및 그의 형성 방법
KR100723527B1 (ko) 2006-02-13 2007-05-30 삼성전자주식회사 수직 채널 트랜지스터를 구비한 반도체 소자의 제조방법 및그에 의해 제조된 반도체 소자
US7892956B2 (en) 2007-09-24 2011-02-22 International Business Machines Corporation Methods of manufacture of vertical nanowire FET devices
US8274110B2 (en) 2009-05-20 2012-09-25 Micron Technology, Inc. Vertically-oriented semiconductor selection device providing high drive current in cross-point array memory
US7993999B2 (en) 2009-11-09 2011-08-09 International Business Machines Corporation High-K/metal gate CMOS finFET with improved pFET threshold voltage
CN102832221B (zh) * 2011-06-16 2016-10-26 三星电子株式会社 具有竖直装置和非竖直装置的半导体装置及其形成方法
KR101893848B1 (ko) * 2011-06-16 2018-10-04 삼성전자주식회사 수직 소자 및 비-수직 소자를 갖는 반도체 소자 및 그 형성 방법
US8941187B2 (en) * 2012-01-13 2015-01-27 Globalfoundries Inc. Strain engineering in three-dimensional transistors based on strained isolation material
CN103295902A (zh) 2012-03-02 2013-09-11 中芯国际集成电路制造(上海)有限公司 鳍式场效应管及其形成方法
US20130285019A1 (en) 2012-04-26 2013-10-31 Postech Academy-Industry Foundation Field effect transistor and method of fabricating the same
US8598655B1 (en) 2012-08-03 2013-12-03 Infineon Technologies Dresden Gmbh Semiconductor device and method for manufacturing a semiconductor device
US8742492B2 (en) * 2012-08-07 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Device with a vertical gate structure
US9466668B2 (en) 2013-02-08 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Inducing localized strain in vertical nanowire transistors
US9087897B1 (en) 2014-01-31 2015-07-21 International Business Machines Corporation Semiconductor structures with pair(s) of vertical field effect transistors, each pair having a shared source/drain region and methods of forming the structures
US9853102B2 (en) * 2014-04-30 2017-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Tunnel field-effect transistor
DE102015106074B4 (de) 2014-11-26 2018-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiterbauelement und verfahren
CN104617137B (zh) 2015-01-19 2018-09-21 华为技术有限公司 一种场效应器件及其制备方法
US9431305B1 (en) * 2015-12-18 2016-08-30 International Business Machines Corporation Vertical transistor fabrication and devices

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040161886A1 (en) * 1999-08-13 2004-08-19 Leonard Forbes Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk. SOI and thin film structures and method of forming same
JP2005310921A (ja) * 2004-04-19 2005-11-04 Okayama Prefecture Mos型半導体装置及びその製造方法
US20070148939A1 (en) * 2005-12-22 2007-06-28 International Business Machines Corporation Low leakage heterojunction vertical transistors and high performance devices thereof
JP2009094364A (ja) * 2007-10-10 2009-04-30 Toshiba Corp 半導体装置及びその製造方法
US20150194423A1 (en) * 2014-01-07 2015-07-09 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with multiple threshold voltage and method of fabricating the same

Also Published As

Publication number Publication date
DE112016003961T5 (de) 2018-05-30
US20170271481A1 (en) 2017-09-21
GB2556260B (en) 2019-09-25
US10727316B2 (en) 2020-07-28
CN108431953B (zh) 2021-09-28
US9431305B1 (en) 2016-08-30
US9985115B2 (en) 2018-05-29
GB2556260A (en) 2018-05-23
US10622459B2 (en) 2020-04-14
US20170358689A1 (en) 2017-12-14
US20170179303A1 (en) 2017-06-22
DE112016003961B4 (de) 2021-07-08
US9941411B2 (en) 2018-04-10
US20180226494A1 (en) 2018-08-09
JP6788672B2 (ja) 2020-11-25
GB201801219D0 (en) 2018-03-14
US10388757B2 (en) 2019-08-20
US20170263781A1 (en) 2017-09-14
CN108431953A (zh) 2018-08-21
US20170179259A1 (en) 2017-06-22
US9793374B2 (en) 2017-10-17
WO2017103832A1 (en) 2017-06-22

Similar Documents

Publication Publication Date Title
JP6788672B2 (ja) 縦型電界効果トランジスタおよびその製造方法
US11670717B2 (en) Structure of S/D contact and method of making same
US20200321459A1 (en) Finfet device and method for fabricating same
US11610888B2 (en) Semiconductor device having cap layer
US8614127B1 (en) Method of making a FinFET device
CN106505103B (zh) 半导体装置及其制造方法
US11804546B2 (en) Structure and method for integrated circuit
JP6952403B2 (ja) 縦型輸送フィン電界効果トランジスタを形成する方法および縦型輸送フィン電界効果トランジスタ
TW202343578A (zh) 半導體裝置及其形成方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190513

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200722

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200804

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201012

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201027

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201030

R150 Certificate of patent or registration of utility model

Ref document number: 6788672

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150