TWI820729B - 微影罩幕與其製造方法 - Google Patents

微影罩幕與其製造方法 Download PDF

Info

Publication number
TWI820729B
TWI820729B TW111120134A TW111120134A TWI820729B TW I820729 B TWI820729 B TW I820729B TW 111120134 A TW111120134 A TW 111120134A TW 111120134 A TW111120134 A TW 111120134A TW I820729 B TWI820729 B TW I820729B
Authority
TW
Taiwan
Prior art keywords
layer
phase shift
etch stop
patterned
stop layer
Prior art date
Application number
TW111120134A
Other languages
English (en)
Other versions
TW202307921A (zh
Inventor
陳建誠
李環陵
連大成
陳嘉仁
李信昌
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202307921A publication Critical patent/TW202307921A/zh
Application granted granted Critical
Publication of TWI820729B publication Critical patent/TWI820729B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/30Alternating PSM, e.g. Levenson-Shibuya PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/60Substrates
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

提供一種微影罩幕,其包含基材、相移層位於基材上、以及蝕刻停止層。相移層係經圖案化的,且蝕刻停止層保護基才免於蝕刻。蝕刻停止層可為對微影製程中所使用之光半透射的材料,或者它可為對微影製程中所使用之光是透射的。

Description

微影罩幕與其製造方法
本揭露之實施方式係有關於一種微影罩幕與其製造方法。
半導體產業已歷經快速成長。材料和設計上的技術進步已經產生數個積體電路(IC)世代,其中每個世代具有比前一世代小且更複雜的電路。在積體電路發展的進程中,隨著幾何尺寸(亦即,利用一製程可形成之最小構件或線)的減少,功能密度(亦即,每晶片面積之互連元件的數量)已普遍增加。這樣的按比例縮減過程通常通過增加生產效率與降低相關成本的方式來提供好處。
本揭露之一態樣係涉及一種微影罩幕,包含基材、相移層位於基材上、以及半透射之蝕刻停止層介於基材與相移層之間。
本揭露之另一態樣係涉及一種微影罩幕,包含石英 基材、圖案化之相移層、以及透射之蝕刻停止層。圖案化之相移層包含矽化鉬化合物位於石英基材上。透射之蝕刻停止層包含化學式為AlxSiyOz之材料,且介於石英基材與圖案化之相移層之間,其中x+y+z=1。
本揭露之又一態樣係涉及一種微影罩幕之製造方法。在此方法中,形成蝕刻停止層於基材上。形成相移層於蝕刻停止層上。形成圖案化層於相移層上。形成圖案化硬罩幕層於圖案化層上。利用圖案化硬罩幕層作為蝕刻罩幕,蝕刻圖案化層,以在圖案化層中形成複數個開口,此複數個開口暴露出相移層之表面。利用蝕刻後之圖案化層作為蝕刻罩幕,蝕刻相移層,以在相移層中形成複數個開口,此相移層中之複數個開口暴露出蝕刻停止層之表面。
200:微影罩幕、APSM罩幕、罩幕
202:基材
204:相移層、相移材料層、相移材料
206:蝕刻停止層、停止層
208:硬罩幕層、硬罩幕
208a:開口
208b:開口
208c:開口
210:光阻層
211:APSM、APSM罩幕、罩幕、罩幕結構
212:基材
214:相移層、相移材料層、相移材料
216:蝕刻停止層
218:硬罩幕層、硬罩幕
218a:開口
218b:開口
218c:開口
220:圖案化層
220P:影像邊界特徵
222:影像區、圖案化區
230:開口
240:開口
250:圖案化層
250P:影像邊界特徵
252:影像區、圖案化區
260:光阻層
270:開口
280:開口
290:開口
292:部分
294:開口
296:溝渠
400:方法
402:操作
404:操作
406:操作
408:操作
410:操作
412:操作
414:操作
416:操作
418:操作
420:操作
422:操作
424:操作
426:操作
428:操作
500:方法
502:操作
504:操作
506:操作
508:操作
510:操作
512:操作
514:操作
516:操作
518:操作
520:操作
522:操作
524:操作
526:步驟
528:步驟
600:方法
602:步驟
604:步驟
606:步驟
608:步驟
610:步驟
612:步驟
614:步驟
700:方法
702:步驟
704:步驟
706:步驟
708:步驟
710:步驟
712:步驟
714:步驟
從以下結合附圖所做的詳細描述,可對本揭露之態樣有更佳的了解。需注意的是,根據業界的標準實務,各特徵並未依比例繪示。事實上,為了使討論更為清楚,各特徵的尺寸都可任意地增加或縮減。
〔圖1A〕與〔圖1B〕係繪示依照本揭露之二實施方式之二種微影罩幕之實施方式的剖面圖。
〔圖2A〕至〔圖2E〕係繪示依照本揭露之一些實施方式之在圖4之製造製程的各階段的微影罩幕的剖面圖。
〔圖3A〕至〔圖3E〕係繪示依照本揭露之一些實施方式 之在圖5之製造製程的各階段的微影罩幕的剖面圖。
〔圖4〕係繪示依照本揭露之一些實施方式之製造圖1A之微影罩幕之方法的流程圖。
〔圖5〕係繪示依照本揭露之一些實施方式之製造圖1B之微影罩幕之方法的流程圖。
〔圖6〕係繪示依照本揭露之一些實施方式之使用微影罩幕之方法的流程圖。
〔圖7〕係繪示依照本揭露之一些實施方式之使用微影罩幕之方法的流程圖。
以下的揭露提供了許多不同實施方式或實施例,以實施所提供之標的之不同特徵。以下描述構件與安排的特定例子,以簡化本揭露。當然這些僅為例子,並非用以作為限制。舉例而言,於描述中,第一特徵形成於第二特徵之上方或之上,可能包含第一特徵與第二特徵以直接接觸的方式形成的實施方式,亦可能包含額外特徵可能形成在第一特徵與第二特徵之間的實施方式,如此第一特徵與第二特徵可能不會直接接觸。此外,本揭露可能會在各實施例中重複參考數字及/或文字。這樣的重複係基於簡化與清楚之目的,以其本身而言並非用以指定所討論之各實施方式及/或配置之間的關係。
另外,在此可能會使用空間相對用語,例如「在下(beneath)」、「下方(below)」、「較低(lower)」、 「上方(above)」、「較高(upper)」、與類似用語,以方便說明如圖式所繪示之一構件或一特徵與另一(另一些)構件或特徵之間的關係。除了在圖中所繪示之方位外,這些空間相對用詞意欲含括元件在使用或操作中的不同方位。設備可能以不同方式定位(旋轉90度或在其他方位上),因此可以同樣的方式來解釋在此所使用之空間相對描述符號。
在積體電路(IC)的製造中,使用一系列可重複使用之光罩(在此亦稱為微影罩幕或罩幕)來製造扮演積體電路之不同層的圖案。光罩用以在半導體元件製造製程中將積體電路的每一層設計轉移到半導體基材上。
隨著積體電路之尺寸的縮小,將各類型的這種微影技術,例如利用來自氟化氬(ArF)雷射之193nm等級之波長或波長為13.5nm之極紫外(EUV)光的浸潤式微影技術,應用於例如能夠將非常小的圖案(例如,奈米級圖案)從罩幕轉移到半導體晶圓的微影製程中。
對具更密集封裝整合之元件的持續需求已導致微影製程的改變,以形成更小之個別特徵尺寸。利用一製程可獲得之最小特徵尺寸或「關鍵尺寸(CD)」大致透過公式CD=k1*λ/NA確定,其中k1係製程特定係數,λ係所施加之光/能量的波長,NA係從基材或晶圓看到之光學透鏡的數值孔徑。
對於具有給定k1值之密集特徵的製造,將小特徵之可用影像投影至晶圓上的能力受到波長λ以及投影光學 元件從所照射之罩幕捕捉足夠繞射級之能力的限制。當從特定尺寸及/或形狀之光罩或倍縮光罩製作密集特徵或孤立特徵時,可能無法夠清晰地定義所投影之影像邊緣的明與暗之間的轉變來正確形成目標光阻圖案。除其他事項之外,這可能會導致空間影像(aerial image)之對比以及所得之光阻輪廓的品質下降。因此,尺寸在150nm或以下之特徵可能需要利用相移罩幕(PSM)或技術來增強晶圓處的影像品質,例如使特徵之邊緣清晰化,以改善阻劑輪廓。
相移通常包含選擇性地改變通過光罩/倍縮光罩之部分能量的相位,以使得經相移之能量與在待曝光與圖案化之晶圓上之材料之表面處之未經相移的能量相加或相減。透過仔細控制罩幕特徵之形狀、位置、與相移角,所得之光阻圖案可具有更精確定義的邊緣。隨著特徵尺寸的減小,0°與180°相位部分之間之傳輸強度的不平衡、以及從180°變化之相移可能導致光阻圖案的顯著關鍵尺寸(CD)變化放置誤差。
可透過多種方式獲得相移。舉例而言,一種稱為衰減式相移(AttPSM)之製程使用包含一層非不透光材料的罩幕,相較於通過罩幕之透明部分的光,此罩幕使穿過非不透光材料的光產生相位的變化。此外,相較於通過罩幕之透明部分的光量,非不透光材料可調整通過非不透光材料的光量(強度/光度)。
另一種技術稱為交替式相移,其中將透明罩幕材料(例如,石英或二氧化矽基材)的尺寸調整(例如蝕刻)成具 有不同深度或厚度的數個區域。選取深度,以在穿過不同深度/厚度之區域的光中產生所需之相對相位差。所得之罩幕稱為「交替式相移罩幕(alternating phase shift mask,AltPSM)」或「交替式相移罩幕(alternating phase shifting mask,AltPSM)」。AttPSM與AltPSM在此稱為「APSM」。交替式相移罩幕中深度較厚的部分稱為0°相位部分,而交替式相移罩幕中深度較淺的部分稱為180°相位部分。深度差允許光在透明材料中傳播一半波長,而在0°與180°部分之間產生180°的相位差。在一些實施方式中,圖案化之相移材料位於透明罩幕基材之未被蝕刻成不同深度之部分的上方。相移材料是影響通過相移材料之光之相位的材料,因此通過相移材料之光的相位相對於沒有通過相移材料,例如僅通過透明罩幕基材之材料而沒有通過相移材料之光的相位發生偏移。相對於穿過罩幕之未被相移材料覆蓋的部分的入射光量,相移材料亦可減少穿過相移材料的光量。
在形成圖案化相移材料的期間,其上形成相移層之透明罩幕基材可能暴露於可蝕刻基材的材料。多餘的基材蝕刻會改變部分之罩幕基材的相對深度/厚度,這會對APSM罩幕產生所需相移的能力造成負面影響。此多餘的蝕刻會導致光罩所引起之成像像差,進而導致與特徵尺寸相關之焦點以及圖案放置偏移。
在本揭露之實施方式中,描述APSM結構與製作這種APSM結構的方法。根據在此所述之實施方式的 APSM結構包含蝕刻停止層,此蝕刻停止層保護透光基材免受在APSM形成期間所使用之可蝕刻基材之材料的影響。所描述之方法利用蝕刻停止層來最小化或防止下方基材的蝕刻,下方基材的蝕刻可能以不希望的方式影響相移。在一些實施方式中,蝕刻停止層對入射光,例如在浸潤式微影技術中使用之具有約194奈米波長的光,基本上是透明的,而在其他實施方式中,蝕刻停止層對入射光不透明。
圖1A係繪示依照本揭露之一實施方式之一種微影罩幕200,例如APSM,的剖面圖。請參照圖1A,APSM罩幕200包含基材202、以及相移層204位於基材202之正面之上。介於相移層204與基材202之間為蝕刻停止層206。在圖1A所示之實施方式中,移除部分之相移層204與蝕刻停止層206,以提供開口208a、208b、與208c,通過開口208a、208b、與208c暴露出基材202之上表面。在圖1A之實施方式中,蝕刻停止層206對在微影製程期間將入射在罩幕200上的光具有限的透明度。APSM罩幕200包含影像邊界特徵220P圍繞APSM罩幕200之影像區222的外圍。在一些實施方式中,蝕刻相移材料層204與半透射之蝕刻停止層206,使得影像邊界特徵220P下方之相移材料層204及半透射之蝕刻停止層206的部分與相移材料層204及蝕刻停止層206之其餘部分分開。在這樣的實施方式中,影像邊界特徵220P下方之相移材料層204及半透射之蝕刻停止層206的部分透過溝槽(未示出)而與相移材料層204及蝕刻停止層206之其 餘部分分開。
圖1B係繪示依照本揭露之另一實施方式之一種APSM 211的剖面圖。請參照圖1B,APSM罩幕211包含基材212、以及相移層214位於基材212之正面之上。在相移層214下方且在基材212上方為蝕刻停止層216。在圖1B所示之實施方式中,移除部分之相移層214,以提供開口218a、218b、與218c,通過開口218a、218b、與218c暴露出蝕刻停止層216之上表面。在圖1B之實施方式中,蝕刻停止層216對將在配置罩幕之微影製程期間落在罩幕上之入射光基本上是100%透明的。APSM罩幕211包含影像邊界特徵250P圍繞APSM罩幕211之影像區252的外圍。影像邊界特徵250P類似於上面參考圖1A所描述之影像邊界特徵220P。
影像邊界特徵220P與250P對應於圖1A與1B中之罩幕200與211的非圖案化區。影像邊界特徵220P與250P不使用在積體電路製造期間之曝光製程中。在一些實施方式中,圖1A與1B中之罩幕200與211的影像區222與252分別位於基材202與212之中心區,且影像邊界特徵220P與250P分別位於基材202與212的邊緣部分。
圖4係繪示依照一些實施方式之製造微影罩幕,例如圖1A之浸潤式微影之APSM罩幕200之方法400的流程圖。圖2A至圖2E係繪示依照一些實施方式之製造製程之各階段的罩幕200的剖面圖。下面參考罩幕200與 圖2A至圖2E詳細討論方法400。在一些實施方式中,於方法400之前、期間、及/或之後進行額外操作,或者替換及/或去除所描述之一些操作。在一些實施方式中,替換或去除以下所描述之一些特徵。在此技術領域中具有通常知識者將了解到,雖然一些實施方式係以特定順序進行之操作來討論,但是這些操作可以另一邏輯順序進行。
請參照圖4與圖2A,依照一些實施方式,方法400包含操作402、404、406、408、與410,其中形成半透射之蝕刻停止層206、相移材料層204、圖案化層220、硬罩幕層208、與光阻層210於基材202之上方。圖2A係繪示於分別形成半透射之蝕刻停止層206、相移材料層204、與圖案化層220於基材202之上方的操作402、404、406、408、與410已經完成後之罩幕200之中間結構的剖面圖。
請參照圖2A,罩幕200包含由玻璃、矽、石英、或其他低熱膨脹材料製成的基材202。低熱膨脹材料有助於最小化在使用罩幕200的期間因罩幕加熱所引起的影像失真。在一些實施方式中,基材202包含熔融矽土、熔融石英、氟化鈣、碳化矽、黑鑽石、或摻雜氧化鈦之氧化矽(SiO2/TiO2)。在一些實施方式中,基材202具有範圍從約1mm至約7mm之厚度。若基材202之厚度太小,在某些情況下,罩幕200的破損或翹曲的風險會增加。另一方面,若基材202之厚度太大,在某些情況下,罩幕200之重量與成本會不必要地增加。
在圖4之操作402中,設置半透射之蝕刻停止層206於基材202之正面的上方。在一些實施方式中,蝕刻停止層206與基材202之正面直接接觸。在一些實施方式中,蝕刻停止層206對微影製程中所使用之光能是半透射的。舉例而言,在一些實施方式中,蝕刻停止層對深紫外光、近紫外光、或浸潤式微影中所使用之光能、來自波長約193奈米之氟化氬準分子雷射的光為半透射。對光或輻射半透射係指材料透射小於70%之入射到材料表面上的光。舉例而言,在一些實施方式中,半透射之蝕刻停止層206透射高達70%之入射到蝕刻停止層206上的輻射。在其他實施方式中,蝕刻停止層透射高達60%之入射到蝕刻停止層206上的輻射。在一些實施方式中,蝕刻停止層透射高達50%之入射到蝕刻停止層206上的輻射。在其他實施方式中,蝕刻停止層透射高達40%之入射到蝕刻停止層206上的輻射。在一些實施方式中,蝕刻停止層透射高達30%之入射到蝕刻停止層206上的輻射。
可用作蝕刻停止層206之材料的例子包含抵抗用於蝕刻下文描述之相移層204之材料的材料的蝕刻的材料。在相移層204由矽化鉬(MoSi)化合物形成之實施方式中,使用含氟蝕刻劑來蝕刻相移層204。依照本揭露之實施方式,蝕刻停止層206之材料抗含氟蝕刻劑的蝕刻。可用於移除部分之相移層204的含氟蝕刻劑的例子包含含氟氣體,例如四氟化碳(CF4)、三氟甲烷(CHF3)、六氟乙烷(C2F6)、二氟甲烷(CH2F2)、六氟化硫(SF6)、或其組合。抗含氟 蝕刻劑蝕刻且可用作蝕刻停止層206之材料包含氮氧化鉻(CrON)、釕(Ru)、以及釕的複合材料,例如釕-鈮(Ru-Nb)、釕-鋯(Ru-Zr)、釕-鈦(Ru-Ti)、釕-釔(Ru-Y)、釕-硼(Ru-B)、釕-磷(Ru-P)等。依照本揭露之實施方式不限於這些特定材料的蝕刻停止層。依照在此所述之實施方式,可使用對入射光半透射且抗上述含氟蝕刻劑蝕刻的其他材料作為蝕刻停止層。在其他實施方式中,可使用對入射光半透射且抗可用於蝕刻相移層204之含氟蝕刻劑之外的蝕刻劑蝕刻的材料。
在一些實施方式中,可以含氯蝕刻劑蝕刻來蝕刻停止層206。使用可以含氯蝕刻劑蝕刻之蝕刻停止層206之一優點為含氯蝕刻劑不會蝕刻作為基材202之材料,例如石英。含氯蝕刻劑之例子包含含氯氣體[例如,氯氣(Cl2)、四氯化矽(SiCl4)、氯化氫(HCl)、四氯化碳(CCl4)、三氯甲烷(CHCl3)、其他含氯氣體、或其組合],以及含氧氣體[例如,氧氣(O2)、其他含氧氣體、或其組合]。
在一些實施方式中,蝕刻停止層206具有介於約1nm至20nm的厚度。在其他實施方式中,蝕刻停止層206具有介於約1nm至10nm的厚度。依照本揭露之實施方式不限於具有介於1nm至20nm之間或介於1nm至10nm之間之厚度的蝕刻停止層。舉例而言,在一些實施方式中,蝕刻停止層206可比1nm薄,或者可比20nm厚。
可利用多種方法,包含物理氣相沉積(PVD)製程 [例如,蒸鍍與直流(DC)磁控濺射]、電鍍製程(例如,無電極電鍍或電鍍)、化學氣相沉積(CVD)製程(例如,大氣壓化學氣相沉積、低壓化學氣相沉積、電漿增強化學氣相沉積、或高密度電漿化學氣相沉積)、離子束沉積、旋塗、金屬有機裂解(MOD)、其他適合之方法、或其組合,來形成蝕刻停止層206。
在操作404中,設置相移材料層204於基材202之正面的上方。在一些實施方式中,相移材料層204與基材202上之蝕刻停止層206的正面直接接觸。相移材料層204在入射到相移材料層204且通過相移材料層204的光中產生相移。依照本揭露之實施方式,相較於不通過相移材料層204或蝕刻停止層206之入射光的相位,進入相移材料204並穿過相移材料204與圖案化之蝕刻停止層206的光中所產生之相移程度,可藉由改變相移材料層204之折射率與厚度及/或蝕刻停止層206之折射率與厚度來調整。在一些實施方式中,選擇相移材料層204與蝕刻停止層206之折射率與厚度,使得在進入相移材料層204並穿過相移材料204與圖案化之蝕刻停止層206之光中所產生的相移為約180度。依照本揭露之實施方式不限於產生180°的相移。舉例而言,在其他實施方式中,所需之相移可大於或小於180°。
在一些實施方式中,相較於不穿過相移材料層204或蝕刻停止層206之入射光的透射率,進入相移材料204並穿過相移材料204與圖案化之蝕刻停止層206之入射光 的透射率可透過改變相移材料層204及/或蝕刻停止層206之吸收係數來調整。
相移材料層204之折射率與厚度可單獨調整,或者與蝕刻停止層206之折射率與厚度搭配調整,以提供所需之相移。相移材料層204之折射率可透過改變相移材料層204之材料組成來調整。舉例而言,可改變矽化鉬化合物中之鉬與矽之比例,以調整相移材料層204之折射率。以例如硼、碳、氧、氮、鋁等元素摻雜相移材料層204,將可調整相移材料層204之折射率。
依照本揭露之實施方式,可藉由調整相移材料層204之入射光吸收係數,來調整相移材料層204對入射光之透射率。舉例而言,增加相移材料層204之極紫外線吸收係數將降低入射光通過相移材料層204的透射率。降低相移材料層204之吸收係數將增加入射光通過相移材料層204的透射率。可透過改變相移材料層204之材料成分來調整相移材料層204之吸收係數。舉例而言,可改變矽化鉬化合物中之鉬與矽的比例,以調整相移材料層204之吸收係數。以例如硼、碳、氧、氮、鋁、鍺、錫、鉭等元素摻雜相移材料層204,將可調整相移材料層204之吸收係數。
依照一些實施方式,相移層204之厚度可基於所需之相移程度而改變。舉例而言,使相移層更厚可能增加或減少相移。在其他實施例中,使相移層更薄可能增加或減少相移。在一些實施方式中,相移層204具有介於約30 奈米與100奈米之間的厚度。應當理解的是,依照本揭露之實施方式不限於具有介於約30nm與100nm之間之厚度的相移層204。在其他實施方式中,相移層204具有小於30nm或大於100nm的厚度。
可用作相移層204之材料包含矽化鉬化合物等。舉例而言,相移層204包含矽化鉬化合物,例如MoSi、MoSiCON、MoSiON、MoSiCN、MoSiCO、MoSiO、MoSiC、與MoSiN。依照本揭露之實施方式不限於利用前述矽化鉬化合物的相移層。在其他實施方式中,相移層204包含除矽化鉬化合物之外的化合物,其能夠使入射在相移層上之光的相位轉移例如180度。
可利用多種方法,包含物理氣相沉積(PVD)製程(例如,蒸鍍與直流磁控濺射)、電鍍製程(例如,無電極電鍍或電鍍)、化學氣相沉積(CVD)製程(例如,大氣壓化學氣相沉積、低壓化學氣相沉積、電漿增強化學氣相沉積、或高密度電漿化學氣相沉積)、離子束沉積、旋塗、金屬有機裂解(MOD)、其他適合之方法、或其組合,來形成相移層204。
在操作406中,沉積圖案化層220於相移材料層204之上方。在一些實施方式中,將圖案化層220圖案化並作為圖案化相移材料層204之罩幕。此外,如上所述,圖案化圖案化層220之周邊部分,以形成影像邊界特徵220P圍繞APSM罩幕200之影像區222的周邊。
在一些實施方式中,圖案化層220包含金屬、金 屬氧化物、或其他適合材料。舉例而言,圖案化層220可包含含鉭材料(例如,Ta、TaN、TaNH、TaHF、TaHfN、TaBSi、TaBSiN、TaB、TaBN、TaSi、TaSiN、TaGe、TaGeN、TaZr、TaZrN、其他含鉭材料材料、或其組合)、含鉻材料(例如,Cr、CrN、CrO、CrC、CrON、CrCN、CrOC、CrOCN、其他含鉻材料、或其組合)、含鈦材料(例如,Ti、TiN、其他含鈦材料、或其組合)、其他適合材料、或其組合。圖案化層220之材料在此不受限制,並可包含能夠阻擋入射光(為了提供具有光阻擋特性之影像邊界特徵220P之目的)且相對於相移材料層204與下述之硬罩幕層208可表現出選擇性蝕刻或移除特性。
在本揭露之一些實施方式中,圖案化層220為5nm至50nm厚。可利用多種方法,包含物理氣相沉積(PVD)製程(例如,蒸鍍與直流磁控濺射)、電鍍製程(例如,無電極電鍍或電鍍)、化學氣相沉積(CVD)製程(例如,大氣壓化學氣相沉積、低壓化學氣相沉積、電漿增強化學氣相沉積、或高密度電漿化學氣相沉積)、離子束沉積、旋塗、金屬有機裂解(MOD)、其他適合之方法、或其組合,來形成圖案化層220。
在操作408中,形成硬罩幕層208於圖案化層220之上方。如以下更詳細描述的,將圖案化硬罩幕層208,且將硬罩幕層208之圖案轉移到圖案化層220。在一些實施方式中,硬罩幕層208包含保護罩幕200之圖案化層220的材料。在一些實施方式中,硬罩幕層208與圖案化 層220之材料相對於用來去除下述之光阻層210之材料具有類似之特性,而相對於用來蝕刻硬罩幕層208之材料具有不同之特性。在一些實施方式中,硬罩幕層208包含含鉻材料,例如Cr、CrN、CrO、CrC、CrON、CrCN、CrOC、CrOCN、其他含鉻材料、或其組合。當硬罩幕層208選自這些含鉻材料時,圖案化層220所選擇之材料為相對於硬罩幕層208之材料可受到選擇性蝕刻的材料。舉例而言,當硬罩幕層為含鉻材料時,圖案化層220不是含鉻材料。在一些替代實施方式中,硬罩幕層208包含含鉭材料,例如Ta、TaN、TaNH、TaHF、TaHfN、TaBSi、TaBSiN、TaB、TaBN、TaSi、TaSiN、TaGe、TaGeN、TaZr、TaZrN、其他含鉭材料、或其組合,其可以含氟蝕刻劑蝕刻。
在一些實施方式中,硬罩幕層208具有約3.5nm至約5nm的厚度。可利用多種方法,包含物理氣相沉積(PVD)製程(例如,蒸鍍與直流磁控濺射)、電鍍製程(例如,無電極電鍍或電鍍)、化學氣相沉積(CVD)製程(例如,大氣壓化學氣相沉積、低壓化學氣相沉積、電漿增強化學氣相沉積、或高密度電漿化學氣相沉積)、離子束沉積、旋塗、金屬有機裂解(MOD)、其他適合之方法、或其組合,來形成硬罩幕層208。
在操作410中,沉積光阻層210於硬罩幕層208之上方。如以下更詳細描述般圖案化光阻層210,且利用圖案化之光阻作為罩幕來圖案化下方之硬罩幕層208。在 一些實施方式中,光阻層210之圖案將在後續製程中轉移到相移材料層204上。在一些實施方式中,光阻層210可為使用酸催化之化學增幅阻劑。舉例而言,可透過將酸敏聚合物溶解在澆鑄溶液中的方式來配製光阻層210之光阻。在一些實施方式中,光阻層210之光阻可為正型光阻,其將使隨後形成之圖案具有與罩幕(未示出)上之圖案相同之輪廓。在一些替代實施方式中,光阻層210之光阻可為負型光阻,其將使隨後形成之圖案具有對應於罩幕(未示出)上之圖案的開口。可利用旋塗或其他類似技術形成光阻層210。
請參照圖2B,圖示出於光阻層210與硬罩幕208之圖案化後罩幕200之中間結構。請另外參照圖4,於操作412,透過對光阻層210進行曝光製程來圖案化光阻層210。曝光製程可包含具有罩幕之微影技術(例如,光微影製程),或無罩幕之微影技術[例如,電子束(e-beam)曝光製程或離子束曝光製程]。於曝光製程後,可進行後烘烤製程,以硬化光阻層210之至少一部分。根據光阻層210之材料或類型,光阻層之聚合物在光束的照射與烘烤時會發生不同的反應(聚合物的斷鏈或交聯)。之後,進行顯影製程,以移除光阻層210之至少一部分。在一些實施方式中,暴露於光束之正型阻劑材料的部分可能發生斷鏈反應,導致暴露之部分相較於未暴露於光束之其他部分更容易被顯影劑去除。另一方面,暴露於光束之負型阻劑材料的部分可能發生交聯反應,導致暴露之部分相較於未暴露於光束 之其他部分更難被顯影劑去除。在一些實施方式中,於光阻層210之顯影後,暴露出下方之硬罩幕層208的部分。
請繼續參照圖2B,於光阻層210之顯影完成後,於操作414,透過經顯影之光阻層210中的開口蝕刻硬罩幕層208。利用經由顯影後之光阻層210中之開口蝕刻硬罩幕層208之暴露部分的方式,圖案化硬罩幕層208。蝕刻製程可包含乾蝕刻製程、濕蝕刻製程、或其組合。乾與濕蝕刻製程具有可調整之蝕刻參數,例如所使用之蝕刻劑、蝕刻溫度、蝕刻溶液濃度、蝕刻壓力、電源功率、射頻偏壓、射頻偏功率、蝕刻劑流速、以及其他適合之參數,以相對於在硬罩幕層208之蝕刻期間將暴露於蝕刻劑之其他材料,對硬罩幕層208之材料具有選擇性。在一些實施方式中,使用含氟蝕刻劑在部分之硬罩幕層208的移除上。含氟蝕刻劑之例子包含含氟氣體,例如四氟化碳、三氟甲烷、六氟乙烷、二氟甲烷、六氟化硫、或其組合。
於操作416,移除圖案化之光阻層210,以暴露出硬罩幕208之剩餘部分。可利用濕式剝除或電漿灰化方式移除圖案化之光阻層210。於操作418,利用經由硬罩幕層208中之開口蝕刻圖案化層220的方式,將硬罩幕層208中之圖案轉移至圖案化層220。透過將圖案化層220暴露於蝕刻劑的方式,進行圖案化層220的蝕刻,相較於在蝕刻圖案化層220之步驟期間將暴露於蝕刻材料之其他材料,這些蝕刻劑對圖案化層220之材料具有選擇性。蝕刻製程可包含乾蝕刻製程、濕蝕刻製程、或其組合。乾與 濕蝕刻製程具有可調整之蝕刻參數,例如所使用之蝕刻劑、蝕刻溫度、蝕刻溶液濃度、蝕刻壓力、電源功率、射頻偏壓、射頻偏功率、蝕刻劑流速、以及其他適合之參數,以相對於在圖案化層220之蝕刻期間將暴露於蝕刻劑之其他材料,例如經圖案化之硬罩幕層208,對圖案化層220之材料具有選擇性。在一些實施方式中,圖案化層220之蝕刻製程使用含氯氣體(例如,氯氣、四氯化矽、氯化氫、四氯化碳、三氯甲烷、其他含氯氣體、或其組合)與含氧氣體(例如,氧氣、其他含氧氣體、或其組合)。於圖案化層220之圖案化完成後,於操作420,例如使用氧電漿或濕蝕刻移除圖案化之硬罩幕層208。
請參照圖2C,圖示出於已通過經圖案化之圖案化層220圖案化相移材料層204後之罩幕200之中間結構。在圖2C中,已如上所述移除圖案化之光阻層210與圖案化之硬罩幕層208。請參照圖4,於操作422中,利用經由圖案化層220中之開口230蝕刻相移材料層204的方式,將圖案化之圖案化層220的圖案轉移至相移材料層204。相移材料層204之圖案化通過相移材料層204中之開口240暴露出部分之停止層206。透過將經由圖案化層220中之開口230暴露出之相移材料層204的部分暴露於蝕刻劑的方式,完成相移材料層204之蝕刻,此蝕刻劑相對於圖案化層220之材料與停止層206之材料,對相移材料層204之材料具有選擇性。蝕刻製程可包含乾蝕刻製程、濕蝕刻製程、或其組合。乾與濕蝕刻製程具有可調整之蝕 刻參數,例如所使用之蝕刻劑、蝕刻溫度、蝕刻溶液濃度、蝕刻壓力、電源功率、射頻偏壓、射頻偏功率、蝕刻劑流速、以及其他適合之參數,以相對於在相移材料層204之蝕刻期間將暴露於蝕刻劑之其他材料,例如經圖案化之圖案化層220與停止層206,對相移材料層204之材料具有選擇性。在一些實施方式中,使用含氟蝕刻劑在部分之相移層204的移除上。含氟蝕刻劑之例子包含含氟氣體,例如四氟化碳、三氟甲烷、六氟乙烷、二氟甲烷、六氟化硫、或其組合。轉移圖案化層220之圖案至相移層204完成後,於操作424,移除經圖案化之圖案化層220。在如下述之其他實施方式中,經圖案化之圖案化層220的移除在操作426中進行,同時利用蝕刻來圖案化蝕刻停止層206。
於操作426,將相移材料層204之圖案轉移至蝕刻停止層206。利用經由相移材料層204中之開口240蝕刻蝕刻停止層206的方式,達成相移材料層204之圖案的轉移。在一些實施方式中,蝕刻停止層206之蝕刻使用含氯氣體(例如,氯氣、四氯化矽、氯化氫、四氯化碳、三氯甲烷、其他含氯氣體、或其組合)與含氧氣體(例如,氧氣、其他含氧氣體、或其組合)。在其他實施方式中,可使用含氯氣體與含氧氣體以外之蝕刻劑來蝕刻蝕刻停止層206。舉例而言,可使用相對於相移材料層204之材料對蝕刻停止層206之材料具有選擇性,且相對於基材202之材料對蝕刻停止層206之材料具有選擇性的蝕刻劑來蝕刻蝕刻停止層206。依照一些實施方式,當圖案化層220與 蝕刻停止層206對於蝕刻劑具有相似之選擇性時,經圖案化之圖案化層220可在圖案化蝕刻停止層206的相同步驟中移除。舉例而言,使用含氯蝕刻劑圖案化蝕刻停止層206時,經圖案化之圖案層220可透過暴露於含氯蝕刻劑來移除。圖2D係繪示於蝕刻停止層206之蝕刻完成後且選擇性地於步驟426中移除經圖案化之圖案化層220後,依照本揭露之實施方式的罩幕200。罩幕200包含蝕刻停止層206中之開口290,透過開口290暴露出部分之基材202。依照本揭露之一些實施方式,在操作426期間不發生基材202的蝕刻,因為用於圖案化蝕刻停止層206的蝕刻劑對蝕刻停止層206具有選擇性而不蝕刻基材202。基材202之蝕刻是不受歡迎的,因為這樣的蝕刻會改變基材202之深度或厚度,而可能導致入射光之有害的或不可預測的相移。
依照本揭露之一些實施方式,請參照圖4與圖2E,於操作428,已在操作426中蝕刻蝕刻停止層後,在一些實施例中,蝕刻基材202而沒有蝕刻或移除部分之經圖案化之停止層206或經圖案化之相移材料層204。依照這樣的實施方式,基材202之蝕刻移除基材202之部分292,且透過經由蝕刻停止層206中之開口290將部分之基材202暴露於蝕刻劑的方式來完成。以對基材202具有選擇性且不移除蝕刻停止層206或移除圖案化之相移材料層204的蝕刻劑來蝕刻基材202。於蝕刻停止層206之蝕刻已經完成後蝕刻基材202,提供了更小心控制基材202之 蝕刻的機會,因此可避免或減少因基材202的過度蝕刻或蝕刻不足而導致之在相位或透射強度上的有害偏移。
於蝕刻停止層206之蝕刻完成後,或者在依照一些實施方式已經蝕刻基材202後,清潔微影罩幕200,以從其中移除任何汙染物。在一些實施方式中,利用將罩幕200浸入氫氧化銨(NH4OH)溶液中的方式來清潔罩幕200。
隨後以例如波長為193nm之紫外光照射罩幕200,以檢查圖案化區222中的任何缺陷。可從漫反射光中檢測異物。若檢測到缺陷,則使用適合之清潔製程進一步清潔罩幕200。
在半導體微影製程中有用之罩幕200因此形成。罩幕200包含基材202、基材上方之圖案化之半透射的蝕刻停止層206、與圖案化之半透射的蝕刻停止層206上方之圖案化之相移材料層204。依照此實施方式,蝕刻停止層206已經保護下方之基材202免受在罩幕形成過程期間所使用之蝕刻劑的影響,否則蝕刻劑會蝕刻基材。如上所述,選擇相移材料層204之厚度、及其折射率與入射光吸收特性,以及蝕刻停止層206之厚度、及其折射率與入射光吸收特性,以提供入射在罩幕200上之光的所需相移,例如180度,以及透射通過相移材料層204與半透射之蝕刻停止層206之入射光的量。此外,亦可藉由調整光在罩幕200上的入射角,來調整賦予通過罩幕200之光的相移量。如此一來,可將罩幕200上的圖案精確地投射到矽晶 圓上,以產生精確且可再現的圖案。在基材202已受到蝕刻之實施方式中,如圖2E所示,當優化相移材料層204之厚度、及其折射率與入射光吸收特性,與蝕刻停止層206之厚度、及其折射率與入射光吸收特性時,把對穿過基材202之圖案化部分之光之相移的影響、以及對穿過基材202之圖案化部分之光之強度的影響列入考慮。
圖1B係繪示依照本揭露之第二實施方式之一種APSM罩幕211的剖面圖。APSM罩幕211包含基材212、以及相移層214位於基材212之正面之上。在相移層214下方且在基材212上方為蝕刻停止層216。在圖1B所示之實施方式中,移除部分之相移層214,以提供開口218a、218b、與218c,通過開口218a、218b、與218c暴露出蝕刻停止層216之部分之上表面。在圖1B之實施方式中,蝕刻停止層216對入射光、深紫外光(DUV)、近紫外光(NUV)、或浸潤式微影中所使用之光,例如來自具有約193奈米波長之氟化氬準分子雷射的光,基本上100%透明。與以上關於圖1A描述之實施方式的蝕刻停止層206不同,圖1B之實施方式的蝕刻停止層216不包含上方之相移材料層214的圖案。類似於APSM罩幕200,圖1B之APSM罩幕211包含影像邊界特徵250P圍繞APSM罩幕211之影像區252的周邊。如圖1B,影像邊界特徵250P對應於圖1B之罩幕211的非圖案化區。影像邊界特徵250P不使用在積體電路製造期間之曝光製程中。在一些實施方式中,圖1B之罩幕211之影像區252位於基 材212之中心區,且影像邊界特徵250P位於基材212之邊緣部分。在一些實施方式中,蝕刻相移材料層214與透射之蝕刻停止層216,使得影像邊界特徵250P下方之相移材料層214及透射之蝕刻停止層216的部分與相移材料層214及蝕刻停止層216之其餘部分分開。在這樣的實施方式中,影像邊界特徵220P下方之相移材料層204及半透射之蝕刻停止層216的部分透過溝槽(未示出)與相移材料層214及蝕刻停止層216之其餘部分分開。
圖5係繪示依照一些實施方式之製造罩幕,例如APSM罩幕211之方法500的流程圖。圖3A至圖3E係繪示依照一些實施方式之製造製程之各階段的罩幕211的剖面圖。下面參考罩幕211與圖3A至圖3E詳細討論方法500。在一些實施方式中,於方法500之前、期間、及/或之後進行額外操作,或者替換及/或去除所描述之一些操作。在一些實施方式中,替換或去除以下所描述之一些特徵。在此技術領域中具有通常知識者將了解到,雖然一些實施方式係以特定順序進行之操作來討論,但是這些操作可以另一邏輯順序進行。
請參照圖5與圖3A,依照一些實施方式,方法500包含操作502、504、506、508、與510,其中透射之蝕刻停止層216、相移材料層214、圖案化層250、硬罩幕層218、與光阻層260形成於基材212之上方。圖3A係繪示依照一些實施方式之於分別形成半透射之蝕刻停止層216、相移材料層214、圖案化層250、硬罩幕層 218、與光阻層260於基材212之上方的操作502、504、506、508、與510已經完成後之罩幕211之中間結構的剖面圖。
請參照圖3A,罩幕211包含由玻璃、矽、石英、或其他低熱膨脹材料製成的基材212。低熱膨脹材料有助於最小化在使用罩幕211的期間因罩幕加熱所引起的影像失真。在一些實施方式中,基材212包含熔融矽土、熔融石英、氟化鈣、碳化矽、黑鑽石、或摻雜氧化鈦之氧化矽(SiO2/TiO2)。在一些實施方式中,基材212具有範圍從約1mm至約7mm之厚度。若基材212之厚度太小,在某些情況下,罩幕211的破損或翹曲的風險會增加。另一方面,若基材212之厚度太大,在某些情況下,罩幕211之重量與成本會不必要地增加。
在圖5之操作502中,設置半透射之蝕刻停止層216於基材212之正面的上方。在一些實施方式中,蝕刻停止層216與基材212之正面直接接觸。在一些實施方式中,蝕刻停止層216對微影製程中所使用之光能是透射的。如在此所使用的,透射之蝕刻停止層係指蝕刻停止層由透射超過70%入射到材料上之光的材料所形成。舉例而言,在一些實施方式中,蝕刻停止層可讓使用在浸潤式微影製程之輻射透射。舉例而言,在一些實施方式中,透射之蝕刻停止層216透射超過90%之入射到透射之蝕刻停止層216上的輻射。在其他實施方式中,透射之蝕刻停止層216透射超過95%之入射到透射之蝕刻停止層216上的輻射。 在一些實施方式中,透射之蝕刻停止層216透射超過99%之入射到透射之蝕刻停止層216上的輻射,例如蝕刻停止層216透射約99.5%或更多入射到透射之蝕刻停止層216上的輻射。
可用作透射之蝕刻停止層216之材料的例子包含保護下方之基材212免受蝕刻劑的影響,此蝕刻劑用於蝕刻透過蝕刻停止層216與基材212分離之特徵。舉例而言,在相移層214由矽化鉬化合物形成之實施方式中,使用含氟蝕刻劑來蝕刻相移層214。依照本揭露之實施方式,蝕刻停止層216之材料抗含氟蝕刻劑的蝕刻,且可透射入射輻射。可用於移除部分之相移層214的含氟蝕刻劑的例子包含含氟氣體,例如四氟化碳、三氟甲烷、六氟乙烷、二氟甲烷、六氟化硫、或其組合。抗含氟蝕刻劑蝕刻且可透射入射輻射之材料包含AlxSiyOz(x+y+z=1)。依照本揭露之實施方式不限於僅AlxSiyOz(x+y+z=1)的蝕刻停止層。依照在此所述之實施方式,可使用對入射輻射透射且抗含氟蝕刻劑或其他用以蝕刻相移材料層214之蝕刻劑蝕刻的其他材料作為蝕刻停止層。在其他實施方式中,蝕刻停止層216之材料抗含氯蝕刻劑蝕刻且可透射極紫外輻射。含氯蝕刻劑之例子包含含氯氣體(例如,氯氣、四氯化矽、氯化氫、四氯化碳、三氯甲烷、其他含氯氣體、或其組合),以及含氧氣體(例如,氧氣、其他含氧氣體、或其組合)。抗含氯蝕刻劑蝕刻且可透射入射輻射之材料包含AlxSiyOz(x+y+z=1)。
在一些實施方式中,蝕刻停止層216具有介於約1nm至20nm的厚度。在其他實施方式中,蝕刻停止層216具有介於約1nm至10nm的厚度。依照本揭露之實施方式不限於具有介於1nm至20nm之間或介於1nm至10nm之間之厚度的蝕刻停止層。舉例而言,在一些實施方式中,蝕刻停止層216可比1nm薄,或者可比20nm厚。
可利用多種方法,包含物理氣相沉積(PVD)製程(例如,蒸鍍與直流磁控濺射)、電鍍製程(例如,無電極電鍍或電鍍)、化學氣相沉積(CVD)製程(例如,大氣壓化學氣相沉積、低壓化學氣相沉積、電漿增強化學氣相沉積、或高密度電漿化學氣相沉積)、離子束沉積、旋塗、金屬有機裂解(MOD)、其他適合之方法、或其組合,來形成蝕刻停止層216。
在操作504中,設置相移材料層214於基材212之正面的上方。在一些實施方式中,相移材料層214與基材212上之蝕刻停止層216的正面直接接觸。相移材料層214在入射到相移材料層214且通過相移材料層214的光中產生相移。依照本揭露之實施方式,相較於不通過相移材料層214之入射光的相位,進入相移材料214並穿過相移材料214的光中所產生之相移程度,可藉由改變相移材料層214之折射率與厚度來調整。在一些實施方式中,選擇相移材料層214之折射率與厚度,使得在進入相移材料層214並穿過相移材料214之光中所產生的相移為約180 度。依照本揭露之實施方式不限於產生180度的相移。舉例而言,在其他實施方式中,所需之相移可大於或小於180度。不像圖1A之實施方式之蝕刻停止層僅對入射光半透射,圖1B之實施方式中之蝕刻停止層216對入射光基本上是完全透射。因此,入射在蝕刻停止層216上且穿過蝕刻停止層216之光的相位實際上沒有改變。換句話說,兩種光都通過蝕刻停止層216後,相對於入射在蝕刻停止層216上但未通過相移材料層214的光,在穿過相移材料層214之入射光中產生的相移將維持不變。
在一些實施方式中,相較於不穿過相移材料層214之入射光的透射率,進入相移材料層214並穿過相移材料層214之入射光的透射率可透過改變相移材料層214之吸收係數來調整。此外,可藉由改變蝕刻停止層216之材料的吸收係數來調整入射在蝕刻停止層216上之光的透射率。
相移材料層214之折射率可按照與如上所述調整相移材料層204之折射率相同的方式進行調整。
依照本揭露之實施方式,可藉由調整相移材料層214之入射光吸收係數,來調整相移材料層214對入射光之透射率。舉例而言,增加相移材料層214之吸收係數將降低入射光通過相移材料層214的透射率。降低相移材料層214之吸收係數將增加入射光通過相移材料層214的透射率。可如上述關於調整相移材料層204之吸收係數般調整相移材料層214之吸收係數。
依照本揭露之實施方式,可藉由調整蝕刻停止層216之吸收係數,來調整蝕刻停止層216對入射光之透射率。舉例而言,增加蝕刻停止層216之吸收係數將降低入射光通過蝕刻停止層216的透射率。降低蝕刻停止層216之吸收係數將增加入射光通過蝕刻停止層216的透射率。蝕刻停止層216之吸收係數可透過與上面關於蝕刻停止層206描述之相同的方式來調整。
依照一些實施方式,相移層214具有介於30奈米與100奈米之間的厚度。依照本揭露之實施方式不限於具有在前述範圍內之厚度的相移層214。舉例而言,在其他實施方式中,相移層可具有低於前述範圍或高於前述範圍之厚度。
可用作相移層214之材料包含矽化鉬化合物等。舉例而言,相移層214包含矽化鉬化合物,例如MoSi、MoSiCON、MoSiON、MoSiCN、MoSiCO、MoSiO、MoSiC、與MoSiN。依照本揭露之實施方式不限於利用前述矽化鉬化合物的相移層。在其他實施方式中,相移層214包含除矽化鉬化合物之外的化合物,其能夠使入射在相移層上之光的相位轉移例如180度。
可利用多種方法,包含物理氣相沉積(PVD)製程(例如,蒸鍍與直流磁控濺射)、電鍍製程(例如,無電極電鍍或電鍍)、化學氣相沉積(CVD)製程(例如,大氣壓化學氣相沉積、低壓化學氣相沉積、電漿增強化學氣相沉積、或高密度電漿化學氣相沉積)、離子束沉積、旋塗、金屬有 機裂解(MOD)、其他適合之方法、或其組合,來形成相移層214。
在操作506中,沉積圖案化層250於相移材料層214之上方。在一些實施方式中,將圖案化層220圖案化並作為圖案化相移材料層214之罩幕。此外,如上所述,圖案化圖案化層250之周邊部分,以形成影像邊界特徵250P圍繞APSM罩幕211之影像區252的周邊。
在一些實施方式中,圖案化層250包含金屬、金屬氧化物、或其他適合材料。舉例而言,圖案化層250可包含含鉭材料(例如,Ta、TaN、TaNH、TaHF、TaHfN、TaBSi、TaBSiN、TaB、TaBN、TaSi、TaSiN、TaGe、TaGeN、TaZr、TaZrN、其他含鉭材料材料、或其組合)、含鉻材料(例如,Cr、CrN、CrO、CrC、CrON、CrCN、CrOC、CrOCN、其他含鉻材料、或其組合)、含鈦材料(例如,Ti、TiN、其他含鈦材料、或其組合)、其他適合材料、或其組合。圖案化層250之材料在此不受限制,並可包含能夠阻擋入射光且相對於相移材料層214與硬罩幕層218可表現出選擇性蝕刻或移除特性。
在本揭露之一些實施方式中,圖案化層250為5nm至50nm厚。可利用多種方法,包含物理氣相沉積(PVD)製程(例如,蒸鍍與直流磁控濺射)、電鍍製程(例如,無電極電鍍或電鍍)、化學氣相沉積(CVD)製程(例如,大氣壓化學氣相沉積、低壓化學氣相沉積、電漿增強化學氣相沉積、或高密度電漿化學氣相沉積)、離子束沉積、旋塗、 金屬有機裂解(MOD)、其他適合之方法、或其組合,來形成圖案化層250。
如以下更詳細描述的,將圖案化硬罩幕層218,且將硬罩幕層218之圖案轉移到圖案化層250。在一些實施方式中,硬罩幕層218包含保護罩幕211之圖案化層250的材料。在一些實施方式中,硬罩幕層218與圖案化層250之材料相對於用來去除下述之鈍化層與光阻層210之材料具有類似之特性,而相對於用來蝕刻硬罩幕層218之材料具有不同之特性。在一些實施方式中,硬罩幕層218包含含鉻材料,例如Cr、CrN、CrO、CrC、CrON、CrCN、CrOC、CrOCN、其他含鉻材料、或其組合。當硬罩幕層218選自這些含鉻材料時,圖案化層250所選擇之材料為相對於硬罩幕層218之材料可受到選擇性蝕刻的材料。舉例而言,當硬罩幕層為含鉻材料時,圖案化層250不是含鉻材料。在一些替代實施方式中,硬罩幕層218包含含鉭材料,例如Ta、TaN、TaNH、TaHF、TaHfN、TaBSi、TaBSiN、TaB、TaBN、TaSi、TaSiN、TaGe、TaGeN、TaZr、TaZrN、其他含鉭材料、或其組合,其可以含氟蝕刻劑蝕刻。
在一些實施方式中,硬罩幕層218具有約3.5nm至約5nm的厚度。可利用多種方法,包含物理氣相沉積(PVD)製程(例如,蒸鍍與直流磁控濺射)、電鍍製程(例如,無電極電鍍或電鍍)、化學氣相沉積(CVD)製程(例如,大氣壓化學氣相沉積、低壓化學氣相沉積、電漿增強化學氣 相沉積、或高密度電漿化學氣相沉積)、離子束沉積、旋塗、金屬有機裂解(MOD)、其他適合之方法、或其組合,來形成硬罩幕層218。
在操作510中,沉積光阻層260於硬罩幕層218之上方。如以下更詳細描述般圖案化光阻層260,且利用圖案化之光阻作為罩幕來圖案化下方之硬罩幕層218。在一些實施方式中,如以下更詳細描述般將圖案化光阻,這樣的圖案將在後續製程中轉移到相移材料層214上。在一些實施方式中,光阻層260可為使用酸催化之化學增幅阻劑。舉例而言,可透過將酸敏聚合物溶解在澆鑄溶液中的方式來配製光阻層260之光阻。在一些實施方式中,光阻層260之光阻可為正型光阻,其將使隨後形成之圖案具有與罩幕(未示出)上之圖案相同之輪廓。在一些替代實施方式中,光阻層260之光阻可為負型光阻,其將使隨後形成之圖案具有對應於罩幕(未示出)上之圖案的開口。可利用旋塗或其他類似技術形成光阻層260。
請參照圖3B,圖示出於光阻層260與硬罩幕218之圖案化後罩幕211之中間結構。請另外參照圖5,於操作512,透過對光阻層260進行曝光製程來圖案化光阻層260。曝光製程可包含具有罩幕之微影技術(例如,光微影製程),或無罩幕之微影技術[例如,電子束(e-beam)曝光製程或離子束曝光製程]。於曝光製程後,可進行後烘烤製程,以硬化光阻層之至少一部分。根據光阻層260之材料或類型,光阻層之聚合物在光束的照射與烘烤時會發生不 同的反應(聚合物的斷鏈或交聯)。之後,進行顯影製程,以移除光阻層之至少一部分。在一些實施方式中,暴露於光束之正型阻劑材料的部分可能發生斷鏈反應,導致暴露之部分相較於未暴露於光束之其他部分更容易被顯影劑去除。另一方面,暴露於光束之負型阻劑材料的部分可能發生交聯反應,導致暴露之部分相較於未暴露於光束之其他部分更難被顯影劑去除。在一些實施方式中,於光阻層260之顯影後,暴露出下方之硬罩幕層218的部分。
請繼續參照圖3B,於光阻層260之顯影完成後,於操作514,透過經顯影之光阻層260中的開口蝕刻硬罩幕層218。利用經由顯影後之光阻層260中之開口蝕刻硬罩幕層218之暴露部分的方式,圖案化硬罩幕層218。蝕刻製程可包含乾蝕刻製程、濕蝕刻製程、或其組合。乾與濕蝕刻製程具有可調整之蝕刻參數,例如所使用之蝕刻劑、蝕刻溫度、蝕刻溶液濃度、蝕刻壓力、電源功率、射頻偏壓、射頻偏功率、蝕刻劑流速、以及其他適合之參數,以相對於在硬罩幕層218之蝕刻期間將暴露於蝕刻劑之其他材料,對硬罩幕層218之材料具有選擇性。在一些實施方式中,使用含氟蝕刻劑在部分之硬罩幕層218的移除上。含氟蝕刻劑之例子包含含氟氣體,例如四氟化碳、三氟甲烷、六氟乙烷、二氟甲烷、六氟化硫、或其組合。
於操作516,移除圖案化之光阻層260,以暴露出硬罩幕218之剩餘部分。可利用濕式剝除或電漿灰化方式移除圖案化之光阻層260。於操作518,利用經由硬罩 幕層218中之開口蝕刻圖案化層250的方式,將硬罩幕層218中之圖案轉移至圖案化層250。透過將圖案化層250暴露於蝕刻劑的方式,進行圖案化層250的蝕刻,相較於在蝕刻圖案化層250之步驟期間將暴露於蝕刻材料之其他材料,例如硬罩幕218,這些蝕刻劑對圖案化層250之材料具有選擇性。蝕刻製程可包含乾蝕刻製程、濕蝕刻製程、或其組合。乾與濕蝕刻製程具有可調整之蝕刻參數,例如所使用之蝕刻劑、蝕刻溫度、蝕刻溶液濃度、蝕刻壓力、電源功率、射頻偏壓、射頻偏功率、蝕刻劑流速、以及其他適合之參數,以相對於在圖案化層250之蝕刻期間將暴露於蝕刻劑之其他材料,例如經圖案化之硬罩幕層218,對圖案化層250之材料具有選擇性。在一些實施方式中,圖案化層250之蝕刻製程使用含氯氣體(例如,氯氣、四氯化矽、氯化氫、四氯化碳、三氯甲烷、其他含氯氣體、或其組合)與含氧氣體(例如,氧氣、其他含氧氣體、或其組合)。在其他實施方式中,當圖案化層易受含氟蝕刻劑蝕刻,而硬罩幕218抗含氟蝕刻劑蝕刻時,圖案化層250之蝕刻製程使用含氟蝕刻劑。於圖案化層250之圖案化完成後,於操作520,例如使用氧電漿或濕蝕刻移除圖案化之硬罩幕層218。
請參照圖3C,圖示出於已利用經圖案化之圖案化層250圖案化相移材料層214後之罩幕211之中間結構。在圖3C中,已如上所述移除圖案化之光阻層260與圖案化之硬罩幕層218。請參照圖5,於操作522中,利用經 由圖案化層250中之開口270蝕刻相移材料層214的方式,將圖案化之圖案化層250的圖案轉移至相移材料層214。相移材料層214之圖案化通過相移材料層214中之開口280暴露出部分之停止層216。透過將經由圖案化層250中之開口270暴露出之相移材料層214的部分暴露於蝕刻劑的方式,完成相移材料層214之蝕刻,此蝕刻劑相對於圖案化層250之材料與停止層216之材料,對相移材料層214之材料具有選擇性。蝕刻製程可包含乾蝕刻製程、濕蝕刻製程、或其組合。乾與濕蝕刻製程具有可調整之蝕刻參數,例如所使用之蝕刻劑、蝕刻溫度、蝕刻溶液濃度、蝕刻壓力、電源功率、射頻偏壓、射頻偏功率、蝕刻劑流速、以及其他適合之參數,以相對於在相移材料層214之蝕刻期間將暴露於蝕刻劑之其他材料,例如經圖案化之圖案化層250與下方之蝕刻停止層216,對相移材料層214之材料具有選擇性。在一些實施方式中,使用含氟蝕刻劑在部分之相移層214的移除上。含氟蝕刻劑之例子包含含氟氣體,例如四氟化碳、三氟甲烷、六氟乙烷、二氟甲烷、六氟化硫、或其組合。轉移圖案化層250之圖案至相移層214完成後,於操作524,例如利用濕蝕刻或電漿蝕刻製程移除經圖案化之圖案化層250。圖3D係繪示於步驟524移除圖案化層250後,依照本揭露之實施方式的罩幕211。
請參考圖5之步驟526與528以及圖3E,依照一些實施方式,方法500更包含移除部分之蝕刻停止層 216的步驟526。移除部分之蝕刻停止層216在蝕刻停止層216中產生開口294,透過開口294暴露出部分之基材212。利用使蝕刻停止層216之經由相移材料層214中之開口280暴露出的部分與蝕刻劑接觸的方式,完成步驟526中蝕刻停止層216的蝕刻,此蝕刻劑對蝕刻停止層216之材料具有選擇性,但對相移材料層214與基材212之材料不具有選擇性。於步驟528,依照一些實施方式,方法包含移除部分之基材212的步驟。移除部分之基材212在基材212中產生溝渠296。利用使基材212之經由蝕刻停止層216中之開口294暴露出的部分與蝕刻劑接觸的方式,完成步驟528中部分之基材212的移除,此蝕刻劑對基材212具有選擇性,但對蝕刻停止層216之材料與相移材料層214之材料不具有選擇性。圖3E係繪示依照本揭露之一些實施方式之罩幕結構211,其中部分之蝕刻停止層216與基材212已如上所述移除。於蝕刻停止層216之蝕刻已經完成後蝕刻基材212,提供了更小心控制基材212之蝕刻的機會,因此可避免或減少由於基材的過度蝕刻或蝕刻不足而導致之在相位或透射強度上的有害偏移。
於圖案化層250之移除完成後(或者在替代實施方式中,於部分之蝕刻停止層216或部分之基材212之移除後),清潔微影罩幕211,以從其中移除任何汙染物。在一些實施方式中,利用將罩幕211浸入氫氧化銨(NH4OH)溶液中的方式來清潔罩幕211。
隨後以例如波長為193nm之紫外光照射罩幕211,以檢查圖案化區252中的任何缺陷。可從漫反射光中檢測異物。若檢測到缺陷,則使用適合之清潔製程進一步清潔罩幕211。
在半導體微影製程中有用之罩幕211因此形成。圖3D之罩幕211包含基材212、基材212上方之透射的蝕刻停止層216、與透射之蝕刻停止層216上方之圖案化之相移材料層214。依照此實施方式,蝕刻停止層216並未包含圖案化之相移材料層的圖案,且已經保護下方之基材212免受在罩幕形成過程期間所使用之蝕刻劑的影響,否則蝕刻劑會蝕刻基材212。蝕刻停止層可透射入射光,例如深紫外光、近紫外光、或浸潤式微影製程中所使用之光,例如來自具有約193nm波長之氟化氬準分子雷射的光,在一些實施方式中,這樣的光的透射率超過99%。如上所述,選擇相移材料層214之厚度、及其折射率與入射光吸收特性,以提供入射在罩幕211上之光的所需相移,例如180度,以及提供透射通過相移材料層214之光的所需程度。由於此實施方式中之蝕刻停止層216的高透射特性,不像包含半透射之蝕刻停止層206之圖1A的實施方式,蝕刻停止層216對入射在罩幕211上之光的相移或蝕刻停止層216中之入射光的吸收具有非常小的影響。因此,蝕刻停止層216之厚度、其折射率與入射光吸收特性相較於蝕刻停止層206的那些特性較不受關注。與罩幕200一樣,賦予通過罩幕211之光的相移量亦可透過調整罩幕211上 之光的入射角來調整。如此一來,可將罩幕211上之圖案投影到矽晶圓上,以產生精確且可再現的圖案。
依照本揭露形成之微影罩幕可用於圖案化半導體基材上之材料層的製程。請參照圖6,依照一實施方式,這樣的方法600包含將依照本揭露形成之相移罩幕暴露於浸潤式微影製程中之入射光的步驟602,此入射光為例如具有約193nm量級之波長的光。依照本揭露之罩幕的實施方式不限於可用於浸潤式微影製程或具有約193nm波長之光的罩幕。舉例而言,依照本揭露之實施方式的罩幕可用於利用光譜之深紫外光部分或光譜之近紫外光部分中之光的微影製程。在方法600中有用之相移罩幕的例子包含圖1A之罩幕200。於步驟604,入射到APSM之相移材料上之光的一部分透射過相移材料,此相移材料對透射到相移材料之入射光賦予相移。用於方法600之相移材料的例子包含圖1A之相移材料層204。於步驟606,透射過相移材料之光的一部分光照射在APSM之半透射的蝕刻停止層上。用於方法600之半透射之蝕刻停止層的例子包含圖1A之半透射之蝕刻停止層206。於步驟608,照射在半透射之蝕刻停止層上之入射光的一部分透射過半透射式之蝕刻停止層。於步驟610,透射過半透射之蝕刻停止層的此部分光透射過APSM之基材。用於方法600之基材的例子包含圖1A之基材202。因穿過相移材料與半透射之蝕刻停止層,光已發生相移。此外,因穿過相移材料及/或半透射之蝕刻停止層,入射在罩幕200上之光的強度可 能已經減弱。與入射光透射通過相移材料及半透射之蝕刻停止層的同時,於步驟612,入射到APSM之光的一部分透射通過APSM之基材而沒通過相移材料或半透射之蝕刻停止層。透射過APSM之基材而沒穿過相移材料或半透射之蝕刻停止層之光的相位保持相對不變。此外,透射過APSM之基材而沒穿過相移材料或半透射之蝕刻停止層之光量可為入射在罩幕200上之光的約99%或更多。接著,於步驟614,使用已經透射過APSM之基材的光來圖案化半導體基材上的材料。
依照本揭露之另一實施方式,依照圖1B所示之實施方式形成之罩幕可用於圖案化半導體基材上之材料層的製程。
請參照圖7,依照一實施方式,這樣的方法700包含將依照本揭露形成之相移罩幕暴露於入射光的步驟702。在方法700中有用之APSM的例子包含圖1B之罩幕211。於步驟704,入射到APSM之相移材料上之光的一部分透射過相移材料,此相移材料對透射到相移材料之入射光賦予相移。用於方法700之相移材料的例子包含圖1B之相移材料層214。於步驟606,透射過相移材料之光的一部分光照射在APSM之透射的蝕刻停止層上。用於方法700之透射之蝕刻停止層的例子包含圖1B之透射之蝕刻停止層216。於步驟708,照射在透射之蝕刻停止層上之入射光的一部分透射過透射式之蝕刻停止層。於步驟710,透射過透射之蝕刻停止層的此部分光透射過APSM 之基材。用於方法700之基材的例子包含圖1B之基材212。因穿過相移材料,此透射過相移材料之光已發生相移。因蝕刻停止層幾乎100%透射入射光,依照此實施方式,入射在透射之蝕刻停止層上之光通過透射之蝕刻停止層的透射率不會在透射之光的相位上發生任何顯著變化。與入射光透射通過相移材料及透射之蝕刻停止層的同時,於步驟712,入射到APSM之光的一部分透射通過APSM之透射之蝕刻停止層而沒通過相移材料。透射過透射之蝕刻停止層而沒穿過相移材料之光的相位保持相對不變。透射過透射之蝕刻停止層的光透射過基材。接著,於步驟714,使用透射過APSM之基材的光來圖案化半導體基材上的材料。
本說明書之一態樣係涉及一種微影罩幕,例如交替式相移罩幕。罩幕包含基材、相移層位於基材上、以及半透射之蝕刻停止層介於基材與相移層之間。相移層係經圖案化的,且相移層之圖案亦存在半透射之蝕刻停止層中。半透射之蝕刻停止層之材料的例子包含氮氧化鉻、釕、釕-鈮、釕-鋯、釕-鈦、釕-釔、釕-硼、以及釕-磷。依照一些實施方式,基材由石英所製成。依照一些實施方式,相移層選自於矽化鉬化合物。依照一些實施方式,半透射之蝕刻停止層透射高達30%之入射到半透射之蝕刻停止層上的光。依照一些實施方式,基材係經圖案化的。依照一些實施方式,半透射之蝕刻停止層係經圖案化的。
本說明書之另一態樣係涉及一種微影罩幕,例如交 替式相移罩幕。在此態樣中,罩幕包含基材、圖案化之相移層位於基材上、以及透射之蝕刻停止層介於基材與圖案化之相移層之間。在此態樣中,蝕刻停止層並未包含圖案化之相移層之圖案。透射之蝕刻停止層的例子包含由化學式AlxSiyOz之材料形成之蝕刻停止層,其中x+y+z=1。本說明書之一態樣係涉及一種微影罩幕,包含石英基材、圖案化之相移層、以及透射之蝕刻停止層。圖案化之相移層包含矽化鉬化合物位於石英基材上。透射之蝕刻停止層包含化學式為AlxSiyOz之材料,且介於石英基材與圖案化之相移層之間,其中x+y+z=1。依照一些實施方式,圖案化之相移層係選自於MoSi、MoSiCON、MoSiON、MoSiCN、MoSiCO、MoSiO、MoSiC、與MoSiN。依照一些實施方式,透射之蝕刻停止層透射超過70%之入射到透射之蝕刻停止層上的光。依照一些實施方式,石英基材係經圖案化的。依照一些實施方式,透射之蝕刻停止層為1奈米至20奈米厚。
本說明書之又一態樣係涉及一種微影罩幕之製造方法。此方法包含形成蝕刻停止層於基材上。接著,形成相移層於蝕刻停止層上。接著,形成圖案化層於相移層上。接下來,形成圖案化硬罩幕層於圖案化層上。接著,利用圖案化硬罩幕層作為蝕刻罩幕,蝕刻圖案化層,以在圖案化層中形成複數個開口。圖案化層中之此複數個開口暴露出相移層之部分之表面。接著,利用蝕刻後之圖案化層作為蝕刻罩幕,蝕刻相移層之暴露部分,以在相移層中形成 複數個開口。相移層中之此複數個開口暴露出蝕刻停止層之表面。在一些實施方式中,蝕刻停止層選自於氮氧化鉻、釕、釕-鈮、釕-鋯、釕-鈦、釕-釔、釕-硼、以及釕-磷。在其他實施方式中,蝕刻停止層選自於具有化學式AlxSiyOz之材料,其中x+y+z=1。依照一些實施方式,此方法更包含通過相移層中之複數個開口蝕刻蝕刻停止層,以在蝕刻停止層中形成複數個開口,蝕刻停止層中之複數個開口暴露出基材之表面。依照一些實施方式,此方法更包含通過相移層中之複數個開口蝕刻基材。依照一些實施方式,蝕刻圖案化層包含以含氯蝕刻劑蝕刻圖案化層。依照一些實施方式,蝕刻相移層包含以含氟蝕刻劑蝕刻相移層。依照一些實施方式,蝕刻蝕刻停止層包含以含氯蝕刻劑蝕刻蝕刻停止層。依照一些實施方式,蝕刻相移層包含將基材與用以蝕刻相移層之蝕刻劑隔離。依照一些實施方式,蝕刻相移層包含移除部分之圖案化硬罩幕層。
上述已概述數個實施方式的特徵,因此熟習此技藝者可更了解本揭露之態樣。熟習此技藝者應了解到,其可輕易地利用本揭露做為基礎,來設計或潤飾其他製程與結構,以實現與在此所介紹之實施方式相同之目的及/或達到相同的優點。熟習此技藝者也應了解到,這類對等架構並未脫離本揭露之精神和範圍,且熟習此技藝者可在不脫離本揭露之精神和範圍下,在此進行各種之更動、取代、與修改。
200:微影罩幕、APSM罩幕、罩幕
202:基材
204:相移層、相移材料層、相移材料
206:蝕刻停止層、停止層
208a:開口
208b:開口
208c:開口
220P:影像邊界特徵
222:影像區、圖案化區

Claims (10)

  1. 一種微影罩幕,包含:一基材;一相移層,位於該基材上;以及一半透射之蝕刻停止層,介於該基材與該相移層之間;其中該蝕刻停止層選自於釕、釕-鈮、釕-鋯、釕-鈦、釕-釔、釕-硼、以及釕-磷。
  2. 如請求項1所述之微影罩幕,其中該半透射之蝕刻停止層透射高達30%之入射到該半透射之蝕刻停止層上的光。
  3. 如請求項1所述之微影罩幕,其中該相移層選自於一矽化鉬化合物。
  4. 一種微影罩幕,包含:一石英基材;一圖案化之相移層,包含一矽化鉬化合物位於該石英基材上;以及一透射之蝕刻停止層,包含化學式為AlxSiyOz之一材料,且介於該石英基材與該圖案化之相移層之間,其中x+y+z=1。
  5. 如請求項4所述之微影罩幕,其中該透射之 蝕刻停止層透射超過70%之入射到該透射之蝕刻停止層上的光。
  6. 如請求項4所述之微影罩幕,其中該透射之蝕刻停止層為1奈米至20奈米厚。
  7. 一種微影罩幕之製造方法,包含:形成一蝕刻停止層於一基材上;形成一相移層於該蝕刻停止層上;形成一圖案化層於該相移層上;形成一圖案化硬罩幕層於該圖案化層上;利用該圖案化硬罩幕層作為一蝕刻罩幕,蝕刻該圖案化層,以在該圖案化層中形成複數個開口,該複數個開口暴露出該相移層之一表面;以及利用蝕刻後之該圖案化層作為一蝕刻罩幕,蝕刻該相移層,以在該相移層中形成複數個開口,該相移層中之該複數個開口暴露出該蝕刻停止層之一表面。
  8. 如請求項7所述之方法,更包含通過該相移層中之該複數個開口蝕刻該蝕刻停止層,以在該蝕刻停止層中形成複數個開口,該蝕刻停止層中之該複數個開口暴露出該基材之一表面。
  9. 如請求項7所述之方法,更包含通過該相移 層中之該複數個開口蝕刻該基材。
  10. 如請求項7所述之方法,其中蝕刻該相移層包含將該基材與用以蝕刻該相移層之一蝕刻劑隔離。
TW111120134A 2021-06-07 2022-05-30 微影罩幕與其製造方法 TWI820729B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163197651P 2021-06-07 2021-06-07
US63/197,651 2021-06-07
US17/716,849 2022-04-08
US17/716,849 US20220390827A1 (en) 2021-06-07 2022-04-08 Lithography mask and methods

Publications (2)

Publication Number Publication Date
TW202307921A TW202307921A (zh) 2023-02-16
TWI820729B true TWI820729B (zh) 2023-11-01

Family

ID=83608525

Family Applications (1)

Application Number Title Priority Date Filing Date
TW111120134A TWI820729B (zh) 2021-06-07 2022-05-30 微影罩幕與其製造方法

Country Status (5)

Country Link
US (1) US20220390827A1 (zh)
KR (1) KR20220165209A (zh)
CN (1) CN115220296A (zh)
DE (1) DE102022109612A1 (zh)
TW (1) TWI820729B (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201543143A (zh) * 2014-05-15 2015-11-16 Taiwan Semiconductor Mfg Co Ltd 光罩及其製造方法
US20190265585A1 (en) * 2016-10-21 2019-08-29 Hoya Corporation Reflective mask blank, method of manufacturing reflective mask and method of manufacturing semiconductor device

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3312703B2 (ja) * 1993-04-15 2002-08-12 大日本印刷株式会社 位相シフトフォトマスクの修正方法
JP2658966B2 (ja) * 1995-04-20 1997-09-30 日本電気株式会社 フォトマスク及びその製造方法
US7635546B2 (en) * 2006-09-15 2009-12-22 Applied Materials, Inc. Phase shifting photomask and a method of fabricating thereof
TWI409580B (zh) * 2008-06-27 2013-09-21 S&S Tech Co Ltd 空白光罩、光罩及其製造方法
KR20110105520A (ko) * 2010-03-19 2011-09-27 주식회사 에스앤에스텍 블랭크 마스크, 이를 이용하는 포토 마스크 및 이를 제조하는 방법
KR101407230B1 (ko) * 2012-05-14 2014-06-13 주식회사 에스앤에스텍 블랭크 마스크, 포토마스크 및 그의 제조 방법
KR101485755B1 (ko) * 2012-08-09 2015-01-26 주식회사 에스앤에스텍 하프톤형 위상반전 블랭크 마스크, 포토마스크 및 그의 제조방법
KR101497593B1 (ko) * 2012-08-27 2015-03-03 주식회사 에스앤에스텍 블랭크 마스크, 포토마스크 및 그의 제조 방법
JP6266919B2 (ja) * 2013-08-19 2018-01-24 Hoya株式会社 転写用マスクの製造方法
JP6266322B2 (ja) * 2013-11-22 2018-01-24 Hoya株式会社 表示装置製造用の位相シフトマスクブランク、表示装置製造用の位相シフトマスク及びその製造方法、並びに表示装置の製造方法
KR101504557B1 (ko) * 2014-03-23 2015-03-20 주식회사 에스앤에스텍 블랭크 마스크 및 이를 이용한 포토 마스크
JP6545795B2 (ja) * 2015-05-15 2019-07-17 Hoya株式会社 マスクブランク、転写用マスク、マスクブランクの製造方法、転写用マスクの製造方法および半導体デバイスの製造方法
WO2017038213A1 (ja) * 2015-08-31 2017-03-09 Hoya株式会社 マスクブランク、位相シフトマスクおよびその製造方法、並びに半導体デバイスの製造方法
US10394114B2 (en) * 2016-08-25 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Chromeless phase shift mask structure and process
JP7184558B2 (ja) * 2018-07-30 2022-12-06 株式会社トッパンフォトマスク 位相シフトマスクブランク、位相シフトマスク及び位相シフトマスクの製造方法
US20240069431A1 (en) * 2022-08-31 2024-02-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing photo masks

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201543143A (zh) * 2014-05-15 2015-11-16 Taiwan Semiconductor Mfg Co Ltd 光罩及其製造方法
US20190265585A1 (en) * 2016-10-21 2019-08-29 Hoya Corporation Reflective mask blank, method of manufacturing reflective mask and method of manufacturing semiconductor device

Also Published As

Publication number Publication date
TW202307921A (zh) 2023-02-16
KR20220165209A (ko) 2022-12-14
DE102022109612A1 (de) 2022-12-08
CN115220296A (zh) 2022-10-21
US20220390827A1 (en) 2022-12-08

Similar Documents

Publication Publication Date Title
US8679707B2 (en) Method of fabricating a lithography mask
TWI651583B (zh) 光罩基底、光罩基底之製造方法、相移光罩、相移光罩之製造方法、及半導體裝置之製造方法
US6583068B2 (en) Enhanced inspection of extreme ultraviolet mask
US8043771B2 (en) Phase shift mask blank and method of manufacturing phase shift mask
TWI463249B (zh) 光罩基底、光罩及其等之製造方法
KR101899202B1 (ko) 하프톤 위상 시프트 마스크 블랭크, 하프톤 위상 시프트 마스크 및 패턴 노광 방법
US8021806B2 (en) Photomask blank, photomask, and methods of manufacturing the same
US8563227B2 (en) Method and system for exposure of a phase shift mask
US20150177612A1 (en) Mask and method for forming the same
TW201826012A (zh) 光罩基底、轉印用遮罩、轉印用遮罩之製造方法及半導體裝置之製造方法
KR100725371B1 (ko) 다층의 차광 패턴을 포함하는 포토마스크와 그 제조방법 및블랭크 포토마스크
CN111801618B (zh) 掩模坯料、相移掩模及半导体器件的制造方法
US20240069431A1 (en) Method of manufacturing photo masks
KR102587661B1 (ko) 마스크 블랭크, 전사용 마스크 및 반도체 디바이스의 제조 방법
TWI820729B (zh) 微影罩幕與其製造方法
CN112740105A (zh) 掩模坯料、转印用掩模及半导体器件的制造方法
TWI476818B (zh) 微影罩幕的製作方法
US11681215B2 (en) Photomask and method for forming the same
KR20220161165A (ko) 합금 기반 흡수재를 사용한 극자외선 마스크
JP6800779B2 (ja) 転写用マスクの製造方法、および半導体デバイスの製造方法
KR20240031182A (ko) 포토 마스크를 제조하는 방법
TWI854972B (zh) 光罩基底、相偏移光罩及半導體裝置之製造方法
TWI830983B (zh) 極紫外光微影相移光罩
CN117348330A (zh) 制造光掩模的方法
JP2003195474A (ja) 位相シフトマスクブランク及び位相シフトマスク並びにこれらの製造方法