TWI806906B - 用於先進積體電路結構製造的連續閘極與鰭間隔件 - Google Patents

用於先進積體電路結構製造的連續閘極與鰭間隔件 Download PDF

Info

Publication number
TWI806906B
TWI806906B TW107134614A TW107134614A TWI806906B TW I806906 B TWI806906 B TW I806906B TW 107134614 A TW107134614 A TW 107134614A TW 107134614 A TW107134614 A TW 107134614A TW I806906 B TWI806906 B TW I806906B
Authority
TW
Taiwan
Prior art keywords
fin
gate
dielectric
layer
region
Prior art date
Application number
TW107134614A
Other languages
English (en)
Other versions
TW201926473A (zh
Inventor
哈美 圖拉
百榮 何
克里斯多福 奧斯
海迪 梅爾
麥可 哈頓朵夫
薩哈遜 喬許
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW201926473A publication Critical patent/TW201926473A/zh
Application granted granted Critical
Publication of TWI806906B publication Critical patent/TWI806906B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/20Resistors
    • H01L28/24Resistors with an active material comprising a refractory, transition or noble metal, metal compound or metal alloy, e.g. silicides, oxides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7846Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the lateral device isolation region, e.g. STI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • H01L29/7854Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Geometry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本發明的實施例屬於先進的積體電路結構製造領域,並且特別是10奈米節點和更小的積體電路結構製造以及所得結構。在範例中,積體電路結構包含鰭。絕緣結構係直接鄰近鰭的下鰭部的側壁。第一閘極電極係位於上鰭部之上並且位於絕緣結構的第一部分之上。第二閘極電極係位於上鰭部之上並且位於絕緣結構的第二部分之上。第一介電質間隔件係沿著第一閘極電極的側壁。第二介電質間隔件係沿著第二閘極電極的側壁,第二介電質間隔件在第一閘極電極和第二閘極電極之間的絕緣結構的第三部分上與第一介電質間隔件連續。

Description

用於先進積體電路結構製造的連續閘極與鰭間隔件
本發明的實施例屬於先進的積體電路結構製造領域,並且特別是10奈米節點和更小的積體電路結構製造以及所得結構。
在過去數十年,積體電路中之特徵的縮放(scaling)已是不斷成長的半導體工業背後之驅動力。縮放至越來越小的特徵致使了半導體晶片之有限面積上的功能性單元之增加的密度。例如,縮小電晶體尺寸使得在晶片上結合增加數目的記憶體或邏輯裝置,導致增加容量之產品的製造。然而,對於越來越多的容量之渴望並不是沒有問題的。將各裝置之效能最佳化的需求變得越來越重要。
傳統及目前已知的製造程序中之變化性可能限制將其進一步延伸入10奈米節點或次10奈米節點範圍之可能性。因此,用於未來技術節點所需之功能部件的製造可能需要引入新的方法或者將新的技術整合於目前製造程序中或取代目前的製造程序。
描述了先進積體電路結構製造。在下列描述中,闡述了多項特定細節,諸如特定整合及材料狀態,以提供本發明的實施例的透徹瞭解。熟悉此領域人士將清楚本發明的實施例可在沒有這些特定細節下被實行。在其它例子中,眾所周知的特徵(諸如積體電路設計佈局)未被詳細地描述,以免非必要地混淆本發明的實施例。再者,應理解圖式中所示之各個實施例為說明性表示且不一定依比例描繪。
以下詳細說明本質上僅為說明性的且不意於限制請求標的之實施例或此等實施例之應用和使用。如本文中所使用,文字「範例性」指的是「作為範例、例子、或圖示」。本文中所描述為範例性之任何實現不一定被解讀為比其他實現較佳或有利。再者,並無意圖由先前技術領域、背景、簡單摘要或以下詳細說明中所提出之任何明確表達的或暗示性的理論所約束。
本說明書包括對於「一個實施例」或「實施例」之參考。術語「在一個實施例中」或「在實施例中」之出現不一定是指相同的實施例。特定特徵、結構、或特性可用任何符合本發明的合適的方式來結合。
術語。以下段落提供了在本發明(包括後附申請專利範圍)中所發現之術語的定義或背景:
「包含」:此術語為開放式結尾的。如後附申請專利範圍中所使用,此術語不排除額外的結構或操作。
「組態成」:各個單元或部件可被描述或請求為「組態成」進行一或多個工作。在此等背景下,「組態成」係用以藉由指示單元或部件包括在操作期間進行那些工作之結構來暗示結構。如此,單元或部件可被說是組態成進行該工作,即使當指明的單元或部件目前並未操作(例如,不是開啟或現用)時。闡述單元或電路或部件被「組態成」進行一或多個工作是明確地表示針對該單元或部件不要引用35 U.S.C. §112(第六段)。
「第一」、「第二」,等等。如本文中所使用,這些術語被使用為在其後方之名詞的標示,且並未暗示任何類型的排序(例如,空間、時間、邏輯,等等)。
「耦接」-下面的說明是指稱被「耦接」在一起的元件或節點或特徵。如本文中所使用,除非另有明確地聲明,「耦接」指的是其一個元件或節點或特徵被直接地或間接地結合至另一元件或節點或特徵(或者直接地或間接地與另一元件或節點或特徵通訊),並且不一定是機械地。
此外,某些術語也可被用於以下描述中以僅供參考之目的,而因此不意欲為限制性的。例如,諸如「較高」、「較低」、「上方」、及「下方」是指稱該參考所應用之圖形中的方向。諸如「前」、「後」、「後方」、「側面」、「外側」、及「內側」等術語係描述參考之恆定(但任意)框內的部件之部分的定向或位置或兩者,其係藉由參考描述討論中部件之文字及相關圖式而變得清楚明白。此術語可包括以上所明確地提及之字詞、其衍生詞、及類似含義的字詞。
「禁止」-如本文中所使用,禁止被用來描述減少或最小化效果。當部件或特徵被描述為禁止行動、動作、或狀況時,其可完全地防止結果或後果或未來狀態。此外,「禁止」也可指稱可能另外地發生之後果、效能、或效果的減少或減輕。因此,當部件、元件、或特徵被指稱為禁止結果或狀態時,其無須完全地防止或去除該結果或狀態。
本文中所述的實施例可用於前段(FEOL)半導體處理及結構。FEOL是積體電路(IC)製造之第一部分,其中個別裝置(例如,電晶體、電容、電阻,等等)被圖案化在半導體基板或層中。FEOL通常涵蓋直到(但不包括)金屬互連層之沉積的所有步驟。接續於最後FEOL操作後,其結果通常為具有隔離電晶體(例如,無任何佈線)之晶圓。
本文中所述的實施例可用於後段(BEOL)半導體處理及結構。BEOL為IC製造之第二部分,其中個別裝置(例如,電晶體、電容、電阻,等等)係與晶圓上之佈線(例如,一或多個金屬化層)互連。BEOL包括接點、絕緣層(介電質)、金屬階層、及用於晶片至封裝連接之接合部位。在製造階段之BEOL部分中,接點(墊)、互連佈線、通孔及介電質結構被形成。對於現代IC製程,在BEOL中可加入多於10個金屬層。
以下所述的實施例可應用於FEOL處理及結構、BEOL處理及結構、或FEOL和BEOL處理及結構兩者。特別是,雖然範例處理方案可使用FEOL處理情境來闡述,但這種方式也可應用於BEOL處理。同樣地,雖然範例處理方案可使用BEOL處理情境來闡述,但這種方式也可應用於FEOL處理。
間距分割處理及圖案化方案可被實現以致使本文中所述的實施例或可被包括為本文中所述的實施例的部分。間距分割圖案化通常是指稱間距減半、間距四分法,等等。間距分割方案可被應用於FEOL處理、BEOL處理、或FEOL(裝置)和BEOL(金屬化)處理兩者。依據本文中所述的一或多個實施例,光學微影被首先實現而以預定義的間距來列印單向線(例如,嚴格地單向或主要地單向)。間距分割處理接著被實現為一種用以增加線密度之技術。
在實施例中,用於鰭、閘極線、金屬線、ILD線或硬掩模線之術語「光柵結構」,在本文中被用來指稱緊密間距光柵結構。在一個這種實施例中,緊密間距無法直接透過選定的微影來獲得。例如,如本領域中已知的,根據選定微影之圖案可首先被形成,但該間距可藉由使用間隔件掩模圖案化來減半。甚至,原始間距可藉由第二輪的間隔件掩模圖案化來四等分。因此,本文中所述的光柵狀圖案可具有以實質上恆定間距來分隔並具有實質上恆定寬度之金屬線、ILD線或硬掩模線。例如,在一些實施例中,間距變化可在百分之十以內,而寬度變化可在百分之十以內,以及在一些實施例中,間距變化可在百分之五以內,而寬度變化可在百分之五以內。圖案可藉由間距減半或間距四分法(或其他間距分割)方式來製造。在實施例中,光柵不一定是單一間距。
在第一範例中,間距減半可被實現以使製造的光柵結構之線密度變兩倍。圖1A顯示接續於層間介電質(ILD)層上所形成之硬掩模材料層的沉積後(但在其圖案化前)之起始結構的橫截面圖。圖1B顯示接續於藉由間距減半的硬掩模層之圖案化後的圖1A之結構的橫截面圖。
參考圖1A,起始結構100具有形成在層間介電質(ILD)層102上的硬掩模材料層104。經圖案化掩模106係設置在硬掩模材料層104之上。經圖案化掩模106具有在硬掩模材料層104上沿著其特徵(線)的側壁形成的間隔件108。
參考圖1B,硬掩模材料層104以間距減半方法來圖案化。具體地,首先移除圖案化掩模106。間隔件108的所得圖案具有密度的兩倍,或間距的一半或掩模106的特徵。如圖1B所示,間隔件108的圖案例如藉由蝕刻程序轉移到硬掩模材料層104以形成經圖案化硬掩模110。在一個這種實施例中,經圖案化硬掩模110形成有具有單向線的光柵圖案。經圖案化硬掩模110的光柵圖案可以是緊密間距光柵結構。例如,可能無法直接藉由選擇的微影技術來實現緊密間距。更進一步,儘管未顯示,原始間距可以藉由第二輪的間隔件掩模圖案化來四分化。因此,圖1B的經圖案化硬掩模110的光柵狀圖案可以具有以恆定間距間隔開並且相對於彼此具有恆定寬度的硬掩模線。所實現的尺寸可以遠小於所採用的微影技術的臨界尺寸。
因此,對於前段(FEOL)或後段(BEOL)任一者或兩者、整合方案,毯覆膜可以使用微影和可能涉及的蝕刻處理來圖案化,例如,間隔件式雙重圖案化(SBDP)或間距減半,或間隔件式四重圖案化(SBQP)或間距四分法。應當理解,也可以實現其它間距劃分方法。在任何情況下,在實施例中,柵格化佈局可以藉由選擇的微影方法來製造,如193nm浸沒式微影(193i)。可以實現間距劃分以將柵格化佈局中的線密度增加n倍。採用193i微影加上間距劃分「n」的柵格佈局形成可以被指定為193i + P/n間距劃分。在一個這種實施例中,193nm浸潤式縮放可以藉由具有成本效益的間距劃分延長許多世代。
在積體電路裝置的製造中,當裝置尺寸持續縮小,多閘極電晶體(如三閘極電晶體)已經變得更加普遍。三閘極電晶體通常製造在塊體矽基板或絕緣體上矽基板上。在一些情況下,塊體矽基板是較佳的,因為它們的成本較低並且與現有的高產量塊體矽基板基礎架構相容。
然而,縮放多閘極電晶體並且非沒有後果。隨著微電子電路的這些基本構建方塊的尺寸減少並且隨著在給定區域中製造的基本構建方塊的絕對數量的增加,用於製造這些構建方塊的半導體製程的限制變得勢不可擋。
根據本發明一或多個實施例,間距四分法方法係實現用於圖案化半導體層以形成半導體鰭。在一或多個實施例中,實現合併的鰭間距四分法。
圖2A是根據本發明的實施例的用於製造半導體鰭的間距四分法方法200的示意圖。圖2B顯示了根據本發明的實施例的使用間距四分法製造的半導體鰭的截面圖。
參考圖2A,在操作(a)中,光阻層(PR)係圖案化以形成光阻特徵202。光阻特徵202可使用標準微影處理技術來圖案化,如193浸沒式微影。在操作(b)中,光阻特徵202係用於圖案化材料層,如絕緣或介電質硬掩模層,以形成第一骨幹(BB1)特徵204。接著在第一骨幹特徵204的側壁附近形成第一間隔件(SP1)特徵206。在操作(c)中,移除第一骨幹特徵204以僅留下第一間隔特徵206。在移除第一骨幹特徵204之前或期間,可以薄化第一間隔件特徵206以形成薄化的第一間隔件特徵206’,如圖2A中所示。根據BB2特徵(208,如下面所描述的)所需的間距和尺寸,此薄化可以在移除BB1(特徵204)之前(如圖所示)或之後進行。在操作(d)中,第一間隔件特徵206或薄化的第一間隔件特徵206’係用於將材料層(如絕緣或介電質硬掩模層)圖案化,以形成第二骨幹(BB2)特徵208。第二間隔件(SP2)特徵210接著在第二骨幹特徵208的側壁附近形成。在操作(e)中,移除第二骨幹特徵208以僅留下第二間隔件特徵210。接著可以使用剩餘的第二間隔件特徵210來將半導體層圖案化,以提供關於初始經圖案化光阻特徵202的具有間距四分化尺寸的複數個半導體鰭。舉例而言,參考圖2B,複數個半導體鰭250(如由塊體矽層形成的矽鰭)使用第二間隔件特徵210作為用於圖案化的掩模(例如,乾式或電漿蝕刻圖案化)形成。在圖2B的範例中,複數個半導體鰭250始終具有基本上相同的間距和間隔。
應當理解的是,最初圖案化的光阻特徵之間的間距可以被修改以改變間距四分法程序的結構性結果。在範例中,圖3A是根據本發明的實施例的用於製造半導體鰭的合併鰭間距四分法方法300的示意圖。圖3B顯示了根據本發明的實施例的使用合併鰭間距四分法製造的半導體鰭的截面圖。
參考圖3A,在操作(a)中,光阻層(PR)被圖案化以形成光阻特徵302。可以使用標準微影處理技術(如193浸沒式微影)對光阻特徵302進行圖案化,但係在可以是產生均勻間距倍增圖案所需的設計規則的最終干擾的間隔(例如,稱為子設計規則空間的間隔)。在操作(b)中,光阻特徵302係用於將材料層(如絕緣或介電質硬掩模層)圖案化,以形成第一骨幹(BB1)特徵304。接著在第一骨幹特徵304的側壁附近形成第一間隔件(SP1)特徵306。然而,與圖2A中所示的方案相比,由於更緊密的光阻特徵302,一些相鄰的第一間隔件特徵306是合併的間隔件特徵。在操作(c)中,第一骨幹特徵304被移除以僅留下第一間隔件特徵306。如圖3A所示,在移除第一骨幹特徵304之前或之後,可以減薄一些第一間隔件特徵306以形成薄化的第一間隔件特徵306’。在操作(d)中,第一間隔件特徵306和薄化的第一間隔件特徵306’係用於將材料層(如絕緣或介電質硬掩模層)圖案化,以形成第二骨幹(BB2)特徵308。接著第二間隔件(SP2)特徵310在第二骨幹特徵308的側壁附近形成。然而,在BB2特徵308是合併特徵的位置(如在圖3A的中心BB2特徵308處)未形成第二間隔件。在操作(e)中,移除第二骨幹特徵308以僅留下第二間隔特徵310。接著可以使用剩餘的第二間隔件特徵310來將半導體層圖案化,以提供關於初始經圖案化光阻特徵302的具有間距四分化尺寸的複數個半導體鰭。
舉例而言,參考圖3B,使用第二間隔件特徵310作為用於圖案化(例如乾式或電漿蝕刻圖案化)的掩模來形成複數個半導體鰭350(如由塊體矽層形成的矽鰭)。然而,在圖3B的範例中,複數個半導體鰭350具有變化的間距和間隔。可以實施這種合併的鰭間隔件圖案化方法以基本上消除在複數個鰭的圖案的某些位置中的鰭的存在。因此,在某些位置合併第一間隔件特徵306允許基於兩個第一骨幹特徵304來製造六個或四個鰭,其通常產生八個鰭,如結合圖2A和2B所述。在一個範例中,內側鰭係藉由以均勻間距產生該些鰭並接著切除不需要的鰭,而具有比通常所將容許者更緊密的間距,雖然後者方式仍可依據文中所述之實施例來實現。
在範例性實施例中,參考圖3B,積體電路結構,第一複數個半導體鰭352具有沿著第一方向(y,進入頁面)的最長尺寸。第一複數個半導體鰭352的相鄰個別半導體鰭353在與第一方向y正交的第二方向(x)上彼此間隔開第一量(S11)。第二複數個半導體鰭354沿著第一方向y具有最長的尺寸。第二複數個半導體鰭354的相鄰個別半導體鰭355在第二方向上彼此間隔開第一量(S1)。第一複數個半導體鰭352和第二複數個半導體鰭354中最近的半導體鰭356和357分別在第二方向x上彼此間隔開第二量(S2)。在實施例中,第二量S2係大於第一量S1,但小於第一量S1的兩倍。在另一實施例中,第二量S2是第一量S1的兩倍以上。
在一個實施例中,第一複數個半導體鰭352和第二複數個半導體鰭354包含矽。在一個實施例中,第一複數個半導體鰭352和第二複數個半導體鰭354與底層單晶矽基板連續。在一個實施例中,第一複數個半導體鰭352和第二複數個半導體鰭354中之各者具有從第一複數個半導體鰭352和第二複數個半導體鰭354中之各者的頂部到底部沿著第二方向x向外逐漸變細的側壁。在一個實施例中,第一複數個半導體鰭352恰好具有五個半導體鰭,而第二複數個半導體鰭354恰好具有五個半導體鰭。
在另一個範例性實施例中,參考圖3A和3B,製造積體電路結構的方法包含形成第一主要骨幹結構304(左BB1)和第二主要骨幹結構304(右BB1)。主要間隔件結構306形成在第一主要骨幹結構304(左BB1)和第二主要骨幹結構304(右BB1)的側壁附近。在第一主要骨幹結構304(左BB1)和第二主要骨幹結構304(右BB1)之間的主要間隔件結構306被合併。移除第一主要骨幹結構(左BB1)和第二主要骨幹結構(右BB1),並且提供第一、第二、第三和第四次要骨幹結構308。第二和第三次要骨幹結構(例如,次要骨幹結構308的中心對)被合併。相鄰在第一、第二、第三和第四次要骨幹結構308的側壁形成次要間隔件結構310。接著,移除第一、第二、第三和第四次要骨幹結構308。接著用第二間隔件結構310來圖案化半導體材料,以在半導體材料中形成半導體鰭350。
在一個實施例中,第一主要骨幹結構304(左BB1)和第二主要骨幹結構304(右BB1)以第一主要骨幹結構和第二主要骨幹結構之間的子設計規則間距被圖案化。在一個實施例中,半導體材料包含矽。在一個實施例中,半導體鰭350中之各者具有從半導體鰭350中之各者的頂部到底部沿著第二方向x向外逐漸變細的側壁。在一個實施例中,半導體鰭350與底層單晶矽基板連續。在一個實施例中,用次要間隔件結構310來圖案化半導體材料包含沿著第一方向y形成具有最長尺寸的第一複數個半導體鰭352,其中第一複數個半導體鰭352的相鄰的各個半導體鰭在與第一方向y正交的第二方向x上彼此隔開第一量S1。第二複數個半導體鰭354係形成為具有沿著第一方向y的最長尺寸,其中第二複數個半導體鰭354的相鄰的各個半導體鰭在第二方向x上彼此間隔開第一量S1。第一複數個半導體鰭352和第二複數個半導體鰭354中最近的半導體鰭356和357分別在第二方向x上彼此間隔開第二量S2。在實施例中,第二量S2大於第一量S1。在一個這種實施例中,第二量S2小於第一量S1的兩倍。在另一個這種實施例中,第二量S2比第一量S1大兩倍但小於三倍。在實施例中,如圖3B所示,第一複數個半導體鰭352恰好具有五個半導體鰭,而第二複數個半導體鰭254恰好具有五個半導體鰭。
在另一個態樣中,應當理解的是,在作為替代合併鰭的方法進行的移除鰭的鰭修整程序中,鰭可以在硬掩模圖案化期間被修整(刪除)或藉由實體地移除鰭。作為後一種方法的範例,圖4A-4C顯示了根據本發明的實施例的製造複數個半導體鰭的方法中的各種操作的截面圖。
參考圖4A,經圖案化硬掩模層402係形成在半導體層404(諸如塊體單晶矽層)之上。參考圖4B,接著例如藉由乾式或電漿蝕刻程序,在半導體層404中形成鰭406。參考圖4C,例如使用掩模和蝕刻程序移除選擇鰭406。在所示的範例中,如圖4C中所示,鰭406中的一個被移除並且可以留下殘留鰭存根408。在這種「最後鰭修整」方法中,硬掩模402整體被圖案化以提供光柵結構而不移除或修改各個特徵。在鰭製造之後,鰭群體不會被修改。
在另一個態樣中,可以被稱為淺溝槽隔離(STI)結構的多層溝槽隔離區域也可以在半導體鰭之間實現。在實施例中,多層STI結構係在塊體矽基板中形成的矽鰭之間形成,以定義矽鰭的子鰭區域。
可能希望使用塊體矽為鰭或三閘極式電晶體。然而,有裝置的主動矽鰭部分下方的區域(子鰭)(例如,閘極控制區域或HSi)處於減少或沒有閘極控制的顧慮。因此,如果源極或汲極區域係在等於或低於HSi點,則漏電路徑可以透過子鰭區域存在。可能的情況是,應控制子鰭區域中的漏電路徑以供合適的裝置操作。
解決上述問題的一種方法已關於使用阱佈植操作,其中子鰭區域是重摻雜的(例如,遠大於2E18/ cm3 ),其關閉子鰭漏電但也致使在鰭中大量的摻雜。添加環狀佈植進一步增加了鰭摻雜,使得後段鰭以高程度摻雜(例如,大於約1E18/cm3 )。
另一種方法關於藉由子鰭摻雜所提供的摻雜,而不必對於鰭的HSi部分提供相同程度的摻雜。程序可以關於選擇性地摻雜在塊體矽晶圓上製造的三閘極或FinFET電晶體的子鰭區域,例如,藉由三閘極摻雜的玻璃子鰭外擴散的方式。例如,選擇性地摻雜三閘極或FinFET電晶體的子鰭區域可以減輕子鰭漏電,同時保持低鰭摻雜。將固態摻雜源極(例如,p型和n型摻雜的氧化物、氮化物或碳化物)結合到電晶體程序流程中,其在從鰭側壁凹陷之後,將良好的摻雜提供到子鰭區域中,同時使鰭體保持相對未摻雜。
因此,程序方案可包含在鰭蝕刻之後使用沉積在鰭上的固體源極摻雜層(例如硼摻雜的氧化物)。之後,在溝槽填充和研磨之後,摻雜層與溝槽填充材料一起凹陷,以定義裝置的鰭高度(HSi)。該操作從HSi之上的鰭側壁移除摻雜層。因此,摻雜層僅沿著子鰭區域中的鰭側壁存在,這確保了對摻雜放置的精確控制。在驅入退火之後,高摻雜限於子鰭區域,在HSi之上的鰭的相鄰區域(其形成電晶體的通道區域)中快速轉變為低摻雜。通常,硼矽酸鹽玻璃(BSG)用於實現NMOS鰭摻雜,而磷矽酸鹽(PSG)或砷矽酸鹽玻璃(AsSG)層用於實現PMOS鰭摻雜。在一個範例中,這種P型固態摻雜物源極層是具有硼濃度約為0.1-10重量百分比的BSG層。在另一個範例中,這種N型固態摻雜物源極層分別是濃度大約在0.1-10重量百分比的具有磷或砷的PSG層或AsSG層。氮化矽覆蓋層可以包含在摻雜層上,接著二氧化矽或氧化矽填充材料可以包含在氮化矽覆蓋層上。
根據本發明的另一個實施例,對於相對較薄的鰭(例如,寬度小於約20奈米的鰭),子鰭漏電足夠低,其中未摻雜或輕摻雜的氧化矽或二氧化矽膜係與鰭直接相鄰形貌成,氮化矽層係在未摻雜或輕摻雜的氧化矽或二氧化矽膜上形成,並且在氮化矽覆蓋層上包含二氧化矽或氧化矽填充材料。應當理解,子鰭區域的摻雜(例如環狀摻雜)也可以用這種結構實現。
圖5A顯示根據本發明的實施例的由三層溝槽隔離結構分離的一對半導體鰭的截面圖。
參考圖5A,一種積體電路結構包含鰭502,諸如矽鰭。鰭502具有下鰭部(子鰭)502A和上鰭部502B (Hsi)。第一絕緣層504直接在鰭502的下鰭部502A的側壁上。第二絕緣層506直接在第一絕緣層504上,而第一絕緣層504直接在鰭502的下鰭部502A的側壁上。介電質填充材料508直接橫向相鄰在第二絕緣層506,而第二絕緣層506直接在第一絕緣層504上,而第一絕緣層504直接在鰭502的下鰭部502A的側壁上。
在實施例中,第一絕緣層504是包含矽和氧的非摻雜絕緣層,如氧化矽或二氧化矽絕緣層。在實施例中,第一絕緣層504包含矽和氧,並且沒有原子濃度大於1E15原子/立方公分的其它原子種類。在實施例中,第一絕緣層504的厚度在0.5至2奈米的範圍內。
在實施例中,第二絕緣層506包含矽和氮,如化學計量的Si3 N4 的氮化矽絕緣層、富矽的氮化矽絕緣層,或貧矽的氮化矽絕緣層。在實施例中,第二絕緣層506的厚度在2至5奈米的範圍內。
在實施例中,介電質填充材料508包含矽和氧,如氧化矽或二氧化矽絕緣層。在實施例中,閘極電極最終形成在鰭502的上鰭部502B的側壁的頂部上並且橫向相鄰於鰭502的上鰭部502B的側壁。
應當理解的是,在處理期間,半導體鰭的上鰭部可能受到侵蝕或消耗。而且,鰭之間的溝槽隔離結構也可能被侵蝕以具有非平面形貌,或者可能以非平面形貌向上製造形成。舉例而言,圖5B顯示了根據本發明的另一實施例的由另一個三層溝槽隔離結構分隔的另一對半導體鰭的截面圖。
參考圖5B,積體電路結構包含第一鰭552,如矽鰭。第一鰭552具有下鰭部552A和上鰭部552B以及在下鰭部552A和上鰭部552B之間的區域處的肩部特徵554。諸如第二矽鰭的第二鰭562具有下鰭部562A和上鰭部562B以及在下鰭部562A和上鰭部562B之間的區域處的肩部特徵564。第一絕緣層574直接在第一鰭552的下鰭部552A的側壁上,並且直接在第二鰭562的下鰭部562A的側壁上。第一絕緣層574具有基本上與與第一鰭552的肩部特徵554共平面的第一端部部分574A,並且第一絕緣層574還具有基本上與第二鰭562的肩部特徵564共平面的第二端部574B。第二絕緣層576直接在第一絕緣層574上,第一絕緣層574直接在第一鰭552的下鰭部552A的側壁上並且直接在第二鰭562的下鰭部562A的側壁上。
介電質填充材料578直接橫向相鄰於在第二絕緣層576,第二絕緣層576直接在第一絕緣層574上,第一絕緣層574直接在第一鰭552的下鰭部552A的側壁上,並且直接在第二鰭562的下鰭部562A的側壁上。如圖5B所示,在實施例中,介電質填充材料578具有上表面578A,其中介電質填充材料578的上表面578A的一部分在第一鰭552的肩部特徵554中的至少一個下方,並且在第二鰭562的肩部特徵564中的至少一個下方。
在實施例中,第一絕緣層574是包含矽和氧的非摻雜絕緣層,如氧化矽或二氧化矽絕緣層。在實施例中,第一絕緣層574包含矽和氧,並且沒有原子濃度大於1E15原子/立方公分的其它原子種類。在實施例中,第一絕緣層574的厚度在0.5至2奈米的範圍內。
在實施例中,第二絕緣層576包含矽和氮,如化學計量的Si3 N4 的氮化矽絕緣層、富矽的氮化矽絕緣層,或貧矽的氮化矽絕緣層。在實施例中,第二絕緣層576的厚度在2至5奈米的範圍內。
在實施例中,介電質填充材料578包含矽和氧,如氧化矽或二氧化矽絕緣層。在實施例中,閘極電極最終形成在第一鰭552的上鰭部552B的側壁的頂部上並且橫向相鄰在第一鰭552的上鰭部552B的側壁,並且在第二鰭562的上鰭部562B的側壁的頂部上並且橫向地鄰近第二鰭562的上鰭部562B的側壁。閘極電極進一步在第一鰭552和第二鰭562之間的介電質填充材料578之上。
圖6A-6D顯示了根據本發明的實施例的在三層溝槽隔離結構的製造中的各種操作的截面圖。
參考圖6A,製造積體電路結構的方法包含形成諸如矽鰭的鰭602。如圖6B所示,第一絕緣層604直接形成在鰭602上並且與鰭602共形。在實施例中,第一絕緣層604包含矽和氧,並且不具有原子濃度大於1E15原子/立方公分的其它原子種類。
參考圖6C,第二絕緣層606直接形成在第一絕緣層604上並與其共形。在實施例中,第二絕緣層606包含矽和氮。如圖6D所示,介電質填充材料608直接形成在第二絕緣層606上。
在實施例中,該方法還包含使介電質填充材料608、第一絕緣層604和第二絕緣層606凹陷,以提供具有暴露的上鰭部602A的鰭602(例如,諸如圖5A和5B的上鰭部502B、552B或562B)。所得結構可以如結合圖5A或5B所述的。在一個實施例中,使介電質填充物材料608、第一絕緣層604和第二絕緣層606凹陷包含使用濕式蝕刻程序。在另一實施例中,使介電質填充材料608、第一絕緣層604和第二絕緣層606凹陷包含使用電漿蝕刻或乾式蝕刻程序。
在實施例中,第一絕緣層604係使用化學氣相沉積程序形成。在實施例中,第二絕緣層606係使用化學氣相沉積程序形成。在實施例中,介電質填充材料608係使用旋塗程序形成。在一個這種實施例中,介電質填充材料608是旋塗材料並且例如在凹陷蝕刻程序之前或之後暴露於蒸汽處理,以提供包含矽和氧的固化材料。在實施例中,閘極電極最終形成在鰭602的上鰭部的側壁的頂部上並且橫向相鄰於鰭602的上鰭部的側壁。
在另一個態樣中,閘極側壁間隔件材料可被保留在某些溝槽隔離區域上作為在後續程序操作期間防止溝槽隔離區域的侵蝕。例如,圖7A-7E顯示了根據本發明的實施例的製造積體電路結構的方法中的各種操作的斜角三維截面圖。
參考圖7A,製造積體電路結構的方法包含形成諸如矽鰭的鰭702。鰭702具有下鰭部702A和上鰭部702B。絕緣結構704直接形成在鰭702的下鰭部702A的側壁附近。閘極結構706係形成在上鰭部702B之上和絕緣結構704之上。在實施例中,閘極結構是佔位符或虛設閘極結構,其包含犧牲閘極介電質層706A、犧牲閘極706B和硬掩模706C。介電質材料708形成為與鰭702的上鰭部702B共形、與閘極結構706共形,並且與絕緣結構704共形。
參考圖7B,硬掩模材料710被形成在介電質材料708之上。在實施例中,硬掩模材料710是利用旋塗程序形成的基於碳的硬掩模材料。
參考圖7C,硬掩模材料710被凹陷以形成凹陷的硬掩模材料712,並且暴露與鰭702的上鰭部702B共形和與閘極結構706共形的介電質材料708的部分。凹陷的硬掩模材料712覆蓋與絕緣結構704共形的介電質材料708的一部分。在實施例中,使用濕式蝕刻程序使硬掩模材料710凹陷。在另一實施例中,使用灰化、乾式蝕刻或電漿蝕刻程序使硬掩模材料710凹陷。
參考圖7D,介電質材料708係各向異性地蝕刻,以沿著閘極結構706的側壁(如介電質間隔件714A)、沿著鰭702的上鰭部702B的側壁的部分,並且在絕緣結構704之上形成圖案化的介電質材料714。
參考圖7E,凹陷的硬掩模材料712被從圖7D的結構中移除。在實施例中,閘極結構706是虛設閘極結構,並且隨後的處理包含用永久閘極介電質和閘極電極堆疊來替代閘極結構706。在實施例中,如下面更詳細描述的,進一步的處理包含在閘極結構706的相對側上形成嵌入式源極或汲極結構。
再次參考圖7E,在實施例中,積體電路結構700包含諸如第一矽鰭的第一鰭(左側702),該第一鰭具有下鰭部702A和上鰭部702B。積體電路結構還包含諸如第二矽鰭的第二鰭(右側702),該第二鰭具有下鰭部702A和上鰭部702B。絕緣結構704與第一鰭的下鰭部702A的側壁直接相鄰,並且與第二鰭的下鰭部702A的側壁直接相鄰。閘極電極706係在第一鰭(左側702)的上鰭部702B之上、在第二鰭(右側702)的上鰭部702B之上,並且在絕緣結構704的第一部分704A之上。第一介電質間隔件714A係沿著第一鰭(左側702)的上鰭部702B的側壁,並且第二介電質間隔件702C係沿著第二鰭(右側702)的上鰭部702B的側壁。第二介電質間隔件714C在第一鰭(左側702)和第二鰭(右側702)之間的絕緣結構704的第二部分704B上與第一介電質間隔件714B連續。
在實施例中,第一和第二介電質間隔件714B和714C包含矽和氮,如化學計量的Si3 N4 氮化矽材料、富矽氮化矽材料或貧矽氮化矽材料。
在實施例中,積體電路結構700還包含在閘極電極706的相對側上的嵌入式源極或汲極結構,嵌入式源極或汲極結構沿著第一和第二鰭702的上鰭部702B的側壁具有低於該第一和第二介電質間隔件714B和714C的頂面的底面,以及源極或汲極結構具有沿著第一和第二鰭702的上鰭部702B的側壁,在第一和第二介電質間隔件714B和714C的頂面之上的頂面,如下面結合圖9B所述。在實施例中,絕緣結構704包含第一絕緣層,直接在第一絕緣層上的第二絕緣層,以及直接橫向地在第二絕緣層上的介電質填充材料,如下面結合圖9B所述。
圖8A-8F顯示了根據本發明的一個實施例的用於製造積體電路結構的方法中的各種操作的沿著圖7E的a-a’軸線的略微投射的截面圖。
參考圖8A,製造積體電路結構的方法包含形成諸如矽鰭的鰭702。鰭702具有下鰭部(圖8A中未顯示)和上鰭部702B。絕緣結構704係直接形成在鰭702的下鰭部702A的側壁附近。一對閘極結構706係形成在上鰭部702B之上和絕緣結構704之上。應當理解,在圖8A-8F中所示的透視圖略微投影以顯示上鰭部702B的(在頁面外)前面的閘極結構706和絕緣結構的部分,其中上鰭部略微進入頁面。在一個實施例中,閘極結構706是佔位符或虛設閘極結構,其包含犧牲閘極介電質層706A、犧牲閘極706B和硬掩模706C。
參考圖8B,其對應於結合圖7A描述的程序操作,介電質材料708係形成為與鰭702的上鰭部702B共形、與閘極結構706共形,且與絕緣結構704的暴露部分共形。
參考圖8C,其對應於與圖7B結合描述的程序操作,硬掩模材料710係形成在介電質材料708上。在實施例中,硬掩模材料710是使用旋塗程序形成的基於碳的硬掩模材料。
參考圖8D,其對應於結合圖7C描述的程序操作,硬掩模材料710被凹陷以形成凹陷的硬掩模材料712,並且暴露與鰭702的上鰭部702B共形且與閘極結構706共形的介電質材料708的一部分。凹陷的硬掩模材料712覆蓋與絕緣結構704共形的介電質材料708的一部分。在實施例中,使用濕式蝕刻程序使硬掩模材料710凹陷。在另一實施例中,使用灰化、乾式蝕刻或電漿蝕刻程序使硬掩模材料710凹陷。
參考圖8E,其對應於結合圖7D描述的程序操作,介電質材料708係各向異性地蝕刻以沿著閘極結構706的側壁(如部分714A)、沿著鰭702的上鰭部702B的側壁的部分,以及在絕緣結構704之上形成圖案化的介電質材料714。
參考圖8F,其對應於結合圖7E所描述的程序操作,凹陷的硬掩模材料712被從圖8E的結構中移除。在實施例中,閘極結構706是虛設閘極結構,並且隨後的處理包含用永久閘極介電質和閘極電極堆疊來替代閘極結構706。在實施例中,進一步處理包含在閘極結構706的相對側上形成嵌入式源極或汲極結構,如下面更詳細描述的。
再參考圖8F,在實施例中,積體電路結構700包含諸如矽鰭的鰭702,鰭702具有下鰭部(在圖8F中未顯示)和上鰭部702B。絕緣結構704與鰭702的下鰭部的側壁直接相鄰。第一閘極電極(左側706)在上鰭部702B之上並且在絕緣結構704的第一部分704A之上。第二閘極電極(右側706)在上鰭部702B之上和絕緣結構704的第二部分704A’之上。第一介電質間隔件(左側706的右側714A)沿著第一閘極電極(左側706)的側壁,而第二介電質間隔件(右側706的左側714A)沿著第二閘極電極的側壁(右側706),第二介電質間隔件與第一介電質間隔件在第一閘極電極(左側706)和第二閘極電極(右側706)之間的絕緣結構704的第三部分704A”上連續。
圖9A顯示了根據本發明的實施例的用於包含永久閘極堆疊和磊晶源極或汲極區域的積體電路結構的沿著圖7E的a-a’軸截取的稍微突出的截面圖。圖9B顯示了根據本發明的實施例的用於包含磊晶源極或汲極區域和多層溝槽隔離結構的積體電路結構的沿著圖7E的b-b’軸截取的截面圖。
參考圖9A和圖9B,在實施例中,積體電路結構包含在閘極電極706的相對側上的嵌入式源極或汲極結構910。嵌入式源極或汲極結構910沿著第一和第二鰭702的上鰭部702B的側壁具有第一和第二介電質間隔件714B和714C的頂面990下方的底面910A。嵌入式源極或汲極結構910沿著第一和第二鰭702的上鰭部702B的側壁具有在第一和第二介電質間隔件714B和714C的頂面之上的頂面910B。
在實施例中,閘極堆疊706是永久閘極堆疊920。如圖9A所示,在一個這種實施例中,永久閘極堆疊920包含閘極介電質層922、第一閘極層924,如功函數閘極層,以及閘極填充材料926。在一個實施例中,在永久閘極結構920係位於絕緣結構704之上的情況下,永久閘極結構920形成在殘留多晶矽部分930上,殘留多晶矽部分930可以是關於犧牲多晶矽閘極電極的置換閘極程序的殘留物。
在實施例中,絕緣結構704包含第一絕緣層902、直接在第一絕緣層902上的第二絕緣層904,以及直接橫向地在第二絕緣層904上的介電質填充材料906。在一個實施例中,第一絕緣層902是包含矽和氧的非摻雜絕緣層。在一個實施例中,第二絕緣層904包含矽和氮。在一個實施例中,介電質填充材料906包含矽和氧。
在另一態樣中,磊晶嵌入式源極或汲極區域被實現為用於半導體鰭的源極或汲極結構。舉例而言,圖10顯示了根據本發明的實施例的在源極或汲極位置處截取的積體電路結構的截面圖。
參考圖10,積體電路結構1000包含P型裝置,如P型金屬氧化物半導體(PMOS)裝置。積體電路結構1000還包含N型裝置,諸如N型金屬氧化物半導體(PMOS)裝置。
圖10的PMOS裝置包含第一複數個半導體鰭1002,如由塊體矽基板1001形成的矽鰭。在源極或汲極位置,鰭1002的上部已被移除,並且生長相同或不同的半導體材料以形成源極或汲極結構1004。應當理解,源極或汲極結構1004將在閘極電極的任一側截取的截面圖中看起來相同,例如,在源極側與在汲極側它們看起來基本相同。在實施例中,如圖所示,源極或汲極結構1004具有絕緣結構1006的上表面之下的部分和之上的部分。在實施例中,如圖所示,源極或汲極結構1004是強刻面的。在實施例中,導電接點1008係形成在源極或汲極結構1004上。然而,在一個這種實施例中,源極或汲極結構1004的強刻面和相對寬的生長至少在一定程度上抑制了導電接點1008的良好覆蓋。
圖10的NMOS裝置包含第二複數個半導體鰭1052,諸如從塊體矽基板1001形成的矽鰭。在源極或汲極位置,鰭1052的上部已經被移除,並且生長相同或不同的半導體材料以形成源極或汲極結構1054。應當理解,源極或汲極結構1054在閘極電極的任一側截取的截面圖上看起來相同,例如,在源極側與在汲極側它們看起來基本相同。在實施例中,如圖所示,源極或汲極結構1054具有絕緣結構1006的上表面之下的部分和之上的部分。在實施例中,如圖所示,源極或汲極結構1054相對在源極或汲極結構1004是弱刻面的。在實施例中,導電接點1058係形成在源極或汲極結構1054上。在一個這種實施例中,相對弱的刻面以及源極或汲極結構1054的所得相對較窄的生長(與源極或汲極結構1004相比)增強了導電接點1058的良好覆蓋。
PMOS裝置的源極或汲極結構的形狀可以被改變以改善具有覆蓋接點的接觸面積。例如,圖11顯示了根據本發明的實施例的在源極或汲極位置處截取的另一積體電路結構的截面圖。
參考圖11,積體電路結構1100包含P型半導體(例如,PMOS)裝置。PMOS裝置包含諸如矽鰭的第一鰭1102。第一磊晶源極或汲極結構1104嵌入在第一鰭1102中。在一個實施例中,儘管未顯示,但第一磊晶源極或汲極結構1104係位在第一閘極電極的第一側(其可形成在如鰭1102的通道部分的上鰭部之上),而第二磊晶源極或汲極結構在與第一側相對的這種第一閘極電極的第二側嵌入在第一鰭1102中。在實施例中,第一1104和第二磊晶源極或汲極結構包含矽和鍺並且具有輪廓1105。如圖11所示,在一個實施例中,輪廓是火柴棒輪廓。第一導電電極1108係位在第一磊晶源極或汲極結構1104之上。
再參考圖11,在實施例中,積體電路結構1100還包含N型半導體(例如,NMOS)裝置。NMOS裝置包含諸如矽鰭的第二鰭1152。第三磊晶源極或汲極結構1154嵌入在第二鰭1152中。在一個實施例中,儘管未顯示,但是第三磊晶源極或汲極結構1154係位在第二閘極電極的第一側(其可以形成在諸如鰭1152的通道部分的上部鰭之上),並且第四磊晶源極或汲極結構在與第一側相對的這種第二閘極電極的第二側嵌入在第二鰭1152中。在實施例中,第三1154和第四磊晶源極或汲極結構包含矽並且具有與第一和第二磊晶源極或汲極結構1004的輪廓1105基本上相同的輪廓。第二導電電極1158係位在第三磊晶源極或汲極結構1154之上。
在實施例中,第一磊晶源極或汲極結構1104是弱刻面的。在實施例中,第一磊晶源極或汲極結構1104具有大約50奈米的高度並且具有30至35奈米的寬度。在一個這種實施例中,第三磊晶源極或汲極結構1154具有大約50奈米的高度並且具有30至35奈米的寬度。
在實施例中,第一磊晶源極或汲極結構1104在第一磊晶源極或汲極結構1104的底部1104A以大約20%鍺濃度漸變為大約45%鍺濃度的第一磊晶源極或汲極結構1104的頂部1104B。在實施例中,第一磊晶源極或汲極結構1104摻雜有硼原子。在一個這種實施例中,第三磊晶源極或汲極結構1154摻雜有磷原子或砷原子。
圖12A-12D顯示根據本發明的實施例的在源極或汲極位置截取的截面圖和在積體電路結構的製造中的代表各種操作。
參考圖12A,製造積體電路結構的方法包含形成鰭,如從矽基板1201形成的矽鰭。鰭1202具有下鰭部1202A和上鰭部1202B。在實施例中,儘管未顯示,但係在進入頁面的位置處,閘極電極係形成在鰭1202的上鰭部1202B的一部分上。這種閘極電極具有與第二側相對的第一側,並且在第一側和第二側上定義源極或汲極位置。例如,出於說明的目的,圖12A-12D的視圖的橫截面位置係在閘極電極的一側處的源極或汲極位置之一處截取的。
參考圖12B,鰭1202的源極或汲極位置被凹陷以形成凹陷鰭1206。鰭1202的凹陷的源極或汲極位置可以係在閘極電極的一側和在閘極電極的第二側。參考圖12A和12B兩者,在實施例中,介電質間隔件1204沿著鰭1202的一部分的側壁形成,例如,在閘極結構的一側。在一個這種實施例中,使鰭1202凹陷包含使鰭1202凹陷在介電質間隔件1204的頂面1204A之下。
參考圖12C,磊晶源極或汲極結構1208形成在凹陷鰭1206之上,例如,並且因此可以在閘極電極的一側形成。在一個這種實施例中,第二磊晶源極或汲極結構在這種閘極電極的第二側處形成在凹陷鰭1206的第二部分上。如圖12C所示,在實施例中,磊晶源極或汲極結構1208包含矽和鍺,並且具有火柴棒輪廓。在實施例中,如圖所示,介電質間隔件1204包含在並且沿著磊晶源極或汲極結構1208的側壁的下部1208A。
參考圖12D,導電電極1210係在磊晶源極或汲極結構1208上形成。在實施例中,導電電極1210包含導電障壁層1210A和導電填充材料1201B。在一個實施例中,如圖所示,導電電極1210遵循磊晶源極或汲極結構1208的輪廓。在其它實施例中,磊晶源極或汲極結構1208的上部在導電電極1210的製造期間被侵蝕。
在另一個態樣中,描述了一種用於分離的鰭的鰭修整隔離(FTI)和單一閘極間隔。利用從基板表面突出的半導體材料的鰭的非平面電晶體採用包圍鰭的兩個、三個或甚至所有側面的閘極電極(即,雙閘極、三閘極、奈米線電晶體)。接著,通常在閘極電極的任一側上,在鰭中或者作為鰭的再生長部分形成源極和汲極區域。為了將第一非平面電晶體的源極或汲極區域與相鄰的第二非平面電晶體的極或汲極區域分離,可以在兩個相鄰的鰭之間形成間隙或空間。這種隔離間隙通常需要某種掩模蝕刻。一旦隔離,接著在各個鰭之上圖案化閘極堆疊,再次通常使用某種掩模蝕刻(例如,線蝕刻或開口蝕刻,其取決於具體實施例)。
用上述隔離鰭技術的一個潛在問題在於,閘極不會與鰭的端部自對準,並且閘極堆疊圖案與半導體鰭圖案的對準取決於這兩個圖案的覆蓋。因此,微影覆蓋公差被添加到半導體鰭的尺寸設計中,而與鰭的隔離間隙需有較大的長度且隔離間隙係大於針對電晶體功能之既定位準所將成為的其他情況。減少此過度尺寸設計之裝置架構及製造技術因此提供了對於電晶體密度之極為有利的改善。
在以上描述的鰭分離技術的另一個潛在的問題在於,希望提高載子遷移率的半導體鰭中的應力在製造期間可能從太多鰭表面保持自由的電晶體的通道區域丟失,使得鰭應變放鬆。因此,保持較高程度的所需鰭應力的裝置架構和製造技術提供了非平面電晶體效能的有利改進。
根據本發明的實施例,在本文中描述了經由閘極鰭式隔離結構和技術。在所示的範例性實施例中,微電子裝置中的非平面電晶體(如積體電路(IC))與電晶體的閘極電極自對準的方式彼此隔離。儘管本發明的實施例實際上適用於採用非平面電晶體的任何IC,但是範例性IC包含但不限於含有邏輯和記憶體(SRAM)部分的微處理器核心、RFIC(例如,包含數位基頻和類比前端模組的無線IC)和電源IC。
在實施例中,相鄰半導體鰭的兩端藉由隔離區域彼此電隔離,該隔離區域相對於閘極電極僅使用一個圖案化掩模層來定位。在實施例中,採用單一掩模來形成固定間距的複數個犧牲佔位符條帶,佔位符條紋的第一子集定義隔離區域的位置或尺寸,而佔位符條紋的第二子集定義閘極電極的位置或尺寸。在一些實施例中,佔位符條紋的第一子集被移除,並且在第一子集移除致使的開口中的半導體鰭中形成隔離切割,而佔位符條帶的第二子集最終被非犧牲閘極電極堆疊替換。由於採用用於閘極電極替換的佔位符子集來形成隔離區域,因此該方法和所得架構在本文中稱為「經由閘極」隔離。這裡描述的一或多個經由閘極隔離的實施例可以,例如,實現更高的電晶體密度和有利的電晶體通道應力的更高程度。
隨著閘極電極的佈置或定義之後定義的隔離,可以實現更大的電晶體密度,因為鰭隔離尺寸和佈置可被完美地與閘極電極等間距,使得閘極電極和隔離區域兩者是單一掩蔽程度的最小特徵間距的整數倍。在半導體鰭與其上設置有鰭的基板具有晶格失配的其它實施例中,藉由在閘極電極的佈置或定義之後定義隔離來維持更大程度的應變。對於這種實施例,在定義鰭的端部之前形成的電晶體的其它特徵(如閘極電極和添加的源極或汲極材料)有助於在將隔離切割成鰭之後機械地保持鰭應變。
為了提供進一步的背景,電晶體縮放可以受益於晶片內更密集封裝的單元。目前,大多數單元係藉由兩個或更多個具有掩埋鰭的虛設閘極與它們的鄰居分離。藉由蝕刻這兩個或更多個虛設閘極下面的鰭來隔離單元,這些虛設閘極將一個單元連接到另一個單元。如果將相鄰單元分開的虛設閘極的數量可以從兩個或更多減少到一個,則縮放可以顯著地受益。如上所述,一種解決方案需要兩個或更多個虛設閘極。兩個或更多個虛設閘極下方的鰭在鰭圖案化期間被蝕刻。這種方法的潛在問題是虛設閘極消耗可用於單元的晶片空間。在實施例中,這裡描述的方法使得僅使用單一虛設閘極來分離相鄰單元。
在實施例中,鰭修整隔離方法被實現為自對準圖案化方案。在此,單一閘極下方的鰭被蝕刻掉。因此,相鄰單元可以由單一虛設閘極分開。這種方法的優點可包含節省晶片上的空間並且允許給定區域的更多計算能力。該方法還可以允許在子鰭間距距離處執行鰭修整。
圖13A和13B顯示了根據本發明的實施例的表示具有用於形成局部隔離結構的多閘極間距之鰭的圖案化的方法的各種操作的平面圖。
參考圖13A,複數個鰭1302被顯示具有沿著第一方向1304的長度。具有間隔1307在其間、定義用於最終形成複數個條閘極線的位置的柵格1306顯示為沿著與第一方向1304正交的第二方向1308。
參考圖13B,複數個鰭1302的一部分被切割(例如,藉由蝕刻程序被移除),留下具有切割1312在其中的鰭1310。因此,最終形成在切割1312中的隔離結構具有多於單一閘極線的尺寸,例如,三個閘極線1306的尺寸。因此,最終沿著閘極線1306的位置形成的閘極結構將至少部分形成在切割1312中形成的隔離結構之上。因此,切割1312是相對寬的鰭切割。
圖14A-14D顯示了根據本發明的另一實施例的表示具有用於形成局部隔離結構的單閘極間距之鰭的圖案化的方法的各種操作的平面圖。
參考圖14A,製造積體電路結構的方法包含形成複數個鰭1402,該複數個鰭1402中的個別一者沿著第一方向1404具有最長尺寸。複數個閘極結構1406係在複數個鰭1402之上,閘極結構1406中的個別一者沿著與第一方向1404正交的第二方向1408具有最長的尺寸。在實施例中,閘極結構1406是犧牲或虛設閘極線,例如,由多晶矽製成。在一個實施例中,複數個鰭1402是矽鰭並且與底層矽基板的一部分連續。
參考圖14B,介電質材料結構1410係形成在複數個閘極結構1406中的相鄰者之間。
參考圖14C,在複數個閘極結構1406中的一者的部分1412被移除以露出複數個鰭1402中之各者的部分1414。在實施例中,移除複數個閘極結構1406中的一者的部分1412包含使用比複數個閘極結構1406中的一者的部分1412的寬度1418寬的微影窗口1416。
參考圖14D,複數個鰭1402中之各者的暴露部分1414被移除以形成切割區域1420。在實施例中,複數個鰭1402中之各者的暴露部分1414使用乾式或電漿蝕刻程序來移除。在實施例中,移除複數個鰭1402中之各者的暴露部分1414關於蝕刻到小於複數個鰭1402的高度的深度。在一個這種實施例中,該深度大於在該複數個鰭1402中的源極或汲極區域的深度。在實施例中,該深度比該複數個鰭1402的主動部分的深度更深以提供隔離餘裕。在實施例中,複數個鰭1402中之各者的暴露部分1414在沒有蝕刻或基本上沒有蝕刻複數個鰭1402的源極或汲極區域(如磊晶源極或汲極區域)的情況下被移除。在一個這種實施例中,複數個鰭1402中之各者的暴露部分1414被移除而沒有橫向蝕刻或基本上沒有橫向蝕刻複數個鰭1402的源極或汲極區域(如磊晶源極或汲極區域)。
在實施例中,切割區域1420最終填充有絕緣層,例如,在複數個鰭1402中之各者的移除部分1414的位置中。範例性絕緣層或「多晶矽切割」或「插塞」結構如下述。然而,在其它實施例中,切割區域1420僅部分地填充有絕緣層,接著在絕緣層中形成導電結構。導電結構可以用作局部互連。在實施例中,在以絕緣層或容納局部互連結構的絕緣層填充切割區域1420之前,可以藉由固體源極摻雜物層穿過切割區域1420將摻雜物佈植或輸送到鰭或多數鰭的局部切割部分中。
圖15顯示根據本發明的實施例的具有含有用於局部隔離的多閘極間隔的鰭的積體電路結構的截面圖。
參考圖15,矽鰭1502具有與第二鰭部分1506側向相鄰的第一鰭部分1504。第一鰭部分1504係藉由相對寬的切割1508與第二鰭部分1506分開,如與圖13A和13B相關描述的,相對寬的切割1508具有寬度X。介電質填充材料1510係形成在相對寬的切割1508中並且將第一鰭部分1504與第二鰭部分1506電隔離。複數個條閘極線1512係位於矽鰭1502之上,其中每條閘極線可包含閘極介電質和閘極電極堆疊1514、介電質蓋層1516和側壁間隔件1518。兩條閘極線(左邊兩條閘極線1512)佔據相對寬的切割1508,並且因此,第一鰭部分1504係藉由有效的兩個虛設閘極或非主動閘極與第二鰭部分1506分離。
相比之下,鰭部分可以分開一單一閘極距離。舉例而言,圖16A顯示了根據本發明的另一實施例的具有用於局部隔離的單閘極間隔的鰭的積體電路結構的截面圖。
參考圖16A,矽鰭1602具有與第二鰭部分1606橫向相鄰的第一鰭部分1604。第一鰭部分1604係藉由相對窄的切割1608與第二鰭部分1606分開,如結合圖14A-14D所描述的。具有寬度Y的相對窄的切割1608,其中Y小於圖15的X。介電質填充材料1610係形成在相對窄的切割1608中並且將第一鰭部分1604與第二鰭部分1606電隔離。複數個條閘極線1612係位於矽鰭1602之上,其中每條閘極線可包含閘極介電質和閘極電極堆疊1614、介電質蓋層1616和側壁間隔件1618。介電質填充材料1610佔據之前單一閘極線的位置,因此,第一鰭部分1604係藉由單一「插塞」閘極線與第二鰭部分1606分離。如圖所示,在一個實施例中,殘留間隔件材料1620保留在移除的閘極線部分的位置的側壁上。應當理解,如下述,鰭1602的其它區域可以藉由由更早、更寬的鰭切割程序製造的兩條或甚至更複數個條的未主動閘極線(具有三條未主動閘極線的區域1622)彼此隔離。
再次參考圖16A,一種積體電路結構1600的鰭1602,如矽鰭。鰭1602具有沿著第一方向1650的最長尺寸。隔離結構1610沿著第一方向1650將鰭1602的第一上部1604與鰭1602的第二上部1606分開。隔離結構1610具有沿著第一方向1650的中心1611。
第一閘極結構1612A係位於鰭1602的第一上部1604之上,第一閘極結構1612A具有沿著與第一方向1650正交的第二方向1652(例如,進入頁面)的最長尺寸。第一閘極結構1612A的中心1613A與隔離結構1610的中心1611沿著第一方向1650隔開一間距。第二閘極結構1612B係位於鰭的第一上部1604之上,第二閘極結構1612B具有沿著第二方向1652的最長尺寸。第二閘極結構1612B的中心1613B與第一閘極結構1612A的中心1613A沿著第一方向1650隔開一間距。第三閘極結構1612C係位於鰭1602的第二上部1606上。第三閘極結構1612C具有沿著第二方向1652的最長尺寸。第三閘極結構1612C的中心1613C與隔離結構1610的中心1611沿著第一方向1650隔開一間距。在實施例中,如圖所示,隔離結構1610具有與第一閘極結構1612A的頂部、與第二閘極結構1612B的頂部,並且與第三閘極結構1612C的頂部基本上共平面的頂部。
在實施例中,第一閘極結構1612A、第二閘極結構1612B和第三閘極結構1612C中之各者包含在高k閘極介電質層1662的側壁之上和之間的閘極電極1660,如範例性第三閘極結構1612C所示。在一個這種實施例中,第一閘極結構1612A、第二閘極結構1612B和第三閘極結構1612C中之各者還包含在閘極電極1660之上以及高k閘極介電質層1662的側壁之上的絕緣蓋1616。
在實施例中,積體電路結構1600還包含在第一閘極結構1612A和隔離結構1610之間的鰭1602的第一上部1604之上的第一磊晶半導體區域1664A。第二磊晶半導體區域1664B係位在第一閘極結構1612A和第二閘極結構1612B之間的鰭1602的第一上部1604之上。第三磊晶半導體區域1664C係位在第三閘極結構1612C和隔離結構1610之間的鰭1602的第二上部1606之上。在一個實施例中,第一1664A、第二1664B和第三1664C磊晶半導體區域包含矽和鍺。在另一實施例中,第一1664A、第二1664B和第三1664C磊晶半導體區域包含矽。
在實施例中,隔離結構1610促使在鰭1602的第一上部1604和鰭1602的第二上部1606上的應力。在一個實施例中,所述應力是壓縮應力。在另一個實施例中,所述應力是拉伸應力。在其它實施例中,隔離結構1610是部分填充的絕緣層,其中接著形成導電結構。導電結構可以用作局部互連。在實施例中,在形成具有絕緣層或具有容納局部互連結構的絕緣層的隔離結構1610之前,藉由固體源極摻雜物層將摻雜物佈植或輸送到鰭或多數鰭的局部切割部分中。
在另一個態樣中,但應當理解的是,如上面描述的隔離結構1610之隔離結構可以取代在鰭切割的局部位置或在鰭切割的更廣泛位置的主動閘極電極來形成。此外,鰭切割的這種局部或更廣泛位置的深度可以形成為相對於彼此鰭內的變化深度。在第一範例中,圖16B顯示了根據本發明的實施例的顯示可以形成鰭隔離結構來取代閘極電極的位置的截面圖。
參考圖16B,諸如矽鰭的鰭1680係形成在基板1682之上並且可以與基板1682連續。鰭1680具有鰭端部或寬鰭切割1684,例如,其可以在鰭圖案化時形成,如在上面描述的後鰭修整方法中。鰭1680還具有局部切割1686,其中鰭1680的一部分被移除,例如,如上所述,使用鰭修整隔離方法,其中虛設閘極被介電質插塞替換。主動閘極電極1688係形成在鰭之上,並且為了說明的目的,略微顯示在鰭1680的前面,其中在先前技術中的鰭1680,其中虛線表示從正視圖覆蓋的區域。可以在鰭端部或寬鰭切割1684處形成介電質插塞1690,而不係在這種位置處使用主動閘極。此外,或者,可以在局部切割1686處形成介電質插塞1692,而不係在這種位置處使用主動閘極。應當理解,磊晶源極或汲極區域1694也顯示在主動閘極電極1688和插塞1690或1692之間的鰭1680的位置處。此外,在實施例中,如圖16B所示,在局部切割1686處的鰭的端部的表面粗糙度比在較寬切割的位置處的鰭的端部更粗糙。
圖17A-17C顯示了根據本發明的實施例的使用鰭修整隔離方法製造的鰭切割的各種深度的可能性。
參考圖17A,諸如矽鰭的半導體鰭1700係形成在底層基板1702之上,並且可以與底層基板1702連續。鰭1700具有下鰭部1700A和上鰭部1700B,如藉由相對於鰭1700的絕緣結構1704的高度定義的。局部鰭隔離切割1706A將鰭1700分成第一鰭部1710和第二鰭部1712。在圖17A的範例中,如沿著a-a’軸所示,局部鰭隔離切割1706A的深度是鰭1700到基板1702的整個深度。
參考圖17B,在第二範例中,如沿著a-a’軸所示,局部鰭隔離切割1706B的深度比鰭1700到基板1702的整個深度更深。也就是說,切割1706B延伸到底層基板1702中。
參考圖17C,在第三範例中,如沿著a-a’軸所示,局部鰭隔離切割1706C的深度小於鰭1700的整個深度,但比隔離結構1704的上表面更深。再次參考圖17C,在第四範例中,如沿著a-a’軸所示,局部鰭隔離切割1706D的深度小於鰭1700的整個深度,並且處於與隔離結構1704的上表面大約共平面的水平。
圖18顯示根據本發明的實施例的沿著a-a’軸採取表示鰭內的鰭切割的局部深度與更廣泛位置的可能選項的平面圖和對應的截面圖。
參考圖18,如矽鰭的第一和第二半導體鰭1800與1802具有在絕緣結構1804上延伸的上鰭部1800B和1802B。鰭1800和1802兩者都具有鰭端部或寬鰭切割1806,例如,其可以在鰭圖案化時形成,如在上面描述的鰭修整最後方法中。鰭1800和1802兩者都還具有局部切割1808,其中鰭1800或1802的一部分被移除,例如,如上所述,使用鰭修整隔離方法,其中虛設閘極被介電質插塞替換。在實施例中,如圖18所示,在局部切割1808處的鰭1800和1802的端部的表面粗糙度比在1806的位置處的鰭的端部更粗糙。
參考圖18的截面圖,下鰭部1800A和1802A可以在低於絕緣結構1804的高度被看見。此外,在截面圖中看見的是鰭的殘留部分1810,如上所述,其在形成絕緣結構1804之前,在鰭修整最後程序中被移除。雖然顯示為在基板之上突出,但是殘留部分1810也可以在基板的水平處或者在基板的水平中,如額外的範例性寬切割深度1820所示。應當理解,用於鰭1800和1802的寬切割1806也可以處於用於切割深度1820描述的水平,其範例被描繪。如圖所示,局部切割1808可以具有對應於用於圖17A-17C所描述的深度的範例性深度。
一併參考圖16A、16B、17A-17C和18,根據本發明的實施例,一種積體電路結構包含含有矽的鰭,該鰭具有頂部和側壁,其中該頂部具有沿著第一方向的最長尺寸。第一隔離結構將鰭的第一部分的第一端部與鰭的第二部分的第一端部沿著第一方向分開。第一隔離結構具有沿著第一方向的寬度。鰭的第一部分的第一端部具有表面粗糙度。閘極結構包含在鰭的第一部分的區域的頂部上且鄰近於其側壁的閘極電極。閘極結構具有沿著第一方向的寬度,並且閘極結構的中心與第一隔離結構的中心沿著第一方向隔開一間距。第二隔離結構係位於鰭的第一部分的第二端部上,該第二端部與該第一端部相對。第二隔離結構具有沿著第一方向的寬度,而鰭的第一部分的第二端部的表面粗糙度小於鰭的第一部分的第一端部的表面粗糙度。第二隔離結構的中心與閘極結構的中心沿著第一方向隔開一間距。
在一個實施例中,如圖16B所示,鰭的第一部分的第一端部具有扇形的形貌。在一個實施例中,第一磊晶半導體區域係位於閘極結構和第一隔離結構之間的鰭的第一部分上。第二磊晶半導體區係位於閘極結構和第二隔離結構之間的鰭的第一部分上。在一個實施例中,第一和第二磊晶半導體區域具有沿著與第一方向正交的第二方向的寬度,沿著第二方向的該寬度寬於沿著閘極結構下方的第二方向的鰭的第一部分的寬度,例如,如結合圖11和12D描述的磊晶特徵,其具有比在圖11和12D所示的透視圖中生長它們的鰭部分寬的寬度。在一個實施例中,閘極結構還包含閘極電極和鰭的第一部分之間以及沿著閘極電極的側壁的高k介電質層。
一併參考圖16A、16B、17A-17C和18,根據本發明的另一個實施例,積體電路結構包含含有矽的鰭,該鰭具有頂部和側壁,其中頂部沿著一個方向具有最長的尺寸。第一隔離結構沿著該方向將鰭的第一部分的第一端部與鰭的第二部分的第一端部部分開。鰭的第一部分的第一端部具有深度。閘極結構包含係位於鰭的第一部分的區域的頂部上並且與其側壁相鄰的閘極電極。第二隔離結構係位於鰭的第一部分的第二端部上,該第二端部與該第一端部相對。鰭的第一部分的第二端部的深度不同於鰭的第一部分的第一端部的深度。
在一個實施例中,鰭的第一部分的第二端部的深度小於該鰭的第一部分的第一端部的深度。在一個實施例中,鰭的第一部分的第二端部的深度大於鰭的第一部分的第一端部的深度。在一個實施例中,第一隔離結構具有沿著該方向的寬度,並且閘極結構具有沿著該方向的寬度。第二隔離結構具有沿著方向的寬度。在一個實施例中,閘極結構的中心與第一隔離結構的中心沿著該方向隔開一間距,而第二隔離結構的中心與閘極結構的中心沿著該方向隔開該間距。
一併參考圖16A、16B、17A-17C和18,根據本發明的另一個實施例,積體電路結構包含含有矽的第一鰭,該第一鰭具有頂部和側壁,其中頂部具有沿著一個方向的最長尺寸,並且不連續性沿著該方向將第一鰭的第一部分的第一端部與鰭的第二部分的第一端部部分開。第一鰭的第一部分具有與第一端部相對的第二端部,並且鰭的第一部分的第一端部具有深度。積體電路結構還包含含有矽的第二鰭,第二鰭具有頂部和側壁,其中頂部具有沿著該方向的最長尺寸。積體電路結構還包含在第一鰭和第二鰭之間的剩餘或殘留鰭部分。殘留鰭部分具有頂部和側壁,其中頂部具有沿著該方向的最長尺寸,並且頂部與鰭的第一部分的第一端部的深度不共平面。
在一個實施例中,鰭的第一部分的第一端部的深度低於剩餘或殘留鰭部分的頂部。在一個實施例中,鰭的第一部分的第二端部具有與鰭的第一部分的第一端部的深度共平面的深度。在一個實施例中,鰭的第一部分的第二端部的深度在鰭的第一部分的第一端部的深度之下。在一個實施例中,鰭的第一部分的第二端部的深度在鰭的第一部分的第一端部的深度之上。在一個實施例中,鰭的第一部分的第一端部的深度在剩餘或殘留鰭部分的頂部之上。在一個實施例中,鰭的第一部分的第二端部的深度與鰭的第一部分的第一端部的深度共平面。在一個實施例中,鰭的第一部分的第二端部的深度在鰭的第一部分的第一端部的深度之下。在一個實施例中,鰭的第一部分的第二端部的深度在鰭的第一部分的第一端部的深度之上。在一個實施例中,鰭的第一部分的第二端部的深度與殘留鰭部分的頂部共平面。在一個實施例中,鰭的第一部分的第二端部的深度在殘留鰭部分的頂部之下。在一個實施例中,鰭的第一部分的第二端部的深度在殘留鰭部分的頂部之上。
在另一個態樣中,形成在局部或寬鰭切割的位置中的介電質插塞可以被定制以提供特定的應力給鰭或鰭部。在這種實施例中,介電質插塞可以被稱為鰭端部應力源。
一或多個實施例關於鰭式半導體裝置的製造。可以藉由從多晶矽插塞填充程序致使的通道應力來實現這種裝置的效能改善。實施例可以包含在多晶矽插塞填充程序中利用材料特性以在金屬氧化物半導體場效電晶體(MOSFET)通道中致使機械應力。因此,感應的應力可以提高電晶體的遷移率和驅動電流。此外,本文所述的插塞填充的方法可以允許在沉積期間消除任何接縫或空隙形成。
為了提供背景,操縱鄰接鰭的插塞填充的獨特材料性質可在通道內引發應力。根據一或多個實施例,藉由調整插塞填充材料的成分、沉積和後處理條件,通道中的應力被調製以使NMOS和PMOS電晶體都受益。此外,與其它常見的應力源技術(如磊晶源極或汲極)相比,這種插塞可以更深地存在於鰭式板中。實現這種效果的插塞填充的性質還消除了沉積期間的接縫或空隙,並且減輕了該程序中的某些缺陷模式。
為了提供進一步的背景,當前不存在用於閘極(多晶矽)插塞的故意應力工程。不幸的是,隨著裝置間距縮小,傳統應力源(如磊晶源極或汲極、虛設多晶矽閘極移除、應力襯墊等)的應力增強趨於減弱。處理上述問題中的一或多個,根據本發明的一或多個實施例,將額外的應力源結合到電晶體結構中。這種程序的另一個可能的益處可以是消除插塞內的接縫或空隙,這可能是其它化學氣相沉積方法常見的。
圖19A和19B顯示了根據本發明的實施例的一種在具有寬廣切割之鰭的末端處選擇鰭末端應力源(stressor)位置的方法中之各種操作的橫截面圖(例如,作為如上所述之鰭修整最後程序的部分)。
參考圖19A,諸如矽鰭的鰭1900係形成在基板1902之上,並且可以與基板1902連續。鰭1900具有鰭端部或寬鰭切割1904,例如,其可以在鰭圖案化時形成,如在上述鰭修整最後方法中。主動閘極電極位置1906和虛設閘極電極位置1908係形成在鰭1900之上,並且為了說明的目的,略微顯示在鰭1900的前面,其中鰭1900在背景中,其中虛線表示前視圖覆蓋的區域。應當理解,磊晶源極或汲極區域1910也顯示在閘極位置1906和1908之間的鰭1900的位置處。此外,層間介電質材料1912係包含在閘極位置1906和1908之間的鰭1900的位置處。
參考圖19B,閘極佔位符結構或虛設閘極位置1908被移除,露出鰭端部或寬鰭切割1904。所述移除建立了可能最終形成介電質插塞(例如,鰭端部應力源介電質插塞)的開口1920。
圖20A和20B顯示了根據本發明的實施例的一種在具有局部切割之鰭的末端處選擇鰭末端應力源(stressor)位置的方法中之各種操作的橫截面圖(例如,作為如上所述之鰭修整隔離程序的部分)。
參考圖20A,諸如矽鰭的鰭2000係形成在基板2002之上,並且可以與基板2002連續。鰭2000具有局部切割2004,其中鰭2000的一部分被移除,例如,如上所述,使用鰭修整隔離方法,其中虛設閘極被移除並且鰭在局部位置中被蝕刻。主動閘極電極位置2006和虛設閘極電極位置2008係形成在鰭2000之上,並且為了說明的目的,在鰭2000的前面稍微顯示,其中鰭2000背景中,其中虛線表示前視圖覆蓋的區域。應當理解,磊晶源極或汲極區域2010也顯示在閘極位置2006和2008之間的鰭2000的位置處。此外,層間介電質材料2012係包含在閘極位置2006和2008之間的鰭2000的位置處。
參考圖20B,閘極佔位符結構或虛設閘極電極位置2008被移除,露出具有局部切割2004的鰭端部。所述移除建立了開口2020,其中介電質插塞(例如,鰭端部應力源介電質插塞)可最終形成。
圖21A-21M顯示了根據本發明的實施例的在製造具有分化鰭端部介電質插塞的積體電路結構的方法中的各種操作的截面圖。
參考圖21A,起始結構2100包含NMOS區域和PMOS區域。起始結構2100的NMOS區域包含第一鰭2102(如第一矽鰭),其形成在基板2104之上並且可以與基板2104連續。第一鰭2102具有鰭端部2106,鰭端部2106可以由局部或寬鰭切割形成。第一主動閘極電極位置2108和第一虛設閘極電極位置2110係形成在第一鰭2102之上,並且為了說明的目的,係顯示在第一鰭2102的稍微前面,其中第一鰭2102在背景中,其中虛線表示從正視圖覆蓋的區域。磊晶N型源極或汲極區域2112(如磊晶矽源極或汲極結構)也顯示在閘極位置2108和2110之間的第一鰭2102的位置處。此外,在閘極位置2108和2110之間的第一鰭2102的位置處包含層間介電質材料2114。
起始結構2100的PMOS區域包含第二鰭2122 (如第二矽鰭),其形成在基板2104之上並且可以與基板2104連續。第二鰭2122具有鰭端部2126,鰭端部2126可以由局部或寬鰭切割形成。第二主動閘極電極位置2128和第二虛設閘極電極位置2130係形成在第二鰭2122之上,並且為了說明的目的,係顯示在第二鰭2122的稍微前面,其中第二鰭2122在背景中,其中虛線表示從正視圖覆蓋的區域。磊晶P型源極或汲極區域2132(如磊晶矽鍺源極或汲極結構)也顯示在閘極位置2128和2130之間的第二鰭2122的位置處。此外,在閘極位置2128和2130之間的第二鰭2122的位置處包含層間介電質材料2134。
參考圖21B,在位置2110和2130的第一和第二虛設閘極電極分別被移除。在移除時,第一鰭2102的鰭端部2106和第二鰭2122的鰭端部2126被暴露。所述移除還分別產生開口2116和2136,其中介電質插塞(如鰭端部應力源介電質插塞)可最終形成。
參考圖21C,材料襯墊2140係與圖21B的結構共形形成。在實施例中,材料襯墊包含矽和氮,如氮化矽材料襯墊。
參考圖21D,保護冠狀層2142(如金屬氮化物層)係形成在圖21C的結構上。
參考圖21E,硬掩模材料2144(如基於碳的硬掩模材料)係形成在圖21D的結構之上。微影掩模或掩模堆疊2146係形成在硬掩模材料2144上。
參考圖21F,硬掩模材料2144的部分與PMOS區域中的保護冠狀層2142的部分係從圖21E的結構中移除。微影掩模或掩模堆疊2146也被移除。
參考圖21G,第二材料襯墊2148與圖21F的結構共形形成。在實施例中,第二材料襯墊包含矽和氮,如第二氮化矽材料襯墊。在實施例中,第二材料襯墊2148具有不同的應力狀態以調節暴露的插塞中的應力。
參考圖21H,第二硬掩模材料2150(如第二碳基硬掩模材料)係形成圖21G的結構之上,並且接著在該結構的PMOS區域的開口2136內凹陷。
參考圖21I,第二材料襯墊2148係從圖2H的結構蝕刻,以從該NMOS區域移除第二材料襯墊2148,並且將第二材料襯墊2148凹陷在該結構的PMOS區域中。
參考圖2J中,硬掩模材料2144、保護冠狀層2142,以及第二硬掩模材料2150係從圖2I的結構中移除。與開口2136相比,所述移除分別為開口2116留下兩個不同的填充結構。
參考圖2K,絕緣填充材料2152係形成在圖2J的結構的開口2116和2136中並且被平坦化。在實施例中,絕緣填充材料2152係可流動的氧化物材料,如可流動的氧化矽或二氧化矽材料。
參考圖2L,絕緣填充材料2152係在圖2K的結構的開口2116和2136內凹陷,以形成凹陷的絕緣填充材料2154。在實施例中,蒸汽氧化處理作為凹陷程序的一部分或在凹部處理之後執行,以固化凹陷的絕緣填充材料2154。在一個這種實施例中,凹陷的絕緣填充材料2154收縮時,在鰭2102和2122上引發拉伸應力。然而,相較於在NMOS區域中,在PMOS區域中有相對較少的拉伸應力感應材料。
參考圖21M,第三材料襯墊2156係在圖21L的結構之上。在實施例中,第三材料襯墊2156包含矽和氮,如第三氮化矽材料襯墊。在實施例中,第三材料襯墊2156防止凹陷的絕緣填充材料2154在隨後的源極或汲極接觸蝕刻期間被蝕刻掉。
圖22A-22D顯示了根據本發明的實施例的PMOS鰭端部應力源介電質插塞的範例性結構的截面圖。
參考圖22A,結構2100的PMOS區域上的開口2136包含沿著開口2136的側壁的材料襯墊2140。第二材料襯墊2148係與材料襯墊2140的下部共形,但相對於材料襯墊2140的上部凹陷。凹陷的絕緣填充材料2154係位在第二材料襯墊2148內並且具有與第二材料襯墊2148的上表面共平面的上表面。第三材料襯墊2156係位於材料襯墊2140的上部之內,並且係位於絕緣填充材料2154的上表面之上和第二材料襯墊2148的上表面之上。第三材料襯墊2156具有接縫2157,例如,作為用於形成第三材料襯墊2156的沉積程序的人造物。
參考圖22B,在結構2100的PMOS區域中的開口2136包含沿著開口2136的側壁的材料襯墊2140。第二材料襯墊2148係與材料襯墊2140的下部共形,但相對於材料襯墊2140的上部凹陷。凹陷的絕緣填充材料2154係位在第二材料襯墊2148內並且具有與第二材料襯墊2148的上表面共平面的上表面。第三材料襯墊2156係位於材料襯墊2140的上部之內,並且係位於絕緣填充材料2154的上表面之上和第二材料襯墊2148的上表面之上。第三材料襯墊2156沒有接縫
參考圖22C,在結構2100的PMOS區域上的開口2136包含沿著開口2136的側壁的材料襯墊2140。第二材料襯墊2148係與材料襯墊2140的下部共形,但相對於材料襯墊2140的上部凹陷。凹陷的絕緣填充材料2154係位在第二材料襯墊2148內與在其之上,並且具有在第二材料襯墊2148的上表面之上的上表面。第三材料襯墊2156係位於材料襯墊2140的上部之內,並且係位於絕緣填充材料2154的上表面之上。第三材料襯墊2156如圖所示不具有接縫,但係在其它實施例中,第三材料襯墊2156具有接縫。
參考圖22D,在結構2100的PMOS區域上的開口2136包含沿著開口2136的側壁的材料襯墊2140。第二材料襯墊2148係與材料襯墊2140的下部共形,但相對於材料襯墊2140的上部凹陷。凹陷的絕緣填充材料2154係位在第二材料襯墊2148內,並且具有凹陷在第二材料襯墊2148的上表面之下的上表面。第三材料襯墊2156係位於材料襯墊2140的上部之內,並且係位於絕緣填充材料2154的上表面之上,並且在第二材料襯墊2148的上表面之上。第三材料襯墊2156如圖所示不具有接縫,但係在其它實施例中,第三材料襯墊2156具有接縫。
一併參考圖19A、19B、20A、20B、21A-21M和22A-22D,根據本發明的實施例,積體電路結構包含諸如矽的鰭,所述鰭具有頂部和側壁。所述頂部沿著一個方向具有最長的尺寸。第一隔離結構係在鰭的第一端部之上。閘極結構包含係位於鰭的區域的頂部上並且與其側壁相鄰的閘極電極。閘極結構係沿著該方向與第一隔離結構間隔開。第二隔離結構係位於鰭的第二端部之上,該第二端部與該第一端部相對。第二隔離結構係沿著該方向與閘極結構間隔開。第一隔離結構和第二隔離結構皆包含橫向圍繞與第一介電質材料不同的凹陷第二介電質材料(例如,第二材料襯墊2148)的第一介電質材料(例如,材料襯墊2140)。凹陷第二介電質材料橫向圍繞與第一和第二介電質材料不同的第三介電質材料(例如,凹陷的絕緣填充材料2154)的至少一部分。
在一個實施例中,第一隔離結構和第二隔離結構還包含由第一介電質材料的上部橫向圍繞的第四介電質材料(例如,第三材料襯墊2156),所述第四介電質材料在第三介電質材料的上表面之上。在一個這種實施例中,第四介電質材料還在第二介電質材料的上表面之上。在另一個這種實施例中,第四介電質材料具有近似垂直的中心接縫。在另一個這種實施例中,第四介電質材料不具有接縫。
在一個實施例中,第三介電質材料具有與第二介電質材料的上表面共平面的上表面。在一個實施例中,第三介電質材料具有在第二介電質材料的上表面下方的上表面。在一個實施例中,第三介電質材料具有在第二介電質材料的上表面之上的上表面,並且第三介電質材料進一步在第二介電質材料的上表面之上。在一個實施例中,第一和第二隔離結構在鰭上致使壓縮應力。在一個這種實施例中,閘極電極是P型閘極電極。
在一個實施例中,第一隔離結構具有沿著方向的寬度,閘極結構具有沿著方向上的寬度,並且第二隔離結構具有沿著方向的寬度。在一個這種實施例中,閘極結構的中心與第一隔離結構的中心沿著該方向間隔開一間距,並且第二隔離結構的中心與閘極結構的中心沿著該方向間隔開一間距。在一個實施例中,第一和第二隔離結構都係位於層間介電質層中的對應溝槽中。
在一個這種實施例中,第一源極或汲極區域係位於閘極結構和該第一隔離結構之間。第二源極或汲極區域係位於閘極結構和第二隔離結構之間。在一個這種實施例中,第一和第二源極或汲極區域是包含矽和鍺的嵌入式源極或汲極區域。在一個這種實施例中,閘極結構還包含在閘極電極和鰭之間並且沿著閘極電極的側壁的高k介電質層。
在另一個態樣中,個別介電質插塞的深度可在半導體結構內或形成在公共基板上的架構內變化。舉例而言,圖23A顯示了根據本發明的另一實施例的具有鰭端部應力感應特徵的另一半導體結構的截面圖。參考圖23A,包含淺介電質插塞2308A以及一對深介電質插塞2308B和2308C。在一個這種實施例中,如圖所示,淺介電質插塞2308C的深度近似等於基板2304內的半導體鰭2302的深度,而該對深介電質插塞2308B和2308C的深度低於基板2304內的半導體鰭2302的深度。
再次參考圖23A,這種配置可致使溝槽中之鰭修整隔離(FTI)裝置上的應力放大,其更深地蝕刻到基板2304中,以提供介於相鄰鰭2302之間的隔離。這種方式可被實現以增加晶片上的電晶體之密度。在實施例中,來自插塞填充之電晶體上所感應的應力效應在FTI電晶體中被放大,因為應力轉移係發生在該鰭中以及在該電晶體下方的基板或阱中。
在另一個態樣中,包含在介電質插塞的拉伸應力感應氧化物層的寬度或數量可以在半導體結構內或形成在公共基板上的架構內變化,例如,取決於該裝置是PMOS裝置或NMOS裝置。舉例而言,圖23B顯示了根據本發明的另一實施例的具有鰭端部應力感應特徵的另一半導體結構的截面圖。參考圖23B,在特定實施例中,NMOS裝置包含比對應的PMOS裝置相對更多的拉伸應力感應氧化物層2350。
再次參考圖23B,在實施例中,差異化的插塞填充係實現成在NMOS和PMOS中感應合適的應力。例如,NMOS插塞2308D和2308E具有比PMOS插塞2308F和2308G更大體積和更大寬度的拉伸應力感應氧化物層2350。插塞填充可以被圖案化以在NMOS和PMOS裝置中感應不同的應力。例如,微影圖案化可以被用於打開PMOS裝置(例如,加寬PMOS裝置的介電質插塞溝槽),此時可以執行不同的填充選項,以區分在NMOS與PMOS裝置中的插塞填充。在範例性實施例中,減少PMOS裝置上的插塞中的可流動氧化物的體積可以減少感應的拉伸應力。在一個這種實施例中,壓縮應力可以是主導的,例如,來自壓縮應力源極和汲極區域。在其它實施例中,使用不同的插塞襯墊或不同的填充材料提供了可調節的應力控制。
如上所述,但是應當理解的是,多晶矽插塞應力效應可以有益於NMOS電晶體(例如,拉伸通道應力)和PMOS電晶體(例如,壓縮通道應力)。根據本發明的實施例,半導體鰭是單軸受壓半導體鰭。單軸受壓半導體鰭可以在拉伸應力或壓縮應力下單軸受壓。例如,根據本發明的一或多個實施例,圖24A顯示了具有拉伸單軸應力的鰭的傾斜視圖,而圖24B顯示了具有壓縮單軸應力的鰭的傾斜視圖。
參考圖24A,半導體鰭2400具有設置在其中的分離通道區域(C)。源極區域(S)和汲極區域(D)係設置在係位於通道區域(C)的兩側的半導體鰭2400中。半導體鰭2400的分離通道區域具有沿著單軸拉伸應力的方向(箭頭指向遠離彼此並且朝向端部2402和2404),從源極區域(S)到汲極區域(D)的電流流動方向。
參考圖24B,半導體鰭2450具有設置在其中的分離通道區域(C)。源極區域(S)和汲極區域(D)係設置在係位於通道區域(C)的兩側的半導體鰭2450中。半導體鰭2450的分離通道區域具有沿著單軸壓縮應力的方向(箭頭指向遠離靠近並且來自端部2452和2454),從源極區域(S)到汲極區域(D)的電流流動方向。因此,可以實現本文描述的實施例以改善電晶體流動性和驅動電流,從而允許更快地執行電路和晶片。
在另一態樣中,可以存在進行閘極線切割(多晶矽切割)的位置與進行鰭修整隔離(FTI)局部鰭切割之間的關係。在實施例中,FTI局部鰭切割僅在進行多晶矽切割的位置進行。然而,在一個這種實施例中,不必在進行多晶矽切割的每個位置處進行FTI切割。
圖25A和25B顯示了根據本發明的實施例的表示與用於在選擇閘極線切割位置中形成局部隔離結構的單一閘極間距的鰭的圖案化的方法中的各種操作的平面圖。
參考圖25A,製造積體電路結構的方法包含形成複數個鰭2502,該複數個鰭2502中的個別一者具有沿著第一方向2504的最長尺寸。複數個閘極結構2506係在複數個鰭2502之上,閘極結構2506中的個別一者沿著與第一方向2504正交的第二方向2508具有最長的尺寸。在實施例中,閘極結構2506是犧牲或虛設閘極線,例如,由多晶矽製成。在一個實施例中,複數個鰭2502是矽鰭並且與底層矽基板的一部分連續。
再次參考圖25A,介電質材料結構2510係在複數個閘極結構2506中的相鄰者之間形成。複數個閘極結構2506中的兩個部分2512和2513被移除,以暴露複數個鰭2502中的每個的部分。在實施例中,移除閘極結構2506中的兩個部分2512和2513關於使用寬於閘極結構2506的部分2512和2513中之各者的寬度的微影窗口。位置2512處的複數個鰭2502中之各者的暴露部分被移除,以形成切割區域2520。在實施例中,複數個鰭2502中之各者的暴露部分係使用乾式或電漿蝕刻程序被移除。然而,位置2513處的複數個鰭2502中之各者的暴露部分被遮蔽而不被移除。在實施例中,區域2512/2520表示多晶矽切割和FTI局部鰭切割。然而,位置2513僅表示多晶矽切割。
參考圖25B,多晶矽切割和FTI局部鰭切割的位置2512/2520以及多晶矽切割的位置2513填充有如介電質插塞的絕緣結構2530。以下描述範例性絕緣結構或「多晶矽切割」或「插塞」結構。
圖26A-26C顯示了根據本發明的一個實施例的用於圖25B的結構的各個區域的用於多晶矽切割和FTI局部鰭切割位置以及僅多晶矽切割位置的介電質插塞的各種可能性的截面圖。
參考圖26A,在位置2513的介電質插塞2530的一部分2600A的截面圖係沿著圖25B的結構的a-a’軸線顯示。介電質插塞2530的部分2600A係顯示在未切割的鰭2502上和介電質材料結構2510之間。
參考圖26B,在位置2512的介電質插塞2530的部分2600B的截面圖係沿著圖25B的結構的b-b’軸顯示。介電質插塞2530的部分2600B係顯示在切割鰭位置2520上和介電質材料結構2510之間。
參考圖26C,位置2512處的介電質插塞2530的部分2600C的截面圖係沿著圖25B的結構的c-c’軸顯示。介電質插塞2530的部分2600C係顯示在鰭2502之間和介電質材料結構2510之間的溝槽隔離結構2602之上。在實施例中,其範例如上所述,溝槽隔離結構2602包含第一絕緣層2602A、第二絕緣層2602B和第二絕緣層2602B上的絕緣填充材料2602C。
一併參考圖25A、25B和26A-26C,根據本發明的實施例,製造積體電路結構的方法包含形成複數個鰭,複數個鰭中之各者鰭沿著第一方向。複數個閘極結構係形成在複數個鰭上,閘極結構中之各者閘極結構沿著與第一方向正交的第二方向。介電質材料結構係形成在複數個閘極結構中的相鄰者之間。複數個閘極結構中的第一個的一部分被移除,以暴露複數個鰭中之各者的第一部分。複數個閘極結構中的第二個的一部分被移除,以暴露複數個鰭中之各者的第二部分。複數個鰭中之各者的暴露的第一部分被移除,而複數個鰭中之各者的暴露的第二部分不被移除。第一絕緣結構係形成在複數個鰭中的被移除的第一部分的位置中。第二絕緣結構係形成在複數個閘極結構中的被移除的第二部分的位置中。
在一個實施例中,移除第一和第二複數個閘極結構的該部分包含使用比第一和第二複數個閘極結構的該部分中之各者的寬度更寬的微影窗口。在一個實施例中,移除複數個鰭中之各者的暴露的第一部分包含蝕刻到小於複數個鰭的高度的深度。在一個這種實施例中,深度係大於複數個鰭中的源極區域或汲極區域的深度。在一個實施例中,複數個鰭包含矽並且與矽基板的一部分連續。
一併參考圖16A、25A、25B和26A-26C,根據本發明的另一個實施例,積體電路結構包含含有矽的鰭,所述鰭沿著第一方向具有最長尺寸。隔離結構係位於鰭的上部,所述隔離結構具有沿著第一方向的中心。第一閘極結構係位於鰭的上部之上,所述第一閘極結構沿著與第一方向正交的第二方向具有最長的尺寸。第一閘極結構的中心與隔離結構的中心沿著第一方向隔開一間距。第二閘極結構係位於鰭的上部之上,所述第二閘極結構沿著第二方向具有最長的尺寸。第二閘極結構的中心與第一閘極結構的中心沿著第一方向間隔開一間距。第三閘極結構係位於鰭的上部,與第一和第二閘極結構隔著結構的一側相對,所述第三閘極結構沿著第二方向具有最長的尺寸。第三閘極結構的中心係沿著第一方向與隔離結構的中心隔開一間距。
在一個實施例中,第一閘極結構、第二閘極結構和第三閘極結構中之各者包含高k閘極介電質層的側壁之上與其間的閘極電極。在一個這種實施例中,第一閘極結構、第二閘極結構和第三閘極結構中之各者還包含在閘極電極之上以及高k閘極介電質層之上和其側壁上的絕緣蓋。
在一個實施例中,第一磊晶半導體區域係在第一閘極結構和隔離結構之間的該鰭的上部。第二磊晶半導體區域係在第一閘極結構和第二閘極結構之間的鰭的上部。第三磊晶半導體區域係在第三閘極結構和隔離結構之間的鰭的上部。在一個這種實施例中,第一、第二和第三磊晶半導體區域包含矽和鍺。在另一個這種實施例中,第一、第二和第三磊晶半導體區域包含矽。
一併參考圖16A、25A、25B和26A-26C,根據本發明的另一個實施例,積體電路結構包含在一對半導體鰭之間的淺溝槽隔離(STI)結構,所述STI結構沿著第一方向具有最長尺寸。隔離結構係位於STI結構之上,所述隔離結構具有沿著第一方向的中心。第一閘極結構係在STI結構之上,所述第一閘極結構沿著與第一方向正交的第二方向具有最長的尺寸。第一閘極結構的中心與隔離結構的中心沿著第一方向隔開一間距。第二閘極結構係位於STI結構之上,所述第二閘極結構沿著第二方向具有最長的尺寸。第二閘極結構的中心與第一閘極結構的中心沿著第一方向隔開一間距。第三閘極結構係位於STI結構上,與第一和第二閘極結構隔著隔離結構的一側相對,第三閘極結構沿著第二方向具有最長的尺寸。第三閘極結構的中心與隔離結構的中心沿著第一方向隔開一間距。
在一個實施例中,第一閘極結構、第二閘極結構和第三閘極結構中之各者包含在高k閘極介電質層的側壁上和之間的閘極電極。在一個這種實施例中,第一閘極結構、第二閘極結構和第三閘極結構中之各者還包含在閘極電極之上以及高k閘極介電質層之上和其側壁上的絕緣蓋。在一個實施例中,該對半導體鰭是一對矽鰭。
在另一態樣中,無論多晶矽切割和FTI局部鰭切割在一起還是僅有多晶矽切割,用於填充切割位置的絕緣結構或介電質插塞可橫向延伸到對應切割閘極線的介電質間隔件中,或甚至超出對應切割閘極線的介電質間隔件。
在溝槽接點形狀不受多晶矽介電質插塞影響的第一範例中,圖27A顯示了根據本發明的實施例的具有延伸到閘極線的介電質間隔件的介電質插塞的閘極線切割的積體電路結構的平面圖和對應的截面圖。
參考圖27A,積體電路結構2700A包含沿著第一方向2703具有最長尺寸的第一矽鰭2702。第二矽鰭2704沿著第一方向2703具有最長尺寸。絕緣體材料2706係位在第一矽鰭2702與第二矽鰭2704之間。閘極線2708沿著第二方向2709在第一矽鰭2702之上並且在第二矽鰭2704之上,所述第二方向2709與第一方向2703正交。閘極線2708具有第一側2708A和第二側2708B,並且具有第一端部2708C和第二端部2708D。閘極線2708在絕緣體材料2706之上、在閘極線2708的第一端部2708C和第二端部2708D之間具有中斷2710。中斷2710係由介電質插塞2712填充。
溝槽接點2714係在閘極線2708的第一側2708A處沿著第二方向2709在第一矽鰭2702之上並且在第二矽鰭2704之上。溝槽接點2714在橫向相鄰於介電質插塞2712的位置2715處在絕緣體材料2706上連續。介電質間隔件2716橫向在溝槽接點2714和閘極線2708的第一側2708A之間。介電質間隔件2716係沿著閘極線2708的第一側2708A與介電質插塞2712連續。介電質插塞2716橫向相鄰於介電質插塞2712的寬度(W2)比橫向相鄰於閘極線2708的第一側2708A的寬度(W1)薄。
在一個實施例中,第二溝槽接點2718在閘極線2708的第二側2708B沿著第二方向2709在第一矽鰭2702之上並且在第二矽鰭2704之上。第二溝槽接點2718在橫向相鄰於介電質插塞2712的位置2719處在絕緣體材料2706上連續。在一個這種實施例中,第二介電質間隔件2720橫向地係位在第二溝槽接點2718和閘極線2708的第二側2708B之間。第二介電質間隔件2720沿著閘極線2708的第二側2708B以及介電質插塞2712連續。第二介電質間隔件橫向相鄰於介電質插塞2712的寬度比橫向相鄰於閘極線2708的第二側2708B的寬度薄。
在一個實施例中,閘極線2708包含高k閘極介電質層2722、閘極電極2724和介電質蓋層2726。在一個實施例中,介電質插塞2712包含與介電質間隔件2714相同的材料,但是與介電質間隔件2714分離。在一個實施例中,介電質插塞2712包含與介電質間隔件2714不同的材料。
在第二範例中,溝槽接點形狀被多晶矽切割介電質插塞影響,圖27B顯示了根據本發明的另一個實施例的一種具有閘極線切割之積體電路結構的平面圖及相應橫截面圖,該閘極線切割具有延伸超過該閘極線之電介質間隔件的電介質插塞。
參考圖27B,積體電路結構2700B包含沿著第一方向2753具有最長尺寸的第一矽鰭2752。第二矽鰭2754沿著第一方向2753具有最長尺寸。絕緣體材料2756係位在第一矽鰭2752與第二矽鰭2754之間。閘極線2758沿著第二方向2759在第一矽鰭2752之上並且在第二矽鰭2754之上,所述第二方向2759與第一方向2753正交。閘極線2758具有第一側2758A和第二側2758B,並且具有第一端部2758C和第二端部2758D。閘極線2758在絕緣體材料2756之上、在閘極線2758的第一端部2758C和第二端部2758D之間具有中斷2760。中斷2760由介電質插塞2762填充。
溝槽接點2764係在閘極線2758的第一側2758A處沿著第二方向2759在第一矽鰭2752之上並且在第二矽鰭2754之上。溝槽接點2764在橫向相鄰於介電質插塞2762的位置2765處在絕緣體材料2756上連續。介電質間隔件2766橫向在溝槽接點2764和閘極線2758的第一側2758A之間。介電質間隔件2766係沿著閘極線2758的第一側2758A,但不沿著介電質插塞2762,導致中斷的介電質間隔件2766。溝槽接點2764橫向相鄰於介電質插塞2762的寬度(W1)比橫向相鄰於介電質間隔件2766的寬度(W2)薄。
在一個實施例中,第二溝槽接點2768在閘極線2758的第二側2758B沿著第二方向2759在第一矽鰭2752之上並且在第二矽鰭2754之上。第二溝槽接點2768在橫向相鄰於介電質插塞2762的位置2769處在絕緣體材料2756上連續。在一個這種實施例中,第二介電質間隔件2770橫向地係位在第二溝槽接點2768和閘極線2758的第二側2758B之間。第二介電質間隔件2770沿著閘極線2758的第二側2508B,但不沿著介電質插塞2762,導致中斷介電質間隔件2770。第二溝槽接點2768橫向相鄰於介電質插塞2762的寬度比橫向相鄰在第二介電質間隔件2770的寬度薄。
在一個實施例中,閘極線2758包含高k閘極介電質層2772、閘極電極2774和介電質蓋層2776。在一個實施例中,介電質插塞2762包含與介電質間隔件2764相同的材料,但是與介電質間隔件2764分離。在一個實施例中,介電質插塞2762包含與介電質間隔件2764不同的材料。
在第三範例中,用於多晶矽切割位置的介電質插塞從插塞的頂部到插塞的底部逐漸變細,圖28A-28F顯示了根據本發明的另一個實施例的一種製造具有閘極線切割之積體電路結構的方法中之各種操作的橫截面圖,該閘極線切割具有介電質插塞,該介電質插塞具有延伸超過該閘極線之介電質間隔件的上部分及延伸到該閘極線中之該些介電質間隔件的下部分。
參考圖28A,複數個條閘極線2802係形成在結構2804之上,如在半導體鰭之間的溝槽隔離結構之上。在一個實施例中,每條閘極線2802是犧牲或虛設閘極線,例如,具有虛設閘極電極2806和介電質蓋2808。這些犧牲或虛設閘極線的部分可以稍後在置換閘極程序中替換,例如,在下面描述的介電質插塞形成之後。介電質間隔件2810係沿著閘極線2802的側壁。介電質材料2812(如間介電質層)係位於閘極線2802之間。掩模2814係形成並且微影圖案化以暴露閘極線2802之一的一部分。
參考圖28B,以掩模2814取代,中心閘極線2802係以蝕刻程序移除。掩模2814接著被移除。在實施例中,蝕刻程序侵蝕移除的閘極線2802的介電質間隔件2810的部分,形成減少的介電質間隔件2816。此外,由掩模2814暴露的介電質材料2812的上部在蝕刻程序中被侵蝕,形成侵蝕的介電質材料部分2818。在特定實施例中,殘留虛設閘極材料2820(如殘留多晶矽)留在結構中,作為不完全蝕刻程序的人造物。
參考圖28C,硬掩模2822係形成在圖28B的結構之上。硬掩模2822可以與圖2B的結構的上部共形,並且特別是,與侵蝕的介電質材料部分2818共形。
參考圖28D,殘留虛設閘極材料2820被移除,例如,利用蝕刻程序,其可以係在化學上類似於用於移除閘極線2802的中央者的蝕刻程序。在實施例中,在移除殘留虛設閘極材料2820期間,硬掩模2822保護被侵蝕的介電質材料部分2818免於進一步的侵蝕。
參考圖28E,硬掩模2822被移除。在一個實施例中,在沒有或基本上沒有被侵蝕的介電質材料部分2818的進一步侵蝕的情況下移除硬掩模2822。
參考圖28F,介電質插塞2830係形成在圖28E的結構的開口中。介電質插塞2830的上部係位於被侵蝕的介電質材料部分2818之上,例如,有效地超出原始間隔件2810。介電質插塞2830的下部與縮減的介電質間隔件2816相鄰,例如,有效地進入但不超出原始間隔件2810。因此,介電質插塞2830具有錐形輪廓,如圖28F所示。應當理解,介電質插塞2830可以由上面描述的用於其它多晶矽切割或FTI插塞或鰭端部應力源的材料和程序製造。
在另一態樣中,佔位符閘極結構或虛設閘極結構的部分可以被保留在永久閘極結構底下之溝槽隔離區域上方,在置換閘極程序期間作為對抗溝槽隔離區域的侵蝕之保護。例如,圖29A-29C顯示了根據本發明的實施例的一種在永久閘極堆疊之底部的部分處具有殘留虛設閘極材料之積體電路結構的平面圖及相應橫截面圖。
參考圖29A-29C,一種積體電路結構包含諸如矽鰭的鰭2902,從半導體基板2904突出。鰭2902具有下鰭部2902B和上鰭部2902A。上鰭部2902A具有頂部2902C和側壁2902D。隔離結構2906圍繞下鰭部2902B。隔離結構2906包含具有頂面2907的絕緣材料2906C。半導體材料2908係位於絕緣材料2906C的頂面2907的一部分上。半導體材料2908與鰭2902分離。
閘極介電質層2910係位於上鰭部2902A的頂部2902C之上,並且橫向相鄰於上鰭部2902A的側壁2902D。閘極介電質層2910還係位於絕緣材料2906C的頂面2907的一部分上的半導體材料2908之上。居間的額外閘極介電質層2911(如鰭2902的氧化部分)可以係位於上鰭部2902A的頂部2902C之上的閘極介電質層2910之間並且橫向相鄰於上鰭部2902A的側壁2902D。閘極電極2912係位於上鰭部2902A的頂部2902C之上的閘極介電質層2910之上,並且橫向相鄰於上鰭部2902A的側壁2902D。閘極電極2912係進一步位於絕緣材料2906C的頂面2907的部分上的半導體材料2908上的閘極介電質層2910之上。第一源極或汲極區域2916相鄰於閘極電極2912的第一側,而第二源極或汲極區域2918相鄰於閘極電極2912的第二側,所述第二側與所述第一側相對。在上面描述其範例的實施例中,隔離結構2906包含第一絕緣層2906A、第二絕緣層2906B和絕緣材料2906C。
在一個實施例中,絕緣材料2906C的頂面2907的一部分上的半導體材料2908是或包含多晶矽。在一個實施例中,絕緣材料2906C的頂面2907具有凹陷(並且被描繪),並且半導體材料2908處於凹陷中。在一個實施例中,隔離結構2906包含沿著絕緣材料2906C的底部和側壁的第二絕緣材料(2906A或2906B或2906A/2906B兩者)。在一個這種實施例中,如圖所示,沿著絕緣材料2906C的側壁的第二絕緣材料(2906A或2906B或2906A/2906B兩者)的部分具有在絕緣材料2906C的最上表面之上的頂面。在一個實施例中,第二絕緣材料(2906A或2906B或2906A/ 2906B兩者)的頂面在半導體材料2908的最上表面之上或與其共平面。
在一個實施例中,在絕緣材料2906C的頂面2907的部分上的半導體材料2908不延伸超出該閘極介電質層2910。也就是說,從平面透視圖來說,半導體材料2908的位置限於由閘極堆疊2912/2910所覆蓋的區域。在一個實施例中,第一介電質間隔件2920係沿著閘極電極2912的第一側。第二介電質間隔件2922係沿著閘極電極2912的第二側。在一個這種實施例中,如圖29B所示,閘極介電質層2910還沿著第一介電質間隔件2920和第二介電質間隔件2922的側壁延伸。
在一個實施例中,閘極電極2912包含共形導電層2912A(例如,功函數層)。在一個這種實施例中,功函數層2912A包含鈦和氮。在另一個實施例中,功函數層2912A包含鈦、鋁、碳和氮。在一個實施例中,閘極電極2912還包含在功函數層2912A之上的導電填充金屬層2912B。在一個這種實施例中,導電填充金屬層2912B包含鎢。在特定實施例中,導電填充金屬層2912B包含95或更高原子百分比的鎢和0.1至2原子百分比的氟。在一個實施例中,如圖29B所示,絕緣蓋2924係位於閘極電極2912上並且可以在閘極介電質層2910上延伸。
圖30A-30D顯示根據本發明的另一實施例的一種製造在永久閘極堆疊之底部的部分處具有殘留虛設閘極材料之積體電路結構的方法中之各種操作的橫截面圖。透視圖顯示了沿著圖29C的結構的a-a’軸的一部分。
參考圖30A,一種製造積體電路結構的方法包含:從半導體基板3002形成鰭3000。所述鰭3000具有下鰭部3000A和上鰭部3000B。上鰭部3000B具有頂部300C和側壁3000D。隔離結構3004圍繞下鰭部3000A。隔離結構3004包含具有頂面3005的絕緣材料3004C。佔位符閘極電極3006係位於上鰭部3000B的頂部3000C之上,並且橫向相鄰於上鰭部3000B的側壁3000D。佔位符閘極電極3006包含半導體材料。
儘管未在圖30A的透視圖中描繪(但其位置顯示在圖29C中),第一源極或汲極區域可相鄰於佔位符閘極電極3006的第一側形成,以及第二源極或汲極區域可相鄰於佔位符閘極電極3006的第二側形成,所述第二側與所述第一側相對。此外,閘極介電質間隔件可以沿著佔位符閘極電極3006的側壁形成,並且層間介電質(ILD)層可以橫向形成在佔位符閘極電極3006附近。
在一個實施例中,佔位符閘極電極3006是或包含多晶矽。在一個實施例中,如圖所示,隔離結構3004的絕緣材料3004C的頂面3005具有凹陷。佔位符閘極電極3006的一部分係位於凹陷中。在一個實施例中,如圖所示,隔離結構3004包含第二絕緣材料(3004A或3004B或3004A和3004B兩者)沿著絕緣材料3004C的底部和側壁。在一個這種實施例中,沿著絕緣材料3004C的側壁的第二絕緣材料(3004A或3004B或3004A和3004B兩者)的部分具有在絕緣材料3004C的頂面3005的至少一部分之上的頂面。在一個實施例中,第二絕緣材料(3004A或3004B或3004A和3004B兩者)的頂面係位於佔位符閘極電極3006的一部分的最下表面之上。
參考圖30B,佔位符閘極電極3006係從上鰭部3000B的頂部3000C之上和側壁3000D蝕刻,例如,沿著圖30A的方向3008。蝕刻程序可以稱為置換閘極程序。在實施例中,蝕刻或置換閘極程序是不完整的,並且將佔位符閘極電極3006的一部分3012留在隔離結構3004的絕緣材料3004C的頂面3005的至少一部分上。
同時參考圖30A和30B,在實施例中,如圖所示,在形成佔位符閘極電極3006之前形成的上鰭部3000B的氧化部分3010在蝕刻程序期間被保持。然而,在另一個實施例中,佔位符閘極介電質層係在形成佔位符閘極電極3006之前形成,並且在蝕刻佔位符閘極電極之後移除佔位符閘極介電質層。
參考圖30C,閘極介電質層3014係形成在上鰭部3000B的頂部3000C之上,並且橫向相鄰於上鰭部3000B的側壁3000D。在一個實施例中,如圖所示,閘極介電質層3014係形成在上鰭部3000B的頂部3000C上的上鰭部3000B的氧化部分3010之上,並且橫向相鄰於上鰭部3000B的側壁3000D。在另一實施例中,在蝕刻佔位符閘極電極之後,上鰭部3000B的氧化部分3010被移除的情況下,閘極介電質層3014係直接形成在上鰭部3000B的頂部3000C上的上鰭部3000B,並且橫向相鄰於上鰭部3000B的側壁3000D。在任一種情況下,在實施例中,閘極介電質層3014還形成在隔離結構3004的絕緣材料3004C的頂面3005的一部分上的佔位符閘極電極3006的部分3012上。
參考圖30D,永久閘極電極3016係形成在上鰭部3000B的頂部3000C上的閘極介電質層3014之上,並且橫向相鄰於上鰭部3000B的側壁3000D。永久閘極電極3016係進一步位於絕緣材料3004C的頂面3005的部分上的佔位符閘極電極3006的部分3012上的閘極介電質層3014之上。
在一個實施例中,形成永久閘極電極3016包含形成功函數層3016A。在一個這種實施例中,功函數層3016A包含鈦和氮。在另一個這種實施例中,功函數層3016A包含鈦、鋁、碳和氮。在一個實施例中,形成永久閘極電極3016還包含形成在功函數層3016A上形成的導電填充金屬層3016B。在一個這種實施例中,形成導電填充金屬層3016B包含使用原子層沉積(ALD)形成具有六氟化鎢(WF6 )前體的含鎢膜。在實施例中,絕緣閘極蓋層3018係形成在永久閘極電極3016之上。
在另一個態樣中,本發明的一些實施例包含在用於閘極電極的閘極介電質結構中的非晶高k層。在其它實施例中,部分或完全結晶的高k層包含在用於閘極電極的閘極介電質結構中。在包含部分或完全結晶的高k層的一個實施例中,閘極介電質結構是鐵電(FE)閘極介電質結構。在包含部分或完全結晶的高k層的另一實施例中,閘極介電質結構是反鐵電(AFE)閘極介電質結構。
在實施例中,方法在本文中描述,以藉由採用鐵電或反鐵電閘極氧化物來增加裝置通道中的電荷和提高次臨限行為。鐵電和反鐵電閘極氧化物可以增加通道電荷以獲得更高的電流,並且還可以產生更陡峭的導通行為。
為了提供背景,鉿或鋯(Hf或Zr)式的鐵電和反鐵電(FE或AFE)材料通常比諸如鋯鈦酸鉛(PZT)的鐵電材料薄得多,並且因此,可以與高度縮放的邏輯技術相容。FE或AFE材料有兩個特性可以改善邏輯電晶體的效能:(1)藉由FE或AFE極化實現的通道中的較高電荷,以及(2)由於FE或AFE的急劇轉變而致使的更陡的導通行為。這些特性可以藉由增加電流和降低次臨限擺幅(SS)來改善電晶體效能。
圖31A顯示了根據本發明的實施例的具有鐵電或反鐵電閘極介電質結構的半導體裝置的截面圖。
參考圖31A,積體電路結構3100包含在基板3104之上的閘極結構3102。在一個實施例中,閘極結構3102係在半導體通道結構3106上面或之上,半導體通道結構3106包含諸如單晶矽的單晶材料。閘極結構3102包含在半導體通道結構3106之上的閘極介電質和閘極介電質結構之上的閘極電極。閘極介電質包含鐵電或反鐵電多晶材料層3102A。閘極電極具有在鐵電或反鐵電多晶材料層3102A之上的導電層3102B。導電層3102B包含金屬,並且可以是障壁層、功函數層或模板層,其增強FE或AFE層的結晶。閘極填充層或多數層3102C係位於導電層3102B上面或之上。源極區域3108和汲極區域3110係位於閘極結構3102的相對側上。源極或汲極接點3112在位置3149處電連接到源極區域3108和汲極區域3110,並且由層間介電質層3114或閘極介電質間隔件3116中的一者或兩者與閘極結構3102間隔開。在圖31A的範例中,源極區域3108和汲極區域3110是基板3104的區域。在實施例中,源極或汲極接點3112包含障壁層3112A,和導電溝槽填充材料3112B。在一個實施例中,如圖31A所示,鐵電或反鐵電多晶材料層3102A沿著介電質間隔件3116延伸。
在實施例中,並且適用於整個揭露,鐵電或反鐵電多晶材料層3102A是鐵電多晶材料層。在一個實施例中,鐵電多晶材料層是包含Zr和Hf的氧化物,其中Zr:Hf比例為50:50或Zr更高。隨著斜方晶體結晶度的增加,鐵電效應可能增加。在一個實施例中,鐵電多晶材料層具有至少80%的斜方晶體結晶度。
在實施例中,並且適用於整個揭露,鐵電或反鐵電多晶材料層3102A是反鐵電多晶材料層。在一個實施例中,反鐵電多晶材料層是包含Zr和Hf的氧化物,其中Zr:Hf比例為80:20或Zr更高,甚至高達100%的Zr、ZrO2 。在一個實施例中,反鐵電多晶材料層具有至少80%的四方結晶度。
在實施例中,並且適用於整個揭露,閘極堆疊3102的閘極介電質還包含非晶介電質層3103,諸如原生氧化矽層、高K介電質(HfOx、Al2 O3 等),或鐵電或反鐵電多晶材料層3102A和半導體通道結構3106之間的氧化和高K的組合。在實施例中,並且適用於整個揭露,鐵電或反鐵電多晶材料層3102A的厚度在1奈米至8奈米的範圍內。在實施例中,並且適用於整個揭露,鐵電或反鐵電多晶材料層3102A具有大約在20奈米或更多的範圍內的晶粒尺寸。
在實施例中,在沉積鐵電或反鐵電多晶材料層3102A之後,例如,藉由原子層沉積(ALD),包含金屬的層(例如,層3102B,諸如5-10奈米的氮化鈦或氮化鉭或鎢)係形成在鐵電或反鐵電多晶材料層3102A上。接著進行退火。在一個實施例中,退火進行的持續時間為1毫秒至30分鐘。在一個實施例中,退火在500至1100攝氏度的溫度下進行。
圖31B顯示了根據本發明的另一個實施例的具有鐵電或反鐵電閘極介電質結構的另一種半導體裝置的截面圖。
參考圖31B,積體電路結構3150包含在基板3154之上的閘極結構3152。在一個實施例中,閘極結構3152係在是半導體通道結構3156上面或之上,半導體通道結構3156包含如單晶矽的單晶材料。閘極結構3152包含半導體通道結構3156之上的閘極介電質和閘極介電質結構之上的閘極電極。閘極介電質包含鐵電或反鐵電多晶材料層3152A,並且還可以包含非晶氧化物層3153。閘極電極在鐵電或反鐵電多晶材料層3152A上具有導電層3152B。導電層3152B包含金屬,並且可以是障壁層或功函數層。閘極填充層或多數層3152C係位於導電層3152B上面或之上。凸起的源極區域3158和凸起的汲極區域3160(如不同於半導體通道結構3156的半導體材料的區域)係位於閘極結構3152的相對側上。源極或汲極接點3162在位置3199處電連接到源極區域3158和汲極區域3160,並且由層間介電質層3164或閘極介電質間隔件3166中的一者或兩者與閘極結構3152間隔開。在實施例中,源極或汲極接點3162包含障壁層3162A和導電溝槽填充材料3162B。在一個實施例中,如圖31B所示,鐵電或反鐵電多晶材料層3152A係沿著介電質間隔件3166延伸。
圖32A顯示了根據本發明的另一個實施例的一對半導體鰭上的複數個條閘極線的平面圖。
參考圖32A,複數個條主動閘極線3204係形成在複數個半導體鰭3200上。虛設閘極線3206係位於複數個半導體鰭3200的端部。閘極線3204/3206之間的間隔3208係可以定位溝槽接點以提供到源極或汲極區域(如源極或汲極區域3251、3252、3253和3254)的導電接點的位置。在實施例中,複數個條閘極線3204/3206的圖案或複數個半導體鰭3200的圖案被描述為光柵結構。在一個實施例中,格柵狀圖案包含複數個條閘極線3204/3206或複數個半導體鰭3200的圖案,這些圖案以恆定間距間隔開並且具有恆定寬度或皆具。
圖32B顯示了根據本發明的實施例的沿著圖32A的a-a’軸截取的截面圖。
參考圖32B,複數個條主動閘極線3264係形成在半導體鰭3262之上,半導體鰭3262係形成在基板3260之上。虛設閘極線3266係位於半導體鰭3262的端部。介電質層3270係位於虛設閘極線3266的外部。溝槽接點材料3297係位於主動閘極線3264之間,以及虛設閘極線3266和主動閘極線3264之間。嵌入式源極或汲極結構3268係位於主動閘極線3264之間的半導體鰭3262中,並且在虛設閘極線3266和主動閘極線3264之間。
主動閘極線3264包含閘極介電質結構3272、功函數閘極電極部分3274和填充閘極電極部分3276和介電質蓋層3278。介電質間隔件3280襯於主動閘極線3264和虛設閘極線3266的側壁。在實施例中,閘極介電質結構3272包含鐵電或反鐵電多晶材料層3298。在一個實施例中,閘極介電質結構3272還包含非晶氧化物層3299。
在另一個態樣中,相同導電類型(例如,N型或P型)的裝置可能已分化閘極電極堆疊為相同的導電類型。然而,出於比較目的,具有相同導電類型的裝置可以具有基於調製摻雜的差異化的電壓臨限值(VT)。
圖33A顯示了根據本發明的實施例的具有基於調製摻雜的差異化的電壓臨限值的一對NMOS裝置,以及基於調製摻雜的差異化的電壓臨限值的一對PMOS裝置的截面圖。
參考圖33A,第一NMOS裝置3302在半導體主動區域3300上(諸如在矽鰭或基板上)係相鄰在第二NMOS裝置3304。第一NMOS裝置3302和第二NMOS裝置3304都包含閘極介電質層3306、第一閘極電極導電層3308 (諸如功函數層),以及閘極電極導電填充物3310。在實施例中,第一NMOS裝置3302的第一閘極電極導電層3308和第二NMOS裝置3304的第一閘極電極導電層3308具有相同的材料和相同的厚度,並且因此具有相同的功函數。然而,第一NMOS裝置3302具有比第二NMOS裝置3304低的VT。在一個這種實施例中,第一NMOS裝置3302被稱為「標準VT」裝置,而第二NMOS裝置3304被稱為「高VT」裝置。在實施例中,差異化的VT係藉由在第一NMOS裝置3302和第二NMOS裝置3304的區域3312處使用調製或差異化的佈植摻雜來實現。
再次參考圖33A,第一PMOS裝置3322係在半導體主動區域3320上(諸如矽鰭或基板上)相鄰在第二PMOS裝置3324。第一PMOS裝置3322和第二PMOS裝置3324皆包含閘極介電質層3326、第一閘極電極導電層3328 (諸如功函數層),以及閘極電極導電填充物3330。在實施例中,第一PMOS裝置3322的第一閘極電極導電層3328和第二PMOS裝置3324的第一閘極電極導電層3328具有相同的材料和相同的厚度,並且因此具有相同的功函數。然而,第一PMOS裝置3322具有比第二PMOS裝置3324更高的VT。在一個這種實施例中,第一PMOS裝置3322被稱為「標準VT」裝置,而第二PMOS裝置3324被稱為「低VT」裝置。在實施例中,差異化的VT係藉由在第一PMOS裝置3322和第二PMOS裝置3324的區域3332處使用調製或差異化的佈植摻雜來實現。
相較於圖33A,圖33B顯示了根據本發明的實施例的具有基於差異化的閘極電極結構的差異化的電壓臨限值的一對NMOS裝置,以及具有基於差異化的閘極電極結構的差異化的電壓臨限值的一對PMOS裝置的截面圖。
參考圖33B,第一NMOS裝置3352在半導體主動區域3350上(諸如矽鰭或基板上)係相鄰在第二NMOS裝置3354。第一NMOS裝置3352和第二NMOS裝置3354都包含閘極介電質層3356。然而,第一NMOS裝置3352和第二NMOS裝置3354具有結構上不同的閘極電極堆疊。具體地,第一NMOS裝置3352包含第一閘極電極導電層3358(如第一功函數層),以及閘極電極導電填充物3360。第二NMOS裝置3354包含第二閘極電極導電層3359(如第二功函數層)、第一閘極電極導電層3358和閘極電極導電填充物3360。第一NMOS裝置3352具有比第二NMOS裝置3354低的VT。在一個這種實施例中,第一NMOS裝置3352被稱為「標準VT」裝置,而第二NMOS裝置3354被稱為「高VT」裝置。在實施例中,差異化的VT係藉由對相同導電類型的裝置使用差異化的閘極堆疊來實現。
再次參考圖33B,第一PMOS裝置3372在半導體主動區域3370區域上(如在矽鰭或基板上)相鄰在第二PMOS裝置3374。第一PMOS裝置3372和第二PMOS裝置3374都包含閘極介電質層3376。然而,第一PMOS裝置3372和第二PMOS裝置3374具有結構上不同的閘極電極堆疊。具體地,第一PMOS裝置3372包含具有第一厚度的閘極電極導電層3378A(如功函數層),以及閘極電極導電填充物3380。第二PMOS裝置3374包含具有第二厚度的閘極電極導電層3378B,以及閘極電極導電填充物3380。在一個實施例中,閘極電極導電層3378A和閘極電極導電層3378B具有相同的成分,但是閘極電極導電層3378B的厚度(第二厚度)大於閘極電極導電層的厚度3378A(第一厚度)。第一PMOS裝置3372具有比第二PMOS裝置3374更高的VT。在一個這種實施例中,第一PMOS裝置3372被稱為「標準VT」裝置,而第二PMOS裝置3374被稱為「低VT」裝置。在實施例中,藉由對相同導電類型的裝置使用差異化的閘極堆疊來實現差異化的VT。
再次參考圖33B,根據本發明的實施例,積體電路結構包含鰭(例如,諸如3350的矽鰭)。應當理解,鰭具有頂部(如圖所示)和側壁(進入和離開頁面)。閘極介電質層3356係位於鰭的頂部之上並且橫向相鄰於鰭的側壁。裝置3354的N型閘極電極係位於鰭的頂部之上的閘極介電質層3356之上,並且橫向相鄰於鰭的側壁。N型閘極電極包含閘極介電質層3356上的P型金屬層3359,以及P型金屬層3359上的N型金屬層3358。如將理解的,第一N型源極或汲極區域可以與閘極電極的第一側相鄰(例如,進入頁面),而第二N型源極或汲極區域可以與閘極電極的第二側相鄰(例如,在離開頁面),所述第二側與所述第一側相對。
在一個實施例中,P型金屬層3359包含鈦和氮,而N型金屬層3358包含鈦、鋁、碳和氮。在一個實施例中,P型金屬層3359的厚度在2至12埃的範圍內,並且在一個具體實施例中,P型金屬層3359的厚度在2至4埃的範圍內。在一個實施例中,N型閘極電極還包含在N型金屬層3358上的導電填充金屬層3360。在一個這種實施例中,導電填充金屬層3360包含鎢。在特定實施例中,導電填充金屬層3360包含95或更大原子百分比的鎢和0.1至2原子百分比的氟。
再參考圖33B,根據本發明的另一實施例,一種積體電路結構包含具有電壓臨限值(VT)的第一N型裝置3352、具有第一閘極介電質層3356的第一N型裝置3352和第一閘極介電質層3356上的第一N型金屬層3358。此外,還包含具有電壓臨限值(VT)的第二N型裝置3354、具有第二閘極介電質層3356的第二N型裝置3354、第二閘極介電質層3356上的P型金屬層3359,以及P型金屬層3359上的第二N型金屬層3358。
在一個實施例中,其中第二N型裝置3354的VT高於第一N型裝置3352的VT。在一個實施例中,第一N型金屬層3358和第二N型金屬層3358具有相同的成分。在一個實施例中,第一N型金屬層3358和第二N型金屬層3358具有相同的厚度。在一個實施例中,其中N型金屬層3358包含鈦、鋁、碳和氮,而P型金屬層3359包含鈦和氮。
再次參考圖33B,根據本發明的另一實施例,積體電路結構包含具有電壓臨限值(VT)的第一P型裝置3372、具有第一閘極介電質層3376的第一P型裝置3372,以及第一閘極介電質層3376上的第一P型金屬層3378A。第一P型金屬層3378A具有厚度。還包含第二P型裝置3374並且具有電壓臨限值(VT)。第二P型裝置3374具有第二閘極介電質層3376,以及在第二閘極介電質層3376上的第二P型金屬層3378B。第二P型金屬層3378B的厚度大於第一P型金屬層3378A的厚度。
在一個實施例中,第二P型裝置3374的VT低於第一P型裝置3372的VT。在一個實施例中,第一P型金屬層3378A和第二P型金屬層3378B具有相同的成分。在一個實施例中,第一P型金屬層3378A和第二P型金屬層3378B都包含鈦和氮。在一個實施例中,第一P型金屬層3378A的厚度小於第一P型金屬層3378A的材料的功函數飽和厚度。在一個實施例中,儘管未顯示,但是第二P型金屬層3378B包含在第二金屬膜(例如,來自第一沉積)上的第一金屬膜(例如,來自第二沉積),並且接縫係在第一金屬之間薄膜和第二金屬薄膜之間。
再參考圖33B,根據本發明的另一實施例,一種積體電路結構包含具有第一閘極介電質層3356的第一N型裝置3352,以及在第一閘極介電質層3356上的第一N型金屬層3358。第二N型裝置3354具有第二閘極介電質層3356、第二閘極介電質層3356上的第一P型金屬層3359,以及第一P型金屬層3359上的第二N型金屬層3358。第一P型裝置3372具有第三閘極介電質層3376,以及在第三閘極介電質層3376上的第二P型金屬層3378A。第二P型金屬層3378A具有厚度。第二P型裝置3374具有第四閘極介電質層3376,以及在第四閘極介電質層3376上的第三P型金屬層3378B。第三P型金屬層3378B的厚度大於第二P型金屬層3378A的厚度。
在一個實施例中,第一N型裝置3352具有電壓臨限值(VT),第二N型裝置3354具有電壓臨限值(VT),並且第二N型裝置3354的VT低於第一N型裝置3352的VT。在一個實施例中,第一P型裝置3372具有電壓臨限值(VT),第二P型裝置3374具有電壓臨限值(VT),並且第二P型裝置3374的VT值小於第一P型裝置3372的VT。在一個實施例中,第三P型金屬層3378B包含第二金屬膜上的第一金屬膜,以及第一金屬膜和第二金屬膜之間的接縫。
應當理解的是,對於相同的導電類型,大於兩種類型的VT裝置可以被包含在相同的結構中,如在相同的晶粒上。在第一範例中,圖34A顯示了根據本發明的實施例的具有基於差異化的閘極電極結構和調製摻雜的差異化的電壓臨限值的三重NMOS裝置,以及具有基於差異化的閘極電極結構和調製摻雜的差異化的電壓臨限值的三重PMOS裝置的截面圖。
參考圖34A,第一NMOS裝置3402係相鄰於第二NMOS裝置3404,而第三NMOS裝置3403係在半導體主動區域3400上,諸如在矽鰭或基板上。第一NMOS裝置3402、第二NMOS裝置3404和第三NMOS裝置3403包含閘極介電質層3406。第一NMOS裝置3402和第三NMOS裝置3403在結構上具有相同或者類似的閘極電極堆疊。然而,第二NMOS裝置3404與第一NMOS裝置3402和第三NMOS裝置3403在結構上具有不同的閘極電極堆疊。具體地,第一NMOS裝置3402和第三NMOS裝置3403包含第一閘極電極導電層3408(如第一功函數層),以及閘極電極導電填充物3410。第二NMOS裝置3404包含第二閘極電極導電層3409(如第二功函數層)、第一閘極電極導電層3408和閘極電極導電填充物3410。第一NMOS裝置3402具有比第二NMOS裝置3404低的VT。在一個這種實施例中,第一NMOS裝置3402被稱為「標準VT」裝置,第二NMOS裝置3404被稱為「高VT」裝置。在實施例中,藉由對相同導電類型的裝置使用差異化的閘極堆疊來實現差異化的VT。在實施例中,即使第三NMOS裝置3403的閘極電極結構與第一NMOS裝置3402的閘極電極結構上相同,第三NMOS裝置3403也具有與第一NMOS裝置3402和第二NMOS裝置3404的VT不同的VT。在一個實施例中,第三NMOS裝置3403的VT在第一NMOS裝置3402和第二NMOS裝置3404的VT之間。在實施例中,第三NMOS裝置3403與第一NMOS裝置3402之間的差異化的VT係藉由在第三NMOS裝置3403的區域3412處使用調製或差異化的佈植摻雜來實現。在一個這種實施例中,第三N型裝置3403具有通道區域,該通道區域的摻雜濃度不同於第一N型裝置3402的通道區域的摻雜濃度。
再次參考圖34A,第一PMOS裝置3422係相鄰於在半導體主動區域3420上(例如在矽鰭或基板上)的第二PMOS裝置3424和第三PMOS裝置3423。第一PMOS裝置3422、第二PMOS裝置3424和第三PMOS裝置3423包含閘極介電質層3426。第一PMOS裝置3422和第三PMOS裝置3423具有結構上相同或相似的閘極電極堆疊。然而,第二PMOS裝置3424與第一PMOS裝置3422和第三PMOS裝置3423具有結構上不同的閘極電極堆疊。具體地,第一PMOS裝置3422和第三PMOS裝置3423包含具有第一厚度的閘極電極導電層3428A(如功函數層),以及閘極電極導電填充物3430。第二PMOS裝置3424包含具有第二厚度的閘極電極導電層3428B和閘極電極導電填充物3430。在一個實施例中,閘極電極導電層3428A和閘極電極導電層3428B具有相同的成分,但閘極電極導電層3428B的厚度(第二厚度)大於閘極電極導電層3428A的厚度(第一厚度)。在實施例中,第一PMOS裝置3422具有比第二PMOS裝置3424更高的VT。在一個這種實施例中,第一PMOS裝置3422被稱為「標準VT」裝置,而第二PMOS裝置3424被稱為「低VT」裝置。在實施例中,差異化的VT係藉由對相同導電類型的裝置使用差異化的閘極堆疊來實現。在實施例中,即使第三PMOS裝置3423的閘極電極結構與第一PMOS裝置3422的閘極電極結構上相同,第三PMOS裝置3423具有與第一PMOS裝置3422和第二PMOS裝置3424的VT不同的VT。在一個實施例中,第三PMOS裝置3423的VT係在第一PMOS裝置3422和第二PMOS裝置3424的VT之間。在實施例中,第三PMOS裝置3423和第一PMOS裝置3422之間的差異化的VT係藉由在第三PMOS裝置3423的區域3432處使用調製或差異化的佈植摻雜來實現。在一個這種實施例中,第三P型裝置3423具有摻雜濃度不同於第一P型裝置3422的通道區域的摻雜濃度的通道區域。
在第二範例中,圖34B顯示根據本發明的另一實施例的具有根據差異化的閘極電極結構和根據調製摻雜之差異化的電壓臨限值的三重NMOS裝置、及具有根據差異化的閘極電極結構和根據調製摻雜之差異化的電壓臨限值的三重PMOS裝置之橫截面圖。
參考圖34B,第一NMOS裝置3452與半導體主動區域3450上(如在矽鰭或基板上)的第二NMOS裝置3454和第三NMOS裝置3453相鄰。第一NMOS裝置3452、第二NMOS裝置3454和第三NMOS裝置3453包含閘極介電質層3456。第二NMOS裝置3454和第三NMOS裝置3453具有結構上相同或相似的閘極電極堆疊。然而,第一NMOS裝置3452具有與第二NMOS裝置3454和第三NMOS裝置3453結構上不同的閘極電極堆疊。具體地,第一NMOS裝置3452包含第一閘極電極導電層3458(如第一功函數層),和閘極電極導電填充物3460。第二NMOS裝置3454和第三NMOS裝置3453包含第二閘極電極導電層3459(如第二功函數層)、第一閘極電極導電層3458和閘極電極導電填充物3460。第一NMOS裝置3452具有比第二NMOS裝置3454低的VT。在一個這種實施例中,第一NMOS裝置3452被稱為「標準VT」裝置,而第二NMOS裝置3454被稱為「高VT」裝置。在實施例中,藉由對相同導電類型的裝置使用差異化的閘極堆疊來實現差異化的VT。在實施例中,即使第三NMOS裝置3453的閘極電極結構與第二NMOS裝置3454的閘極電極結構上相同,第三NMOS裝置3453的VT也不同於第一NMOS裝置3452和第二NMOS裝置3454的VT。在一個實施例中,第三NMOS裝置3453的VT在第一NMOS裝置3452和第二NMOS裝置3454的VT之間。在實施例中,第三NMOS裝置3453和第二NMOS裝置3454之間的差異化的VT係藉由在第三NMOS裝置3453的區域3462處使用調製或差異化的佈植摻雜來實現。在一個這種實施例中,第三N型裝置3453具有通道區域,該通道區域的摻雜濃度不同於第二N型裝置3454的通道區域的摻雜濃度。
再參考圖34B,第一PMOS裝置3472係在半導體主動區域3470上(諸如在矽鰭或基板上)相鄰在第二PMOS裝置3474和第三PMOS裝置3473。第一PMOS裝置3472、第二PMOS裝置3474和第三PMOS裝置3473包含閘極介電質層3476。第二PMOS裝置3474和第三PMOS裝置3473具有結構上相同或相似的閘極電極堆疊。然而,第一PMOS裝置3472具有與第二PMOS裝置3474和第三PMOS裝置3473結構上不同的閘極電極堆疊。具體地,第一PMOS裝置3472包含具有第一厚度的閘極電極導電層3478A(如功函數層),以及閘極電極導電填充物3480。第二PMOS裝置3474和第三PMOS裝置3473包含具有第二厚度的閘極電極導電層3478B和閘極電極導電填充物3480。在一個實施例中,閘極電極導電層3478A和閘極電極導電層3478B具有相同的成分,但閘極電極導電層3478B的厚度(第二厚度)大於閘極電極導電層3478A的厚度(第一厚度)。在實施例中,第一PMOS裝置3472具有比第二PMOS裝置3474更高的VT。在一個這種實施例中,第一PMOS裝置3472被稱為「標準VT」裝置,而第二PMOS裝置3474被稱為「低VT」裝置。在實施例中,藉由對相同導電類型的裝置使用差異化的閘極堆疊來實現差異化的VT。在實施例中,即使第三PMOS裝置3473的閘極電極與第二PMOS裝置3474的閘極電極結構上相同,第三PMOS裝置3473的VT也不同於第一PMOS裝置3472和第二PMOS裝置3474的VT。在一個實施例中,第三PMOS裝置3473的VT係在第一PMOS裝置3472的VT和第二PMOS裝置3474之間。在實施例中,第三PMOS裝置3473與第一PMOS裝置3472之間的差異化的VT係藉由在第三PMOS裝置3473的區域3482處使用調製或差異化的佈植摻雜來實現。在一個這種實施例中,第三P型裝置3473具有通道區域,該通道區域的摻雜濃度不同於第二P型裝置3474的通道區域的摻雜濃度。
圖35A-35D顯示了根據本發明的另一實施例的基於差異化的閘極電極結構製造具有差異化的電壓臨限值的NMOS裝置的方法中的各種操作的截面圖。
參考圖35A,其中「標準VT NMOS」區域(STD VT NMOS)和「高VT NMOS」區域(HIGH VT NMOS)被顯示為在共用基板上分叉,一種製造積體電路結構的方法包含在第一半導體鰭3502之上和在第二半導體鰭3504之上(如在第一和第二矽鰭之上)形成閘極介電質層3506。P型金屬層3508係在第一半導體鰭3502之上和在第二半導體鰭3504之上的閘極介電質層3506上形成。
參考圖35B,P型金屬層3508的一部分係從在第一半導體鰭3502上的閘極介電質層3506移除,但P型金屬層3508的部分3509係保持在第二半導體鰭3504之上的閘極介電質層3506上。
參考圖35C,N型金屬層3510係形成在第一半導體鰭3502的閘極介電質層3506之上,並且形成在第二半導體鰭3504上的閘極介電質層3506上的P型金屬層的部分3509之上。在實施例中,後續處理包含在第一半導體鰭3502上形成具有電壓臨限值(VT)的第一N型裝置,以及在第二半導體鰭3504上形成具有電壓臨限值(VT)的第二N型裝置,其中第二N型裝置的VT高於第一N型裝置的VT。
參考圖35D,在實施例中,導電填充金屬層3512係形成在N型金屬層3510上。在一個這種實施例中,形成導電填充金屬層3512包含利用六氟化鎢(WF6 )前體使用原子層沉積(ALD)來形成含鎢膜。
圖36A-36D顯示了根據本發明的另一個實施例的基於差異化的閘極電極結構製造具有差異化的電壓臨限值的PMOS裝置的方法中的各種操作的截面圖。
參考圖36A,其中「標準VT PMOS」區域(STD VT PMOS)和「低VT PMOS」區域(LOW VT PMOS)被顯示為在共用基板上分叉,一種製造積體電路結構的方法包含在第一半導體鰭3602之上和在第二半導體鰭3604之上(如在第一和第二矽鰭之上)形成閘極介電質層3606。第一P型金屬層3608係形成在第一半導體鰭3602之上和在第二半導體鰭3604之上的閘極介電質層3606上。
參考圖36B,第一P型金屬層3608的一部分係從在第一半導體鰭3602上的閘極介電質層3606移除,但第一P型金屬層3608的部分3609係保持在第二半導體鰭3604之上的閘極介電質層3606上。
參考圖36C,第二P型金屬層3610係形成在第一半導體鰭3602的閘極介電質層3606之上,並且形成在第二半導體鰭3604上的閘極介電質層3606上的第一P型金屬層的部分3609之上。在實施例中,後續處理包含在第一半導體鰭3602上形成具有電壓臨限值(VT)的第一P型裝置,以及在第二半導體鰭3604上形成具有電壓臨限值(VT)的第二P型裝置,其中第二P型裝置的VT低於第一P型裝置的VT。
在一個實施例中,第一P型金屬層3608和第二P型金屬層3610具有相同的成分。在一個實施例中,第一P型金屬層3608和第二P型金屬層3610具有相同的厚度。在一個實施例中,第一P型金屬層3608和第二P型金屬層3610具有相同的厚度和相同的成分。在一個實施例中,如圖所示,接縫3611係位在第一P型金屬層3608和第二P型金屬層3610之間。
參考圖36D,在實施例中,導電填充金屬層3612係形成在P型金屬層3610上。在一個這種實施例中,形成導電填充金屬層3612包含利用六氟化鎢(WF6 )前體使用原子層沉積(ALD)來形成含鎢膜。在一個實施例中,如圖所示,在形成導電填充金屬層3612之前,N型金屬層3614係形成在P型金屬層3610上。在一個這種實施例中,N型金屬層3614是雙金屬閘極替換處理方案的人造物。
在另一個態樣中,說明了用於互補金屬氧化物半導體(CMOS)半導體裝置的金屬閘極結構。在範例中,圖37顯示了根據本發明的實施例的具有P/N接面的積體電路結構的截面圖。
參考圖37,積體電路結構3700包括具有N井區3704及P井區3708之半導體基板3702,N井區3704具有從中突出之第一半導體鰭3706,而P井區3708具有從中突出之第二半導體鰭3710。第一半導體鰭3706係與第二半導體鰭3710間隔開。N阱區域3704係在半導體基板3702中與P阱區域3708直接相鄰。在第一半導體鰭3706和第二半導體鰭3210之外和之間,溝槽隔離結構3712係位於半導體基板3702之上。第一半導體鰭3706和第二半導體鰭3210在溝槽隔離結構3712之上延伸。
閘極介電質層3714係位在第一半導體鰭3706和第二半導體鰭3710之上以及溝槽隔離結構3712之上。閘極介電質層3714係在第一半導體鰭3706和第二半導體鰭3710之間連續。導電層3716係位在第一半導體鰭3706上的閘極介電質層3714之上,但不係位在第二半導體鰭3710之上。在一個實施例中,導電層3716包含鈦、氮和氧。p型金屬閘極層3718係位在第一半導體鰭3706上的導電層3716之上,但不係位在第二半導體鰭3710之上。在第一半導體鰭3706和第二半導體鰭3710之間,p型金屬閘極層3718還係位於溝槽隔離結構3712的一部分但不是全部之上。n型金屬閘極層3720係位在第二半導體鰭3710之上、在第一半導體鰭3706和第二半導體鰭3710之間的溝槽隔離結構3712之上,以及p型金屬閘極層3718之上。
在一個實施例中,層間介電質(ILD)層3722係位在第一半導體鰭3706和第二半導體鰭3710的外側上的溝槽隔離結構3712之上。ILD層3722具有開口3724,該開口3724暴露第一半導體鰭3706和第二半導體鰭3710。在一個這種實施例中,如圖所示,導電層3716、p型金屬閘極層3718和n型金屬閘極層3720還沿著開口3724的側壁3726形成。在特定實施例中,如圖所示,導電層3716在p型金屬閘極層3718的頂面3719下方具有沿著開口3724的側壁3726的頂面3717和沿著開口3724的側壁3726的n型金屬閘極層3720的頂面3721。
在一個實施例中,p型金屬閘極層3718包含鈦和氮。在一個實施例中,n型金屬閘極層3720包含鈦和鋁。在一個實施例中,如圖所示,導電填充金屬層3730係位於n型金屬閘極層3720之上。在一個這種實施例中,導電填充金屬層3730包含鎢。在特定實施例中,導電填充金屬層3730包含95或更大原子百分比的鎢和0.1至2原子百分比的氟。在一個實施例中,閘極介電質層3714具有包含鉿和氧的層。在一個實施例中,如圖所示,熱或化學氧化物層3732係位在第一半導體鰭3706和第二半導體鰭3710的上部之間。在一個實施例中,半導體基板3702是塊體矽半導體基板。
現在僅參考圖37的右手側,根據本發明的實施例,一種積體電路結構包含含有N阱區3704的半導體基板3702,N阱區3704具有從中突出的半導體鰭3706。溝槽隔離結構3712係位於半導體鰭3706周圍的半導體基板3702上。半導體鰭3706在溝槽隔離結構3712之上延伸。閘極介電質層3714係位於半導體鰭3706之上。導電層3716係位於半導體鰭3706上的閘極介電質層3714之上。在一個實施例中,導電層3716包含鈦、氮和氧。P型金屬閘極層3718係位於半導體鰭3706上的導電層3716之上。
在一個實施例中,層間介電質(ILD)層3722係在溝槽隔離結構3712之上。ILD層具有開口,該開口暴露了半導體鰭3706。導電層3716和P型金屬層3718還沿著開口的側壁形成。在一個這種實施例中,導電層3716在沿著開口的側壁的P型金屬閘極層3718的頂面下方具有沿著開口的側壁的頂面。在一個實施例中,P型金屬閘極層3718係位於導電層3716上。在一個實施例中,P型金屬閘極層3718包含鈦和氮。在一個實施例中,導電填充金屬層3730係位於P型金屬閘極層3718之上。在一個這種實施例中,導電填充金屬層3730包含鎢。在特定的這種實施例中,導電填充金屬層3730由95或更大原子百分比的鎢和0.1至2原子百分比的氟組成。在一個實施例中,閘極介電質層3714包含具有鉿和氧的層。
圖38A-38H顯示了根據本發明的實施例的使用雙金屬閘極置換閘極程序流程製造積體電路結構的方法中的各種操作的截面圖。
參考圖38A,其顯示了NMOS(N型)區域和PMOS(P型)區域,一種製造積體電路結構的方法包含在基板3800上的第一半導體鰭3804和第二半導體鰭3806之上形成層間介電質(ILD)層3802。開口3808係在ILD層3802中形成,開口3808暴露了第一半導體鰭3804和第二半導體鰭3806。在一個實施例中,開口3808係藉由移除最初在第一半導體鰭3804和第二半導體鰭3806上就位的閘極佔位符或虛設閘極結構來形成。
閘極介電質層3810係形成在開口3808中,並且在第一半導體鰭3804和第二半導體鰭3806上,且在第一半導體鰭3804和第二半導體鰭3806之間的溝槽隔離結構3812的一部分上。在一個實施例中,如圖所示,閘極介電質層3810係形成在熱或化學氧化物層3811(如氧化矽或二氧化矽層)上、形成在第一半導體鰭3804和第二半導體鰭3806上。在另一實施例中,閘極介電質層3810係直接形成在第一半導體鰭3804和第二半導體鰭3806上。
導電層3814係形成在第一半導體鰭3804和第二半導體鰭3806上的閘極介電質層3810之上。在一個實施例中,導電層3814包含鈦、氮和氧。p型金屬閘極層3816係在形成在第一半導體鰭3804上和第二3806半導體鰭上的導電層3814上形成。
參考圖38B,介電質蝕刻停止層3818係形成在p型金屬閘極層3816上。在一個實施例中,介電質蝕刻停止層3818包含氧化矽(例如,SiO2 )的第一層、氧化矽的第一層上的氧化鋁層(例如,Al2 O3 )和氧化鋁層上的氧化矽(例如,SiO2 )的第二層。
參考圖38C,掩模3820係形成在圖38B的結構之上。掩模3820覆蓋PMOS區域並且暴露NMOS區域。
參考圖38D,介電質蝕刻停止層3818、p型金屬閘極層3816和導電層3814被圖案化以在第一半導體鰭3804之上但不在第二半導體鰭3806之上的圖案化的導電層3815上提供圖案化的介電質蝕刻停止層3819、圖案化的p型金屬閘極層3817。在實施例中,導電層3814在圖案化期間保護第二半導體鰭3806。
參考圖38E,掩模3820係從圖38D的結構中移除。參考圖3F,圖案化的介電質蝕刻停止層3819係從圖3E的結構中移除。
參考圖38G,n型金屬閘極層3822係形成在第二半導體鰭3806上、在第一半導體鰭3804和第二半導體鰭3806之間的溝槽隔離結構3812的部分上,以及在圖案化的p型金屬閘極層3817上。在實施例中,圖案化的導電層3815、圖案化的p型金屬閘極層3817和n型金屬閘極層3822還沿著開口3808的側壁3824形成。在一個這種實施例中,圖案化的導電層3815在圖案化的p型金屬閘極層3817的頂面下方具有沿著開口3808的側壁3824的頂面,以及沿著開口3808的側壁3824的n型金屬閘極層3822的頂面。
參考圖38H,導電填充金屬層3826係形成在n型金屬閘極層3822之上。在一個實施例中,導電填充金屬層3826係利用六氟化鎢(WF6 )前體藉由使用原子層沉積(ALD)來沉積含鎢膜來形成。
在另一個態樣中,說明了用於互補金屬氧化物半導體(CMOS)的半導體裝置的雙矽化物結構。作為範例性程序流程,圖39A-39H顯示了表示根據本發明的實施例的製造雙矽化物式積體電路的方法中的各種操作的截面圖。
參考圖39A,其中NMOS區域和PMOS區域被顯示為在共用基板上分叉,一種製造積體電路結構的方法包含形成第一閘極結構3902,其可以包含在第一鰭3904之上的介電質側壁間隔件3903(如第一矽鰭)。可以包含介電質側壁間隔件3953的第二閘極結構3952係形成在第二鰭3954上(如第二矽鰭)。絕緣材料3906係相鄰於在第一鰭3904之上的第一閘極結構3902形成,並且相鄰於在第二鰭3954之上的第二閘極結構3952形成。在一個實施例中,絕緣材料3906是犧牲材料並且在雙矽化物程序中用作掩模。
參考圖39B,絕緣材料3906的第一部分係從第一鰭3904之上移除,但不從第二鰭3954之上移除,以暴露相鄰在第一閘極結構3902的第一鰭3904的第一源極或汲極區域3908和第二源極或汲極區域3910。在實施例中,如圖所示,第一源極或汲極區域3908和第二源極或汲極區域3910係形成在第一鰭3904的凹陷部分內的磊晶區域。在一個這種實施例中,第一源極或汲極區域3908和第二源極或汲極區域3910包含矽和鍺。
參考圖39C,第一金屬矽化物層3912係形成在第一鰭3904的第一源極或汲極區域3908和第二源極或汲極區域3910上。在一個實施例中,第一金屬矽化物層3912係藉由在圖39B的結構上沉積包含鎳和鉑的層、使包含鎳和鉑的層退火,並且移除包含鎳和鉑的層的未反應部分來形成。
參考圖39D,在形成第一金屬矽化物層3912之後,絕緣材料3906的第二部分係從第二鰭3954上移除,以暴露相鄰於第二閘極結構3952的第二鰭3954的第三源極或汲極區域3958和第四源極或汲極區域3960。在實施例中,如圖所示,第二源極或汲極區域3958和第三源極或汲極區域3960係形成在第二鰭3954(如第二矽鰭)內。然而,在另一實施例中,第三源極或汲極區域3958和第四源極或汲極區域3960係形成在第二鰭3954的凹陷部分內的磊晶區域。在一個這種實施例中,第三源極或汲極區域3958和第四源極或汲極區域3960包含矽。
參考圖39E,第一金屬層3914係形成在圖39D的結構之上,即,在第一源極或汲極區域3908、第二源極或汲極區域3910、第三源極或汲極區域3958和第四源極或汲極區域3960之上。接著第二金屬矽化物層3962係形成在第二鰭3954的第三源極或汲極區域3958和第四源極或汲極區域3960之上。第二金屬矽化物層3962係由第一金屬層3914形成,例如,使用退火程序。在實施例中,第二金屬矽化物層3962的成分與第一金屬矽化物層3912的成分不同。在一個實施例中,第一金屬層3914是或者包含鈦層。在一個實施例中,第一金屬層3914形成為共形金屬層,例如,如圖所示,與圖39D的開放溝槽共形。
參考圖39F,在實施例中,第一金屬層3914係凹陷以在第一源極或汲極區域3908、第二源極或汲極區域3910、第三源極或汲極區域3958和第四源極或汲極區域3960之各者上形成U形金屬層3916。
參考圖39G,在實施例中,第二金屬層3918係形成在圖39F的結構的U形金屬層3916上。在實施例中,第二金屬層3918的成分與U形金屬層3916的成分不同。
參考圖39H,在實施例中,第三金屬層3920係形成在圖39G的結構的第二金屬層3918上。在實施例中,第三金屬層3920具有與U形金屬層3916相同的成分。
再次參考圖3H,根據本發明的實施例,積體電路結構3900包含在基板之上的P型半導體裝置(PMOS)。P型半導體裝置包含第一鰭3904(如第一矽鰭)。應當理解,第一鰭具有頂部(顯示為3904A)和側壁(例如,進入和離開頁面)。第一閘極電極3902包含在第一鰭3904的頂部3904A之上並且與第一鰭3904的側壁橫向相鄰的第一閘極介電質層,並且包含在第一鰭3904的頂部3904A之上的第一閘極介電質層之上並且與第一鰭3904的側壁橫向相鄰的第一閘極電極。第一閘極電極3902具有第一側3902A和與第一側3902A相對的第二側3902B。
第一半導體源極或汲極區域3908和第二半導體源極或汲極區域3910分別與第一閘極電極3902的第一側3902A和第二側3902B相鄰。第一溝槽接點結構3930和第二溝槽接點結構3932位於分別與第一閘極電極3902的第一側3902A和第二側3902B相鄰的第一半導體源極或汲極區域3908和第二半導體源極或汲極區域3910之上。第一金屬矽化物層3912分別直接在第一溝槽接點結構3930和第二溝槽接點結構3932與第一半導體源極或汲極區域3908和第二半導體源極或汲極區域3910之間。
積體電路結構3900包含在基板之上的N型半導體裝置(NMOS)。N型半導體裝置包含第二鰭3954(如第二矽鰭)。應當理解,第二鰭具有頂部(顯示為3954A)和側壁(例如,進入和離開頁面)。第二閘極電極3952包含在第二鰭3954的頂部3954A之上並且與第二鰭3954的側壁橫向相鄰的第二閘極介電質層,並且包含在第二鰭3954的頂部3954A之上的第二閘極介電質層之上並且與第二鰭3954的側壁橫向相鄰的第二閘極電極。第二閘極電極3952具有第一側3952A和與第一側3952A相對的第二側3952B。
第三半導體源極或汲極區域3958和第四半導體源極或汲極區域3960分別與第二閘極電極3952的第一側3952A和第二側3952B相鄰。第三溝槽接點結構3970和第四溝槽接點結構3972係位於分別與第二閘極電極3952的第一側3952A和第二側3952B相鄰的第三半導體源極或汲極區域3958和第四半導體源極或汲極區域3960之上。第二金屬矽化物層3962係分別直接位在第三溝槽接點結構3970和第四溝槽接點結構3972與第三半導體源極或汲極區域3958和第四半導體源極或汲極區域3960之間。在實施例中,第一金屬矽化物層3912包含不包含在第二金屬矽化物層3962中的至少一種金屬物質。
在一個實施例中,第二金屬矽化物層3962包含鈦和矽。第一金屬矽化物層3912包含鎳、鉑和矽。在一個實施例中,第一金屬矽化物層3912還包含鍺。在一個實施例中,第一金屬矽化物層3912還包含鈦,例如,在隨後形成具有第一金屬層3914的第二金屬矽化物層3962期間結合到第一金屬矽化物層3912中。在一個這種實施例中,已經形成在PMOS源極或汲極區域上的矽化物層由用於在NMOS源極或汲極區域上形成矽化物區域的退火程序進一步修改。這可能致使PMOS源極或汲極區域上的矽化物層具有所有矽化金屬的百分比。然而,在其它實施例中,已經形成在PMOS源極或汲極區域上的這種矽化物層不會或基本上不會由用於在NMOS源極或汲極區域上形成矽化物區域的退火程序改變。
在一個實施例中,第一半導體源極或汲極區域3908和第二半導體源極或汲極區域3910是包含矽和鍺的第一和第二嵌入式半導體源極或汲極區域。在一個這種實施例中,第三半導體源極或汲極區域3958和第四半導體源極或汲極區域3960是包含矽的第三和第四嵌入式半導體源極或汲極區域。在另一實施例中,第三半導體源極或汲極區域3958和第四半導體源極或汲極區域3960係形成在鰭3954中並且不是嵌入的磊晶區域。
在實施例中,第一溝槽接點結構3930、第二溝槽接點結構3932、第三溝槽接點結構3970和第四溝槽接點結構3972皆包含U形金屬層3916和在整個U形金屬層3916上面和之上的T形金屬層3918。在一個實施例中,U形金屬層3916包含鈦,而T形金屬層3918包含鈷。在一個實施例中,第一溝槽接點結構3930、第二溝槽接點結構3932、第三溝槽接點結構3970和第四溝槽接點結構3972皆還包含在T形金屬層3918上的第三金屬層3920。在一個實施例中,第三金屬層3920和U形金屬層3916具有相同的成分。在特定實施例中,第三金屬層3920和U形金屬層包含鈦,而T形金屬層3918包含鈷。
在另一個態樣中,描述了例如用在源極或汲極區域的溝槽接點結構。在範例中,圖40A顯示了根據本發明的實施例的具有用於NMOS裝置的溝槽接點的積體電路結構的截面圖。圖40B顯示了根據本發明的另一實施例的具有用於PMOS裝置的溝槽接點的積體電路結構的截面圖。
參考圖40A,一種積體電路結構4000包含諸如矽鰭的鰭4002。閘極介電質層4004係位於鰭4002之上。閘極電極4006係位於閘極介電質層4004之上。在實施例中,閘極電極4006包含共形導電層4008和導電填充物4010。在實施例中,介電質蓋4012係位於閘極電極4006之上且位於閘極介電質層4004之上。閘極電極具有第一側4006A和與第一側4006A相對的第二側4006B。介電質間隔件4013係沿著閘極電極4006的側壁。在一個實施例中,如圖所示,閘極介電質層4004係進一步位於介電質間隔件4013中的第一者和閘極電極4006的第一側4006A之間,以及介電質間隔件4013中的第二者和閘極電極4006的第二側4006B之間。在實施例中,雖然未顯示,薄的氧化物層(如熱或化學氧化矽或二氧化矽層)係位於鰭4002和閘極介電質層4004之間。
第一半導體源極或汲極區域4014和第二半導體源極或汲極區域4016係分別與閘極電極4006的第一側4006A和第二側4006B相鄰。在一個實施例中,如圖所示,第一半導體源極或汲極區域4014和第二半導體源極或汲極區域4016係位於鰭4002中。然而,在另一實施例中,第一半導體源極或汲極區域4014和第二半導體源極或汲極區域4016為形成在鰭4002的凹部的嵌入式磊晶區域。
第一溝槽接點結構4018和第二溝槽接點結構4020係位於分別與閘極電極4006的第一側4006A和第二側4006B相鄰的第一半導體源極或汲極區域4014和第二半導體源極或汲極區域4016之上。第一溝槽接點結構4018和第二溝槽接點結構4020皆包含U形金屬層4022和在整個U形金屬層4022之上的T形金屬層4024。在一個實施例中,U形金屬層4022和T形金屬層4024的成分不同。在一個這種實施例中,U形金屬層4022包含鈦,而T形金屬層4024包含鈷。在一個實施例中,第一溝槽接點結構4018和第二溝槽接點結構4020皆還包含在T形金屬層4024上的第三金屬層4026。在一個這種實施例中,第三金屬層4026和U形金屬層4022具有相同的成分。在特別的實施例中,第三金屬層4026和U形金屬層4022包含鈦,而T形金屬層4024包含鈷。
第一溝槽接點通孔4028係電連接到第一溝槽接點4018。在特定實施例中,第一溝槽接點通孔4028係接通並且耦接到第一溝槽接點4018的第三金屬層4026。第一溝槽接點通孔4028進一步在介電質間隔件4013中之一者的一部分之上並且與其接觸,並且在介電質蓋4012的一部分之上並且與其接觸。第二溝槽接點通孔4030係電連接到第二溝槽接點4020。在特定實施例中,第二溝槽接點通孔4030係接通並且耦接到第二溝槽接點4020的第三金屬層4026。第二溝槽接點通孔4030係進一步在介電質間隔件4013中之另一者的一部分之上並且與其接觸,並且在介電質蓋4012的另一部分之上並且與其接觸。
在實施例中,金屬矽化物層4032係分別直接在第一溝槽接點結構4018和第二溝槽接點結構4020與第一半導體源極或汲極區域4014和第二半導體源極或汲極區域4016之間。在一個實施例中,金屬矽化物層4032包含鈦和矽。在特定的這種實施例中,第一半導體源極或汲極區域4014和第二半導體源極或汲極區域4016是第一和第二N型半導體源極或汲極區域。
參考圖40B,積體電路結構4050包含諸如矽鰭的鰭4052。閘極介電質層4054係位於鰭4052之上。閘極電極4056係位於閘極介電質層4054之上。在實施例中,閘極電極4056包含共形導電層4058和導電填充物4060。在實施例中,介電質蓋4062係位於閘極電極4056之上和閘極介電質層4054之上。閘極電極具有第一側4056A和與第一側4056A相對的第二側4056B。介電質間隔件4063係沿著閘極電極4056的側壁。在一個實施例中,如圖所示,閘極介電質層4054係進一步位於介電質間隔件4063中的第一者和閘極電極4056的第一側4056A之間,以及介電質間隔件4063中的第二者和閘極電極4056的第二側4056B之間。在實施例中,儘管未顯示,薄氧化物層(如熱或化學氧化矽或二氧化矽層)係位於鰭4052和閘極介電質層4054之間。
第一半導體源極或汲極區域4064和第二半導體源極或汲極區域4066係分別與閘極電極4056的第一側4056A和第二側4056B相鄰。在一個實施例中,如圖所示,第一半導體源極或汲極區域4064和第二半導體源極或汲極區域4066是分別形成在鰭4052的凹部4065和4067中的嵌入式磊晶區域。然而,在另一實施例中,第一半導體源極或汲極區域4064和第二半導體源極或汲極區域4066係位於鰭4052中。
第一溝槽接點結構4068和第二溝槽接點結構4070係位於分別與閘極電極4056的第一側4056A和第二側4056B相鄰的第一半導體源極或汲極區域4064和第二半導體源極或汲極區域4066之上。第一溝槽接點結構4068和第二溝槽接點結構4070皆包含U形金屬層4072和在整個U形金屬層4072上面和之上的T形金屬層4074。在一個實施例中,U形金屬層4072和T形金屬層4074的成分不同。在一個這種實施例中,U形金屬層4072包含鈦,而T形金屬層4074包含鈷。在一個實施例中,第一溝槽接點結構4068和第二溝槽接點結構4070皆還包含T形金屬層4074上的第三金屬層4076。在一個這種實施例中,第三金屬層4076和U形金屬層4072具有相同的成分。在特定實施例中,第三金屬層4076和U形金屬層4072包含鈦,而T形金屬層4074包含鈷。
第一溝槽接點通孔4078係電連接到第一溝槽接點4068。在特定實施例中,第一溝槽接點通孔4078係接通並且耦接到第一溝槽接點4068的第三金屬層4076。第一溝槽接點通孔4078係進一步在介電質間隔件4063中之一者的一部分之上並且與其接觸,並且在介電質蓋4062的一部分之上並且與其接觸。第二溝槽接點通孔4080係電連接到第二溝槽接點4070。在特定實施例中,第二溝槽接點通孔4080係接通並且耦接到第二溝槽接點4070的第三金屬層4076。第二溝槽接點通孔4080係進一步在介電質間隔件4063中之另一者的一部分之上並且與其接觸,並且在介電質蓋4062的另一部分之上並且與其接觸。
在實施例中,金屬矽化物層4082係分別直接在第一溝槽接點結構4068和第二溝槽接點結構4070與第一半導體源極或汲極區域4064和第二半導體源極或汲極區域4066之間。在一個實施例中,金屬矽化物層4082包含鎳、鉑和矽。在特定的這種實施例中,第一半導體源極或汲極區域4064和第二半導體源極或汲極區域4066是第一和第二P型半導體源極或汲極區域。在一個實施例中,金屬矽化物層4082還包含鍺。在一個實施例中,金屬矽化物層4082還包含鈦。
本文所描述的一或多個實施例關於用於環繞式半導體接點使用金屬化學氣相沉積。實施例可適用於或包含化學氣相沉積(CVD)、電漿增強化學氣相沉積(PECVD)、原子層沉積(ALD)、導電接點製造或薄膜中的一或多種。
具體實施例可以包含使用接點金屬的低溫(例如,小於500攝氏度,或在400至500攝氏度的範圍內)化學氣相沉積製造鈦或類似的金屬層,以提供共形源極或汲極接點。這種共形源極或汲極接點的實現可以改善三維(3D)電晶體互補金屬氧化物半導體(CMOS)效能。
為了提供背景,金屬至半導體接觸層可以使用濺鍍來沉積。濺鍍是一種視線程序,可能不太適合3D電晶體製造。已知的濺鍍溶液在與沉積的入射角成一角度之裝置接觸表面上具有不佳或不完整的金屬半導體接面。
根據本發明一或多個實施例,實現了低溫化學氣相沉積程序以供製造接點金屬,以在三維空間中提供共形性和最大化金屬半導體接面的接觸面積。產生的更大接觸面積可能會降低接面的電阻。實施例可以包含在具有不平坦形貌的半導體表面上的沉積,其中區域的形貌指的是表面形狀和特徵本身,而不平坦的形貌包含不平坦的表面形狀和特徵或表面形狀的部分和特徵,即不完全平坦的表面形狀和特徵。
本文中所述的實施例可包括環繞式接點結構之製造。在一個這種實施例中,描述了藉由化學氣相沉積、電漿加強化學氣相沉積、原子層沉積、或電漿加強原子層沉積而共形地沉積於電晶體源極-汲極接點上的純金屬之使用。這種共形沉積可被用來增加金屬半導體接點之可用面積並減少電阻值,其改善了電晶體裝置之效能。在實施例中,該沉積的相對低溫度導致每單位面積之接面的最小化電阻值。
應當理解,多種積體電路結構可使用涉及如本文中所述的金屬層沉積程序的整合方案來製造。根據本發明的實施例,一種製造積體電路結構之方法包括提供基板於具有RF來源之化學氣相沉積(CVD)室中,該基板具有特徵於其上。該方法也包括反應四氯化鈦(TiCl4 )與氫(H2 )以在該基板的該特徵上形成鈦(Ti)層。
在實施例中,鈦層具有包括98%或更多鈦,以及0.5%至2%的氯之總原子組成。在替代實施例中,類似程序被用來製造鋯(Zr)、鉿(Hf)、鉭(Ta)、鈮(Nb)、或釩(V)之高純度金屬層。在實施例中,有相對少的膜厚度變化,例如,在實施例中,所有覆蓋範圍係大於50%且額定為70%或更大(也就是說,30%或更小的厚度變化)。在實施例中,相較在其它表面,在矽(Si)或矽鍺(SiGe)上,厚度是可測量地較厚的,因為Si或SiGe在沉積期間反應而加速Ti之攝取。在實施例中,膜組成包括約0.5%的Cl(或少於1%)為雜質,基本上無其他觀察到的雜質。在實施例中,該沉積程序致使在非視線表面(諸如由濺射沉積視線所隱藏的表面)上之金屬覆蓋。本文中所述的實施例可被實現以藉由減少透過源極和汲極接點來驅動的電流之外部電阻值來改善電晶體裝置驅動。
根據本發明的實施例,該基板之該特徵為源極或汲極接觸溝槽,其暴露半導體源極或汲極結構。鈦層(或其他高純度金屬層)為用於半導體源極或汲極結構之導電接觸層。這種實現之範例實施例係與圖41A、41B、42、43A-43C及44相關聯來描述於下。
圖41A顯示根據本發明的實施例的一種在源極或汲極區域上具有導電接點的半導體裝置之橫截面圖。
參考圖41A,半導體結構4100包含基板4104上的閘極結構4102。閘極結構4102包含閘極介電質層4102A、功函數層4102B和閘極填充物4102C。源極區域4108和汲極區域4110係位於閘極結構4102的相對側上。源極或汲極接點4112係電連接到源極區域4108和汲極區域4110,並且與閘極結構4102間隔開層間介電質層4114或閘極介電質間隔件4116中之一者或兩者。源極區域4108和汲極區域4110是基板4104的區域。
在一個實施例中,如上述,源極或汲極接點4112包含高純度的金屬層4112A,和導電溝槽填充材料4112B。在一個實施例中,高純度金屬層4112A具有包含98%或更多鈦的總原子組成。在一個這種實施例中,高純度金屬層4112A的總原子組成還包含0.5至2%的氯。在實施例中,高純度金屬層4112A具有30%或更小的厚度變化。在實施例中,導電溝槽填充材料4112B由導電材料構成,諸如但不限於Cu、Al、W或其合金。
圖41B顯示了根據本發明的實施例的具有凸起的源極或汲極區域上的導電的另一半導體裝置的截面圖。
參考圖41B,半導體結構4150包含在基板4154之上的閘極結構4152。閘極結構4152包含閘極介電質層4152A、功函數層4152B和閘極填充物4152C。源極區域4158和汲極區域4160係位於閘極結構4152的相對側。源極或汲極接點4162電連接到源極區域4158和汲極區域4160,並且與閘極結構4152間隔開層間介電質層4164或閘極介電質間隔件4166中之一者或兩者。源極區域4158和汲極區域4160係形成在基板4154的蝕刻掉區域中的磊晶或嵌入式材料區域。如圖所示,在實施例中,源極區域4158和汲極區域4160是凸起的源極和汲極區域。在特定的這種實施例中,凸起的源極和汲極區域是凸起的矽源極和汲極區域或凸起的矽鍺源極和汲極區域。
在實施例中,如上述,源極或汲極接點4162包含高純度的金屬層4162A,和導電溝槽填充材料4162B。在一個實施例中,高純度金屬層4162A具有包含98%或更多鈦的總原子組成。在一個這種實施例中,高純度金屬層4162A的總原子組成還包含0.5至2%的氯。在實施例中,高純度金屬層4162A具有30%或更小的厚度變化。在實施例中,導電溝槽填充材料4162B由導電材料構成,諸如但不限於Cu、Al、W或其合金。
因此,在實施例中,一併參考圖41A和41B,積體電路結構包含具有表面的特徵(暴露半導體源極或汲極結構的源極或汲極接點溝槽)。高純度的金屬層4112A或者4162A係位在源極或汲極接點溝槽的表面上。應當理解,接點形成程序可關於消耗源極或汲極區域的暴露的矽或鍺或矽鍺材料。這種消耗會降低裝置效能。相對地,根據本發明的實施例,半導體源極(4108或4158)或汲極(4110或4160)結構的表面(4149或4199)不被侵蝕或消耗,或者基本上在源極或汲極接點溝槽下方不被侵蝕或消耗。在一個這種實施例中,消耗或侵蝕的缺乏源於高純度金屬接點層的低溫沉積。
圖42顯示了根據本發明的實施例的在一對半導體鰭上的複數個條閘極線的平面圖。
參考圖42,複數個條主動閘極線4204係形成在複數個半導體鰭4200上。虛設閘極線4206係位於複數個半導體鰭4200的端部。閘極線4204/4206之間的間隔4208位於可以形成溝槽接點,作為源極或汲極區域(如源極或汲極區域4251、4252、4253和4254)的導電接點的位置。
圖43A-43C顯示根據本發明的實施例的用於一種製造積體電路結構之方法中的各種操作之沿著圖42的a-a’軸所擷取之橫截面圖。
參考圖43A,複數個條主動閘極線4304係形成在基板4300上形成的半導體鰭4302上。虛設閘極線4306係在半導體鰭4302的端部處。介電質層4310係位於主動閘極線4304之間、在虛設閘極線4306和主動閘極線4304之間,以及虛設閘極線4306的外部。嵌入式源極或汲極結構4308係位於主動閘極線4304之間以及虛設閘極線4306與主動閘極線4304之間的半導體鰭4302中。主動閘極線4304包含閘極介電質層4312,功函數閘極電極部分4314和填充閘極電極部分4316和介電質蓋層4318。介電質間隔件4320襯於主動閘極線4304和虛設閘極線4306的側壁。
參考圖43B,主動閘極線4304之間和虛設閘極線4306與主動閘極線4304之間的介電質層4310的部分被移除,以在溝槽接點將要形成的位置提供開口4330。如圖43B所示,移除主動閘極線4304之間以及虛設閘極線4306與主動閘極線4304之間的介電質層4310的部分可致使嵌入式源極或汲極結構4308的侵蝕,以提供被侵蝕的嵌入式源極或汲極結構4332,其可以具有上鞍形的形貌。
參考圖43C,溝槽接點4334形成在主動閘極線4304之間和虛設閘極線4306與主動閘極線4304之間的開口4330中。溝槽接點4334中之各者之間可以包含金屬接觸層4336和導電填充材料4338。
圖44顯示了根據本發明的實施例的用於積體電路結構,沿著圖42的b-b’軸採取的截面圖。
參考圖44,鰭4402在基板4404上描繪。鰭4402的下部由溝槽隔離材料4404包圍。鰭4402的上部已經被移除,以致使嵌入式源極和汲極結構4406的生長。溝槽接點4408係形成在介電質層4410的開口中,該開口暴露出嵌入式源極和汲極結構4406。溝槽接點包含金屬接觸層4412和導電填充材料4414。應當理解,根據實施例,如圖44所示,金屬接觸層4412延伸到溝槽接點4408的頂部。然而,在另一實施例中,金屬接觸層4412不延伸到溝槽接點4408的頂部並且稍微凹入溝槽接點4408內,例如,類似於圖43C中的金屬接觸層4336的描繪。
因此,一併參考圖42、43A-43C和44,根據本發明的實施例,積體電路結構包含在基板(4300、4400)之上的半導體鰭(4200、4302、4402)。半導體鰭(4200、4302、4402)具有頂部和側壁。閘極電極(4204、4304)在頂部之上並且與半導體鰭(4200、4302、4402)的一部分的側壁相鄰。閘極電極(4204、4304)定義了在半導體鰭(4200、4302、4402)中的通道區域。第一半導體源極或汲極結構(4251、4332、4406)係位於閘極電極(4204、4304)的第一側的通道區域的第一端部,第一半導體源極或汲極結構(4251、4332、4406)具有不平坦的形貌。第二半導體源極或汲極結構(4252、4332、4406)係位於閘極電極(4204、4304)的第二側的通道區域的第二端部,第二端部與第一端部相對,而第二側與第一側相對。第二半導體源極或汲極結構(4252、4332、4406)具有不平坦的形貌。金屬接點材料(4336、4412)係直接在第一半導體源極或汲極結構(4251、4332、4406)上並且直接在第二半導體源極或汲極結構(4252、4332、4406)上。金屬接點材料(4336、4412)與第一半導體源極或汲極結構(4251、4332、4406)的不平坦形貌共形,並且與第二半導體源極或汲極結構(4252、4332、4406)的不平坦形貌共形。
在實施例中,金屬接點材料(4336、4412)具有包含95%或更多的單一金屬物質的總原子組成。在一個這種實施例中,金屬接點材料(4336、4412)具有包含98%或更多鈦的總原子組成。在具體的這種實施例中,金屬接點材料(4336、4412)的總原子組成還包含0.5至2%的氯。在實施例中,金屬接點材料(4336、4412)沿著第一半導體源極或汲極結構(4251、4332、4406)的不平坦形貌和沿著第二半導體源極或汲極結構(4252、4332、4406)的不平坦形貌具有30%或更小的厚度變化。
在實施例中,第一半導體源極或汲極結構(4251、4332、4406)的不平坦形貌和第二半導體源極或汲極結構(4252、4332、4406)的不平坦形貌都包含凸起的中央部分和下側部分,例如,如圖44所示。在實施例中,第一半導體源極或汲極結構(4251、4332、4406)的不平坦形貌和第二半導體源極或汲極結構(4252、4332、4406)的不平坦形貌皆包含鞍形部分,例如,如圖43C所示。
在實施例中,第一半導體源極或汲極結構(4251、4332、4406)和第二半導體源極或汲極結構(4252、4332、4406)都包含矽。在實施例中,第一半導體源極或汲極結構(4251、4332、4406)和第二半導體源極或汲極結構(4252、4332、4406)都還包含鍺,例如,以矽鍺的形式。
在實施例中,直接在第一半導體源極或汲極結構(4251、4332、4406)上的金屬接點材料(4336、4412)進一步沿著在第一半導體源極或汲極結構(4251、4332、4406)之上的介電質層(4320、4410)中的溝槽的側壁,該溝槽暴露第一半導體源極或汲極結構(4251、4332、4406)的一部分。在一個這種實施例中,沿著溝槽側壁的金屬接點材料(4336)的厚度從第一半導體源極或汲極結構(在4332處的4336A)薄化到第一半導體源極或汲極結構(4332)之上的位置(4336B),其範例在圖43C中顯示。在實施例中,導電填充材料(4338、4414)係位於溝槽內的金屬接點材料(4336、4412)上,如圖43C和44所示。
在實施例中,積體電路結構還包含具有頂部和側壁的第二半導體鰭(例如,圖42的上鰭4200、4302、4402)。閘極電極(4204、4304)進一步在所述頂部之上並且與第二半導體鰭的一部分的側壁相鄰,閘極電極定義了第二半導體鰭中的通道區域。第三半導體源極或汲極結構(4253、4332、4406)係位於閘極電極(4204、4304)的第一側的第二半導體鰭的通道區域的第一端部,第三半導體源極或汲極結構具有不平坦的形貌。第四半導體源極或汲極結構(4254、4332、4406)係位於閘極電極(4204、4304)的第二側的第二半導體鰭的通道區域的第二端部,第二端部與第一端部相對,第四半導體源極或汲極結構(4254、4332、4406)具有不平坦的形貌。金屬接點材料(4336、4412)直接在第三半導體源極或汲極結構(4253、4332、4406)上並且直接在第四半導體源極或汲極結構(4254、4332、4406)上,金屬接點材料(4336、4412)與第三半導體源極或汲極結構(4253、4332、4406)的不平坦形貌共形並且與第四半導體源極或汲極結構(4254、4332、4406)的不平坦形貌共形。在實施例中,金屬接點材料(4336、4412)在第一半導體源極或汲極結構(4251、4332、左側4406)和第三半導體源極或汲極結構(4253、4332、右側4406)之間連續,並且在第二半導體源極或汲極結構(4252)與第四半導體源極或汲極結構(4254)之間連續。
在另一態樣中,硬掩模材料可以用於保存(抑制侵蝕)(並且可以被保留)溝槽中的介電質材料所在導電溝槽接點被中斷的位置,例如,在接點插塞的位置。例如,圖45A和45B分別顯示了根據本發明的實施例的包含具有硬掩模材料的溝槽接點插塞的積體電路結構的平面圖和對應的截面圖。
參考圖45A和45B,在實施例中,積體電路結構4500包含諸如矽鰭的鰭4502A。複數個閘極結構4506係位於鰭4502A之上。閘極結構4506中之各者沿著與鰭4502A正交的方向4508並且具有一對介電質側壁間隔件4510。溝槽接點結構4512係位於鰭4502A之上並且直接位在第一對4506A/4506B的閘極結構4506的介電質側壁間隔件4510之間。接點插塞4514B係位於鰭4502A之上並且直接位在第二對4506B/4506C的閘極結構4506的介電質側壁間隔件4510之間。接點插塞4514B包含下部介電質材料4516和/或上部硬掩模材料4518。
在一個實施例中,接點插塞4516B的下部介電質材料4516包含矽和氧,例如,諸如氧化矽或二氧化矽材料。接點插塞4516B的上部硬掩模材料4518包含矽和氮,例如,諸如氮化矽、富矽氮化物或貧矽氮化物材料。
在實施例中,溝槽接點結構4512包含下部導電結構4520和下部導電結構4520上的介電質蓋4522。在一個實施例中,如圖所示,溝槽接點結構4512的介電質蓋4522具有與接點插塞4514B的上部硬掩模材料4518的上表面共平面的上表面。
在實施例中,複數個閘極結構4506中之各者包含閘極介電質層4526上的閘極電極4524。介電質蓋4528係在閘極電極4524上。在一個實施例,如圖所示,複數個閘極結構4506中之各者的介電質蓋4528具有與接點插塞4514B的上部硬掩模材料4518的上表面共平面的上表面。在實施例中,儘管未顯示,薄氧化物層(如熱或化學氧化矽或二氧化矽層)係位於鰭4502A和閘極介電質層4526之間。
再次參考圖45A和45B,在實施例中,積體電路結構4500包含如複數個矽鰭的複數個鰭4502。複數個鰭4502中之各者係沿著第一方向4504。複數個閘極結構4506係在複數個鰭4502之上。複數個閘極結構4506中之各者係沿著與第一方向4504正交的第二方向4508。複數個閘極結構4506中之各者具有一對介電質側壁間隔件4510。溝槽接點結構4512係位於複數個鰭4502的第一鰭4502A之上,並且直接位在一個對閘極結構4506的介電質側壁間隔件4510之間。接點插塞4514A係位於複數個鰭4502的第二鰭4502B之上,並且直接位於該對閘極結構4506的介電質側壁間隔件4510之間。類似於接點插塞4514B的截面圖,接點插塞4514A包含下部介電質材料4516和上部硬掩模材料4518。
在實施例中,接點插塞4516A的下部介電質材料4516包含矽和氧,例如,諸如氧化矽或二氧化矽材料。接點插塞4516A的上部硬掩模材料4518包含矽和氮,例如,諸如氮化矽、富矽氮化物或貧矽氮化物材料。
在實施例中,溝槽接點結構4512包含下部導電結構4520和在下部導電結構4520上的介電質蓋4522。在一個實施例中,如圖所示,溝槽接點結構4512的介電質蓋4522具有與接點插塞4514A或4514B的上部硬掩模材料4518的上表面共平面的上表面。
在實施例中,複數個閘極結構4506中之各者包含閘極介電質層4526上的閘極電極4524。介電質蓋4528係在閘極電極4524之上。在一個實施例中,如圖所示,複數個閘極結構4506中之各者的介電質蓋4528具有與接點插塞4514A或4514B的上部硬掩模材料4518的上表面共平面的上表面。在實施例中,儘管未顯示,薄氧化物層(如熱或化學氧化矽或二氧化矽層)係位於鰭4502A和閘極介電質層4526之間。
本發明的一或多個實施例係關於閘極對準的接點程序。可以實施這種程序以形成用於半導體結構製造的接點結構,例如,用於積體電路製造。在實施例中,接點圖案形成為與現有的閘極圖案對齊。相比之下,其它方法通常關於具有額外的微影程序,其中微影接點圖案與現有閘極圖案緊密配準,並且結合選擇性接點蝕刻。例如,另一個程序可以包含利用接點和接點插塞的單獨圖案化來圖案化多晶矽(閘極)柵格。
根據本文所述的一或多個實施例,接點形成的方法包含形成接點圖案,該接點圖案基本上與現有的閘極圖案完全對準,同時消除了使用具有極其嚴格的重合預算的微影操作。在一個這種實施例中,此方式致使了本質上高度選擇性的濕式蝕刻(例如,相對於乾式或電漿蝕刻)之使用,以產生接點開口。在實施例中,接點圖案係藉由利用現存的閘極圖案結合接點插塞微影操作來形成。在一個這種實施例中,該方式致使免除了用以產生接點圖案之其他關鍵微影操作(如其他方式中所使用者)的需求。在實施例中,溝槽接點柵格未被分離地圖案化,而是被形成在多晶矽(閘極)線之間。例如,在一個這種實施例中,溝槽接點柵格被形成在接續於閘極光柵圖案化後但在閘極光柵切割前。
圖46A-46D顯示根據本發明的實施例的一種製造包括具有硬掩模材料於其上之溝槽接點插塞的積體電路結構之方法中的各種操作之橫截面圖。
參考圖46A,製造積體電路結構的方法包含形成複數個鰭,複數個鰭之個別者4602沿著第一方向4604。複數個鰭之個別者4602可以包含擴散區域4606。複數個閘極結構4608係形成在複數個鰭上。複數個閘極結構4508之個別者係沿著與第一方向4604正交的第二方向4610(例如,方向4610係進入和離開頁面)。犧牲材料結構4612係形成在第一對閘極結構4608之間。接點插塞4614係位在第二對閘極結構4608之間。接點插塞包含下部介電質材料4616。硬掩模材料4618係位於下部介電質材料4616上。
在實施例中,閘極結構4608包含犧牲或虛設閘極堆疊和介電質間隔件4609。該犧牲或虛設閘極堆疊可以由多晶矽或氮化矽柱或其它一些犧牲材料組成,其可以被稱作閘極虛設材料。
參考圖46B,犧牲材料結構4612係從圖46A的結構中移除,以形成第一對閘極結構4608之間的開口4620。
參考圖46C,溝槽接點結構4622形成在第一對閘極結構4608之間的開口4620中。此外,在實施例中,作為形成溝槽接點結構4622的一部分,圖46A和46B的硬掩模4618是平面化的。最終完成的接點插塞4614’包含下部介電質材料4616和由硬掩模材料4618形成的上部硬掩模材料4624。
在一個實施例中,接點插塞4614’中之各者的下部介電質材料4616包含矽和氧,而接點插塞4614’中之各者的上部硬掩模材料4624包含矽和氮。在實施例中,溝槽接點結構4622中之各者包含下部導電結構4626和在下部導電結構4626上的介電質蓋4628。在一個實施例中,溝槽接點結構4622的介電質蓋4628具有與接點插塞4614’的上部硬掩模材料4624的上表面共平面的上表面。
參考圖46D,閘極結構4608的犧牲或虛設閘極堆疊在置換閘極程序方案中被替換。在這種方案中,虛設閘極材料(如多晶矽或氮化矽柱材料)被移除,並且用永久閘極電極材料取代。在一個這種實施例中,也在此程序中形成永久閘極介電質層,而不是在較早的處理中進行。
因此,永久閘極結構4630包括永久閘極介電質層4632及永久閘極電極層或堆疊4634。此外,在實施例中,永久閘極結構4630之頂部部分被移除(例如,藉由蝕刻程序),並以介電質蓋4636取代。在實施例中,永久閘極結構4630之個別者的介電質蓋4636具有一上表面,其與接點插塞4614’之上硬掩模材料4624的上表面共平面。
再次參考圖46A-46D,如圖所示,在實施例中,置換閘極程序在形成溝槽接點結構4622之後被接續進行。然而,依據其他實施例,置換閘極程序在形成溝槽接點結構4622之前被進行。
在另一個態樣中,描述了主動閘極上方的接點(COAG)結構以及程序。本發明的一或多個實施例係關於半導體結構或裝置,其具有配置在該些半導體結構或裝置之閘極電極的主動部分上方的一或多個閘極接點結構(例如,作為閘極接點通孔)。本發明的一或多個實施例係關於半導體結構或裝置之製造方法,該些半導體結構或裝置具有形成於該些半導體結構或裝置之閘極電極的主動部分上方的一或多個閘極接點結構。本文中所述的方式可被用來藉由致使主動閘極區域上方之閘極接點形成來減少標準單元面積。在一或多個實施例中,被製造以接觸閘極電極之閘極接點結構為自對準通孔結構。
與目前世代空間及佈局約束條件相較之下,空間及佈局約束條件稍微放寬的技術中,對於閘極結構之接點可藉由形成對於隔離區域上方所配置之閘極電極的一部分之接點來製造。舉例而言,圖47A顯示一種具有配置在閘極電極之非主動部分上方的閘極接點之半導體裝置的平面圖。
參考圖47A,半導體結構或裝置4700A包含設置在基板4702中並且係位於隔離區域4706內的擴散或主動區域4704。一或多條閘極線(也稱為多晶矽線)(如閘極線4708A、4708B和4708C)係設置在擴散或主動區域4704之上,以及隔離區域4706的一部分之上。源極或汲極接點(也稱為溝槽接點)(如接點4710A和4710B)係設置在半導體結構或裝置4700A的源極和汲極區域之上。溝槽接點通孔4712A和4712B分別提供與溝槽接點4710A和4710B的接觸。單獨的閘極接點4714和覆蓋的閘極接點通孔4716提供與閘極線4708B的接觸。相對在源極或汲極溝槽接點4710A或4710B,從平面圖的角度看,閘極接點4714係設置在隔離區域4706之上,但不在擴散或主動區域4704之上。此外,閘極接點4714和閘極接點通孔4716都不設置在源極或汲極溝槽接點4710A和4710B之間。
圖47B顯示一種具有配置在閘極電極之非主動部分上方的閘極接點之非平面半導體裝置的橫截面圖。參考圖47B,半導體結構或裝置4700B(例如,圖47A之裝置4700A的非平面版本)包括從基板4702形成(且於隔離區域4706內)之非平面擴散或主動區域4704C(例如,鰭結構)。閘極線4708B被配置在非平面擴散或主動區域4704B上方以及在隔離區域4706之一部分上方。如圖所示,閘極線4708B包括閘極電極4750及閘極介電質層4752,連同介電質蓋層4754。閘極接點4714、及上覆閘極接點通孔4716也從此透視圖看出,連同上覆金屬互連4760,其均被配置在層間介電質堆疊或層4770中。也從圖47B之透視圖看出,閘極接點4714被配置在隔離區域4706上方,而不是在非平面擴散或主動區域4704B上方。
再次參考圖47A及47B,半導體結構或裝置4700A及4700B之配置係個別地將閘極接點置於隔離區域上方。這種配置浪費了佈局空間。然而,將閘極接點置於主動區域上方將需要極度嚴格的登錄預算或者閘極尺寸將必須增加以提供足夠的空間來放置閘極接點。再者,歷史上,對於擴散區域上方之閘極的接點已被避免了貫穿其他閘極材料(例如,多晶矽)而接觸下方主動區域的風險。本文中所述的一或多個實施例藉由提供可行的方式(及所得的結構)來製造接觸在擴散或主動區域上方所形成之閘極電極的部分之接點結構來處理上述問題。
舉例而言,圖48A顯示了根據發明的實施例具有設置在閘極電極的主動部分上的閘極接點通孔的半導體裝置的平面圖。參考圖48A,半導體結構或裝置4800A包含設置在基板4802中並且位於隔離區域4806內的擴散或主動區域4804。一或多條閘極線(如閘極線4808A、4808B和4808C)係設置在擴散或主動區域4804之上,以及隔離區域4806的一部分之上。源極或汲極溝槽接點(如溝槽接點4810A和4810B)係設置在半導體結構或裝置4800A的源極和汲極區域之上。溝槽接點通孔4812A和4812B分別提供與溝槽接點4810A和4810B的接觸。(沒有插入單獨的閘極接點層的)閘極接點通孔4816提供與閘極線4808B的接觸。與圖47A相比,從平面圖的角度,閘極接點4816係設置在擴散或主動區域4804上以及源極或汲極接點4810A和4810B之間。
圖48B顯示根據本發明的實施例的具有設置在閘極電極的主動部分之上的閘極接點通孔的非平面半導體裝置的截面圖。參考圖48B,半導體結構或裝置4800B(例如圖48A的裝置4800A的非平面形式)包含由基板4802形成,並且在隔離區域4806內的非平面擴散或主動區域4804B(例如,鰭結構)。閘極線4808B係設置在非平面擴散或主動區域4804B之上,以及隔離區域4806的一部分之上。如圖所示,閘極線4808B包含閘極電極4850和閘極介電質層4852,以及介電質蓋層4854。從此透視圖也可以看到閘極接點通孔4816,以及上覆的金屬互連4860,兩者都設置在層間介電質堆疊或層4870中。從圖48B的角度來看,閘極接點通孔4816係設置在非平面擴散或主動區域4804B上。
因此,再次參考圖48A和48B,在實施例中,溝槽接點通孔4812A、4812B和閘極接點通孔4816係形成在同一層中,並且基本上共平面。與圖47A和47B相比,對於閘極線的接點將包含和額外的閘極接點層,例如,可以垂直於對應的閘極線運行。然而,在結合圖48A和48B描述的結構中,結構4800A和4800B的製造分別使得接點能夠直接從主動閘極部分上的金屬互連層著陸而不會與相鄰的源極汲極區域短路。在實施例中,這種佈置藉由消除在隔離上延伸電晶體閘極以形成可靠接點的需要來提供電路佈局的大面積減少。如全文所使用的,在實施例中,對於閘極的主動部分的參考是指設置在底層基板的主動或擴散區域上(從平面圖的角度看)的閘極線或結構的部分。在實施例中,參考閘極的非主動部分指的是設置在底層基板的隔離區域上(從平面圖的角度看)的閘極線或結構的部分。
在實施例中,半導體結構或裝置4800是非平面裝置,諸如(但不限於)鰭式FET或三閘極裝置。在這種實施例中,對應的半導體通道區域係由三維體構成或形成在三維體中。在一個這種實施例中,閘極線4808A-4808C的閘極電極堆疊至少圍繞三維體的頂面和一對側壁。在另一個實施例中,至少通道區域被製成分離的三維體,如在環繞式閘極裝置中。在一個這種實施例中,閘極線4808A-4808C的閘極電極堆疊各自完全圍繞通道區域。
更一般地,一或多個實施例關於用以將閘極接點通孔直接地放置於主動電晶體閘極上之方式(以及由此所形成的結構)。這種方法可以消除為了接觸而在隔離時延長閘極線的需要。這些方法還可以消除為了傳導來自閘極線或結構的訊號而對單獨的閘極接點(GCN)層的需要。在實施例中,藉由使溝槽接點(TCN)中的接觸金屬凹陷並且在程序流程(例如,TILA)中引入額外的介電質材料來實現消除上述特徵。包含額外的介電質材料作為溝槽接點介電質蓋層,其蝕刻特性不同於已經用於閘極對準接觸程序(GAP)處理方案(例如,GILA)中的溝槽接點對準的閘極介電質材料蓋層。
作為範例性製造方案,圖49A-49D顯示了根據本發明的實施例的表示一種製造具有配置在閘極之主動部分上方的閘極接點結構之半導體結構的方法中之各種操作的截面圖。
參考圖49A,半導體結構4900提供以下溝槽接點(TCN)的形成。應當理解,結構4900的特定佈置僅用於說明目的,且各種可能的佈局可受益於本文中所述本發明的實施例。半導體結構4900包含一或多個閘極堆疊結構,如設置在基板4902之上的閘極堆疊結構4908A-4908E。閘極堆疊結構可以包含閘極介電質層和閘極電極。溝槽接點,例如,對於基板4902的擴散區域的接點(如溝槽接點4910A-4910C)也包含在結構4900中,並且藉由介電質間隔件4920與閘極堆疊結構4908A-4908E間隔開。如圖49A中所示,絕緣覆蓋層4922可以設置在閘極堆疊結構4908A-4908E(例如,GILA)上。也是如圖49A中所示,接點阻擋區域或「接點插塞」(如由層間介電質材料製成的區域4923)可以包含在要阻擋接點形成的區域中。
在實施例中,提供結構4900關於形成接點圖案,該接點圖案基本上與現有的閘極圖案完全對準,同時消除了使用具有極度嚴格的登錄預算的微影操作。在一個這種實施例中,此方法使得能夠使用本質上高度選擇性的濕式蝕刻(例如,相對於乾式或電漿蝕刻)來產生接點開口。在實施例中,接點圖案係藉由利用現有的閘極圖案結合接點插塞微影操作來形成。在一個這種實施例中,該方法使得能夠消除對用來產生接點圖案的其它關鍵微影操作的需要,如在其它方法中所使用的。在實施例中,溝槽接點柵格不是單獨圖案化的,而是形成在多晶矽(閘極)線之間。例如,在一個這種實施例中,溝槽接點柵格係在閘極光柵圖案化之後但在閘極光柵切割之前形成。
此外,閘極堆疊結構4908A-4908E可藉由置換閘極程序來製造。在這種方案中,諸如多晶矽或氮化矽柱材料的虛設閘極材料可以被移除,並且用永久閘極電極材料取代。在一個這種實施例中,永久閘極介電質層也形成在此程序中,而不是從較早的處理中進行。在實施例中,虛設閘極係藉由乾式蝕刻或濕式蝕刻程序來移除。在一個實施例中,虛設閘極係由多晶矽或非晶矽構成,並且藉由包含SF6 的乾式蝕刻程序來移除。在另一個實施例中,虛設閘極係由多晶矽或非晶矽組成,並且用包含NH4 OH水溶液或氫氧化四甲銨的濕式蝕刻程序來移除。在一個實施例中,虛設閘極係由氮化矽構成,並且用包含含水磷酸的濕式蝕刻來移除。
在實施例中,本文描述的一或多種方法考慮基本上由虛設和置換閘極程序結合虛設和置換接點程序達成結構4900。在一個這種實施例中,置換接點程序係在置換閘極程序之後進行,以允許永久閘極堆疊的至少一部分的高溫退火。例如,在特定的這種實施例中,永久閘極結構的至少一部分的退火(例如在形成閘極介電質層之後)係在大於約600攝氏度的溫度下進行。退火係在形成永久接點之前進行。
參考圖49B,結構4900的溝槽接點4910A-4910C係在間隔件4920內凹陷,以提供具有低於間隔件4920的頂面和絕緣覆蓋層4922的高度的凹陷溝槽接點4911A-4911C。絕緣覆蓋層4924接著在凹陷溝槽接點4911A-4911C(例如,TILA)上形成。根據本發明的實施例,凹陷溝槽接點4911A-4911C上的絕緣覆蓋層4924由具有與閘極堆疊結構4908A-4908E上的絕緣覆蓋層4922不同的蝕刻特性的材料構成。如將在隨後的程序操作中看到的,可以利用這種差異來選擇性地從4922/4924中的另一個蝕刻4922/4924中的一個。
溝槽接點4910A-4910C可以藉由對於間隔件4920和絕緣覆蓋層4922的材料具有選擇性的程序來凹陷。例如,在一個實施例中,溝槽接點4910A-4910C係藉由諸如濕式蝕刻程序或乾式蝕刻程序的蝕刻程序來凹陷。絕緣覆蓋層4924可以藉由適於在溝槽接點4910A-4910C的暴露部分之上提供共形和密封層的程序來形成。例如,在一個實施例中,絕緣覆蓋層4924係藉由化學氣相沉積(CVD)程序形成在為整個結構之上的共形層。接著例如藉由化學機械研磨(CMP)來平面化共形層,以僅在溝槽接點4910A-4910C之上提供絕緣覆蓋層4924的材料,並且再次暴露間隔件4920和絕緣覆蓋層4922。
關於用於絕緣覆蓋層4922/4924的合適材料組合,在一個實施例中,一對4922/4924中的一者係由氧化矽構成,而另一者係由氮化矽構成。在另一個實施例中,一對4922/4924中的一者係由氧化矽構成,而另一者係由碳摻雜的氮化矽構成。在另一個實施例中,一對4922/4924中的一者係由氧化矽構成,而另一者係由碳化矽構成。在另一個實施例中,一對4922/4924中的一者係由氮化矽構成,而另一者係由碳摻雜的氮化矽構成。在另一個實施例中,一對4922/4924中的一者係由氮化矽構成,而另一者係由碳化矽構成。在另一實施例中,一對4922/4924中的一者係由碳摻雜的氮化矽構成,而另一者係由碳化矽構成。
參考圖49C,層間介電質(ILD)4930和硬掩模4932堆疊被形成並且圖案化,以提供,例如,在圖49B的結構之上圖案化的金屬(0)溝槽4934。
層間介電質(ILD)4930可以由適於電隔離最終形成在其中的金屬特徵,同時保持前端和後段處理之間的堅固結構的材料構成。此外,在實施例中,如下面結合圖49D更詳細地描述的,ILD 4930的成分被選擇,以與溝槽接點介電質蓋層圖案化的通孔蝕刻選擇性一致。在一個實施例中,ILD 4930係由氧化矽之單或數層所組成或者由碳摻雜的氧化物(CDO)材料之單或數層所組成。然而,在其它實施例中,ILD 4930具有雙層組成,其頂部部分係由不同於ILD 4930之下方底部部分的材料所組成。硬掩模層4932可由一種適於作為後續犧牲層之材料所組成。例如,在一個實施例中,硬掩模層4932係實質上由碳所組成,例如,作為交聯有機聚合物之層。在其它實施例中,氮化矽或碳摻雜的氮化矽被使用為硬掩模4932。層間介電質(ILD)4930及硬掩模4932堆疊可藉由一種微影及蝕刻程序來圖案化。
參考圖49D,通孔開口4936(例如,VCT)被形成於層間介電質(ILD)4930中,其係從金屬(0)溝槽4934延伸至凹陷的溝槽接點4911A-4911C之一或多者。例如,在圖49D中,通孔開口被形成以暴露凹陷的溝槽接點4911A及4911C。通孔開口4936之形成包括層間介電質(ILD)4930及相應絕緣蓋層4924之個別部分兩者的蝕刻。在一個這種實施例中,在層間介電質(ILD)4930之圖案化期間,絕緣蓋層4922的一部分被暴露(例如,閘極堆疊結構4908B及4908E上方的絕緣蓋層4922之一部分被暴露)。在該實施例中,絕緣蓋層4924被蝕刻以形成對於絕緣蓋層4922有選擇性(例如,不會顯著地蝕刻或影響絕緣蓋層4922)的通孔開口4936。
在一個實施例中,通孔開口圖案藉由一種蝕刻程序被最終地轉移至絕緣蓋層4924(也就是說,溝槽接點絕緣蓋層)而不蝕刻絕緣蓋層4922(也就是說,閘極絕緣蓋層)。絕緣蓋層4924(TILA)可由以下之任一者或其組合所組成,包括氧化矽、氮化矽、碳化矽、碳摻雜的氮化矽、碳摻雜的氧化矽、非晶矽、各種金屬氧化物及矽酸鹽(包括氧化鋯、氧化鉿、氧化鑭、或其組合)。該層可使用以下技術之任一者來沉積,包括CVD、ALD、PECVD、PVD、HDP輔助的CVD、低溫CVD。相應的電漿乾式蝕刻被發展為化學及物理濺射機制之組合。重合聚合物沉積可被使用以控制材料移除率、蝕刻輪廓及膜選擇性。乾式蝕刻通常被產生以氣體之混合,其包括NF3 、CHF3 、C4 F8 、HBr及O2 ,通常以30至100 mTorr之範圍中的壓力及50至1000瓦的電漿偏壓。乾式蝕刻可被調整以達成介於蓋層4924(TILA)與4922(GILA)層之間的顯著蝕刻選擇性,以將4924(TILA)之乾式蝕刻期間的4922(GILA)之損失減至最小來形成對於電晶體之源極汲極區域的接點。
再次參考圖49D,應當理解,類似的方式可被實現以製造通孔開口圖案,該通孔開口圖案藉由一種蝕刻程序被最終地轉移至絕緣蓋層4922(也就是說,溝槽接點絕緣蓋層)而不蝕刻絕緣蓋層4924(也就是說,閘極絕緣蓋層)。
為了進一步示範主動閘極(COAG)技術上方之接點的概念,圖50顯示根據本發明的實施例的一種具有包括上覆絕緣蓋層之溝槽接點的積體電路結構之平面圖及相應橫截面圖。
參考圖50,一種積體電路結構5000包括位於半導體基板或鰭5002(諸如矽鰭)之上的閘極線5004。閘極線5004包括閘極堆疊5005(例如,包括閘極介電質層或堆疊以及該閘極介電質層或堆疊上之閘極電極)及閘極堆疊5005上之閘極絕緣蓋層5006。介電質間隔件5008係沿著閘極堆疊5005之側壁,以及如圖所示,在一個實施例中,係沿著絕緣蓋層5006之側壁。
溝槽接點5010係鄰接閘極線5004之側壁,具有介電質間隔件5008介於閘極線5004與溝槽接點5010之間。溝槽接點5010之個別者包括導電接點結構5011及該導電接點結構5011上之溝槽接點絕緣蓋層5012。
再次參考圖50,閘極接點通孔5014被形成於閘極絕緣蓋層5006之開口中且電接觸閘極堆疊5005。在實施例中,如圖所示,閘極接點通孔5014在位於半導體基板或鰭5002上方且側面地介於溝槽接點5010之間的位置處電接觸閘極堆疊5005。在一個這種實施例中,導電接點結構5011上之溝槽接點絕緣蓋層5012防止藉由閘極接點通孔5014之閘極至源極短路或閘極至汲極短路。
再次參考圖50,溝槽接點通孔5016被形成於溝槽接點絕緣蓋層5012之開口中且電接觸個別導電接點結構5011。在實施例中,如圖所示,溝槽接點通孔5016在位於半導體基板或鰭5002上方且側面地鄰接閘極線5004之閘極堆疊5005的位置處電接觸個別導電接點結構5011。在一個這種實施例中,閘極堆疊5005上之閘極絕緣蓋層5006防止藉由溝槽接點通孔5016之源極至閘極短路或汲極至閘極短路。
應當理解,介於絕緣閘極蓋層與絕緣溝槽接點蓋層之間的不同結構關係可被製造。舉例而言,圖51A-51F顯示根據本發明的實施例的各具有包括上覆絕緣蓋層之溝槽接點並具有包括上覆絕緣蓋層之閘極堆疊的各種積體電路結構之橫截面圖。
參考圖51A、51B及51C,積體電路結構5100A、5100B及5100C分別包括鰭5102,諸如矽鰭。雖然顯示為橫截面圖,但應當理解,鰭5102具有頂部5102A及側壁(進入及離開所示之透視圖的頁面)。第一5104及第二5106閘極介電質層係位於鰭5102之頂部5102A上方且側面地鄰接鰭5102之側壁。第一閘極電極5108及第二閘極電極5110係個別地位在第一閘極介電質層5104及第二閘極介電質層5106上方、位於鰭5102之頂部5102A上方且側面地鄰接鰭5102之側壁。第一閘極電極5108及第二閘極電極5110各包括共形導電層5109A(諸如功函數設定層)及該共形導電層5109A之上的導電填充材料5109B。第一閘極電極5108及第二閘極電極5110兩者均具有第一側5112及與第一側5112相反的第二側5114。第一閘極電極5108及第二閘極電極5110兩者也均具有絕緣蓋5116,其具有頂部表面5118。
第一介電質間隔件5120係鄰接第一閘極電極5108之第一側5112。第二介電質間隔件5122係鄰接第二閘極電極5110之第二側5114。半導體源極或汲極區域5124係鄰接第一介電質間隔件5120及第二介電質間隔件5122。溝槽接點結構5126係位於其鄰接第一介電質間隔件5120及第二介電質間隔件5122之半導體源極或汲極區域5124上方。
溝槽接點結構5126包括導電結構5130上之絕緣蓋5128。溝槽接點結構5126之絕緣蓋5128具有頂部表面5129,其係實質上與第一閘極電極5108及第二閘極電極5110之絕緣蓋5116的頂部表面5118共平面。在實施例中,溝槽接點結構5126之絕緣蓋5128側面地延伸入第一介電質間隔件5120及第二介電質間隔件5122中之凹部5132。在這種實施例中,溝槽接點結構5126之絕緣蓋5128係突出溝槽接點結構5126之導電結構5130。然而,在其它實施例中,溝槽接點結構5126之絕緣蓋5128並未側面地延伸入第一介電質間隔件5120及第二介電質間隔件5122中之凹部5132,而因此不會突出溝槽接點結構5126之導電結構5130。
應當理解,如圖51A-51C所示,溝槽接點結構5126之導電結構5130可能不是矩形。例如,溝槽接點結構5126之導電結構5130可具有一橫截面幾何形狀,其類似於或相同於用於圖51A之投影中所示的導電結構5130A所顯示的幾何形狀。
在實施例中,溝槽接點結構5126之絕緣蓋5128的組成不同於第一閘極電極5108及第二閘極電極5110之絕緣蓋5116之成分。在一個這種實施例中,溝槽接點結構5126之絕緣蓋5128包括碳化物材料,諸如碳化矽材料。第一閘極電極5108及第二閘極電極5110之絕緣蓋5116包括氮化物材料,諸如氮化矽材料。
在實施例中,如圖51A中所示,第一閘極電極5108及第二閘極電極5110兩者之絕緣蓋5116均具有低於溝槽接點結構5126之絕緣蓋5128的底面5128A之底面5117A。在另一個實施例中,如圖51B中所示,第一閘極電極5108及第二閘極電極5110兩者之絕緣蓋5116均具有底面5117B,其係實質上與溝槽接點結構5126之絕緣蓋5128的底面5128B共平面。在另一個實施例中,如圖51C中所示,第一閘極電極5108及第二閘極電極5110兩者之絕緣蓋5116均具有高於溝槽接點結構5126之絕緣蓋5128的底面5128C之底面5117C。
在實施例中,溝槽接點結構5128之導電結構5130包括U形金屬層5134、於該U形金屬層5134之整體上面和上方的T形金屬層5136、及於該T形金屬層5136上之第三金屬層5138。溝槽接點結構5126之絕緣蓋5128係位在第三金屬層5138上。在一個這種實施例中,第三金屬層5138及U形金屬層5134包括鈦,而T形金屬層5136包括鈷。在特定這種實施例中,T形金屬層5136進一步包括碳。
在實施例中,金屬矽化物層5140係直接地介於溝槽接點結構5126的導電結構5130與半導體源極或汲極區域5124之間。在一個這種實施例中,金屬矽化物層5140包括鈦及矽。在一個特定這種實施例中,半導體源極或汲極區域5124為N型半導體源極或汲極區域。在另一個實施例中,金屬矽化物層5140包括鎳、鉑及矽。在特定這種實施例中,半導體源極或汲極區域5124為P型半導體源極或汲極區域。在另一個特定這種實施例中,金屬矽化物層進一步包括鍺。
在實施例中,參考圖51D,導電通孔5150係位於(且電連接至)鰭5102之頂部5102A上方的第一閘極電極5108之一部分上。導電通孔5150係位在第一閘極電極5108之絕緣蓋5116中的開口5152中。在一個這種實施例中,導電通孔5150係位於溝槽接點結構5126的絕緣蓋5128之一部分上但並未電連接至溝槽接點結構5126之導電結構5130。在特定這種實施例中,導電通孔5150係位於溝槽接點結構5126之絕緣蓋5128的已侵蝕部分5154中。
在實施例中,參考圖51E,導電通孔5160係位於(且電連接至)溝槽接點結構5126之一部分上。導電通孔係位於溝槽接點結構5126之絕緣蓋5128的開口5162中。在一個這種實施例中,導電通孔5160係位在第一閘極電極5108及第二閘極電極5110的絕緣蓋5116之一部分上但並未電連接至第一閘極電極5108及第二閘極電極5110。在特定這種實施例中,導電通孔5160係位在第一閘極電極5108及第二閘極電極5110之絕緣蓋5116的已侵蝕部分5164中。
再次參考圖51E,在實施例中,導電通孔5160為具有如圖51D之導電通孔5150的相同結構之第二導電通孔。在一個這種實施例中,這種第二導電通孔5160被隔離自導電通孔5150。在另一個這種實施例中,如圖51F中所示,這種第二導電通孔5160係與導電通孔5150合併以形成電短路接點5170。
本文中所述的方式及結構可致使使用其他方法所不可能或難以製造的其他結構或裝置之形成。在第一範例中,圖52A顯示根據本發明的另一實施例的另一種具有配置在閘極之主動部分上方的閘極接點通孔之半導體裝置的平面圖。參考圖52A,半導體結構或裝置5200包括複數個閘極結構5208A-5208C,其係與複數個溝槽接點5210A及5210B叉合(這些特徵被配置在基板的主動區域之上,未顯示)。閘極接點通孔5280被形成於閘極結構5208B之主動部分上。閘極接點通孔5280被進一步配置在閘極結構5208C之主動部分上,耦接閘極結構5208B及5208C。應當理解,居間溝槽接點5210B可藉由使用溝槽接點隔離蓋層(例如,TILA)而與接點5280隔離。圖52A之接點組態可提供較容易的方式來搭接一佈局中之相鄰閘極線,而無須通過金屬化之上層來路由搭接,因此致使較小的單元面積或較不複雜的佈線方案、或兩者。
在第二範例中,圖52B顯示根據本發明的另一實施例的另一種具有耦接一對溝槽接點的溝槽接點通孔之半導體裝置的平面圖。參考圖52B,半導體結構或裝置5250包括複數個閘極結構5258A-5258C,其係與複數個溝槽接點5260A及5260B叉合(這些特徵被配置在基板的主動區域之上,未顯示)。溝槽接點通孔5290被形成於溝槽接點5260A上。溝槽接點通孔5290被進一步配置在溝槽接點5260B上,耦接溝槽接點5260A及5260B。應當理解,居間閘極結構5258B可藉由使用閘極隔離蓋層(例如,藉由GILA製程)而與溝槽接點通孔5290隔離。圖52B之接點組態可提供較容易的方式來搭接一佈局中之相鄰溝槽接點,而無須通過金屬化之上層來路由搭接,因此致使較小的單元面積或較不複雜的佈線方案、或兩者。
閘極電極之絕緣蓋層可使用數種沉積操作來製造,而因此,可包括多重沉積程序之人造物。舉例而言,圖53A-53E顯示根據本發明的實施例的表示一種製造包含具有上覆絕緣蓋層的閘極堆疊之積體電路結構的方法中之各種操作的橫截面圖。
參考圖53A,起始結構5300包括在基板或鰭5302之上的閘極堆疊5304。閘極堆疊5304包括閘極介電質層5306、共形導電層5308、及導電填充材料5310。在實施例中,閘極介電質層5306為使用原子層沉積(ALD)製程所形成的高k閘極介電質層,而共形導電層為使用ALD製程所形成的功函數層。在一個這種實施例中,熱或化學氧化物層5312(諸如熱或化學氧化矽或二氧化矽層)係介於基板或鰭5302與閘極介電質層5306之間。介電質間隔件5314 (諸如氮化矽間隔件)係鄰接閘極堆疊5304之側壁。介電質閘極堆疊5304及介電質間隔件5314被安置在層間介電質(ILD)層5316中。在實施例中,閘極堆疊5304係使用置換閘極及置換閘極介電質處理方案來形成。掩模5318被圖案化在閘極堆疊5304及ILD層5316之上,以提供將閘極堆疊5304暴露之開口5320。
參考圖53B,使用一或多個選擇性蝕刻程序,閘極堆疊5304(包括閘極介電質層5306、共形導電層5308、及導電填充材料5310)係相對於介電質間隔件5314及層5316凹陷。掩模5318接著被移除。該凹陷在凹陷的閘極堆疊5324之上提供了空腔5322。
在另一個實施例中(未顯示),共形導電層5308及導電填充材料5310係相對於介電質間隔件5314及層5316凹陷,但閘極介電質層5306未被凹陷或僅被最小地凹陷。應當理解,在其它實施例中,根據高蝕刻選擇性之無掩模方式被用於該凹陷。
參考圖53C,進行了用以製造閘極絕緣蓋層之多重沉積程序中的第一沉積程序。第一沉積程序被用來形成與圖53B之結構共形的第一絕緣層5326。在實施例中,如圖所示,第一絕緣層5326包括矽及氮,例如,第一絕緣層5326為氮化矽(Si3 N4 )層、富矽氮化矽層、貧矽氮化矽層、或碳摻雜的氮化矽層。在實施例中,第一絕緣層5326僅部分地填充凹陷的閘極堆疊5324之上的空腔5322。
參考圖53D,第一絕緣層5326係接受回蝕刻程序(諸如各向異性蝕刻程序),以提供絕緣蓋層之第一部分5328。絕緣蓋層之第一部分5328僅部分地填充凹陷的閘極堆疊5324之上的空腔5322。
參考圖53E,額外的交替沉積程序及回蝕刻程序被進行直到空腔5322在凹陷的閘極堆疊5324之上被填充有絕緣閘極蓋結構5330。接縫5332可在橫截面分析中為明顯的並可指示用於絕緣閘極蓋結構5330之交替沉積程序及回蝕刻程序的數目。在圖53E中所示之範例中,三組接縫5332A、5332B及5332C之存在是指示用於絕緣閘極蓋結構5330之四個交替沉積程序及回蝕刻程序。在實施例中,由接縫5332所分離的絕緣閘極蓋結構5330之材料5330A、5330B、5330C及5330D將具有完全或實質上相同的組成。
如遍及本申請案所述,基板可由一種可承受製造程序且其中電荷可以遷移之半導體材料所組成。在實施例中,基板於本文中被描述為塊體基板,其係由摻雜有電荷載子(諸如,但不限於,磷、砷、硼或其組合)之結晶矽、矽/鍺或鍺層所組成,以形成主動區域。在一個實施例中,這種塊體基板中之矽原子的濃度大於97%。在另一個實施例中,塊體基板係由生長在分離結晶基板頂部上的磊晶層所組成,例如,生長在硼摻雜的塊體矽單晶基板頂部上的矽磊晶層。塊體基板可替代地由III-V族材料所組成。在一個實施例中,塊體基板係由III-V族材料所組成,諸如(但不限於)氮化鎵、磷化鎵、砷化鎵、磷化銦、銻化銦、砷化銦鎵、砷化鋁鎵、磷化銦鎵、或其組合。在一個實施例中,塊體基板係由III-V族材料所組成,而電荷載子摻雜雜質原子為諸如(但不限於)碳、矽、鍺、氧、硫、硒或碲等各者。
如遍及本申請案所述,隔離區域(諸如淺溝槽隔離區域或次子鰭隔離區域)可由一種材料所組成,該種材料適於最終地將永久閘極結構之部分與下方塊體基板電隔離(或有助於隔離)或者隔離形成於下方塊體基板內之主動區域,諸如隔離鰭主動區域。例如,在一個實施例中,隔離區域係由一種介電質材料之一或多個層所組成,諸如(但不限於)二氧化矽、氮氧化矽、氮化矽、碳摻雜的氮化矽、或其組合。
如遍及本申請案所述,閘極線或閘極結構可由一種包括閘極介電質層及閘極電極層之閘極電極堆疊所組成。在實施例中,閘極電極堆疊之閘極電極係由金屬閘極所組成,而閘極介電質層係由高K材料所組成。例如,在一個實施例中,閘極介電質層係由一種材料所組成,諸如(但不限於)氧化鉿、氮氧化鉿、矽酸鉿、氧化鑭、氧化鋯、矽酸鋯、氧化鉭、鈦酸鋇鍶、鈦酸鋇、鈦酸鍶、氧化釔、氧化鋁、氧化鉛鈧鉭、鈮酸鉛鋅、或其組合。再者,閘極介電質層之一部分可包括從半導體基板之頂部數層所形成的本質氧化物之層。在實施例中,閘極介電質層係由頂部高k部分及下部(由半導體材料之氧化物所組成)所組成。在一個實施例中,閘極介電質層係由氧化鉿之頂部部分及二氧化矽或氮氧化矽之底部部分所組成。在某些實現中,閘極介電質之部分為「U」狀結構,其包括實質上平行於基板之表面的底部部分及實質上垂直於基板之頂部表面的兩側壁部分。
在一個實施例中,閘極電極係由一種金屬層所組成,諸如(但不限於)金屬氮化物、金屬碳化物、金屬矽化物、金屬鋁化物、鉿、鋯、鈦、鉭、鋁、釕、鈀、鉑、鈷、鎳或導電金屬氧化物。在一個特定實施例中,閘極電極係由一種形成在金屬功函數設定層之上的非功函數設定填充材料所組成。閘極電極層可由P型功函數金屬或N型功函數金屬所組成,其取決於電晶體將是PMOS或NMOS電晶體。在某些實現中,閘極電極層可包括二或多個金屬層之堆疊,其中一或多個金屬層為功函數金屬層且至少一金屬層為導電填充層。對於PMOS電晶體,可用於閘極電極之金屬包括(但不限於)釕、鈀、鉑、鈷、鎳、及導電金屬氧化物,例如,氧化釕。P型金屬層將致使一種具有介於約4.9 eV與約5.2 eV間之功函數的PMOS閘極電極之形成。對於NMOS電晶體,可用於閘極電極之金屬包括(但不限於)鉿、鋯、鈦、鉭、鋁、這些金屬之合金、及這些金屬之碳化物,諸如碳化鉿、碳化鋯、碳化鈦、碳化鉭、及碳化鋁。N型金屬層將致使一種具有介於約3.9 eV與約4.2 eV間之功函數的NMOS閘極電極之形成。在某些實現中,閘極電極可包括「U」狀結構,其包括實質上平行於基板之表面的底部部分及實質上垂直於基板之頂部表面的兩側壁部分。在另一種實現中,形成閘極電極之金屬層的至少一者可僅為平面層,其係實質上平行於基板之頂部表面,而不包括實質上垂直於基板之頂部表面的側壁部分。在本發明的進一步實現中,閘極電極可包括U狀結構及平面、非U狀結構之組合。例如,閘極電極可包括一或多個U狀金屬層,其係形成在一或多個平面、非U狀層之頂部上。
如遍及本申請案所述,與閘極線或電極堆疊關聯之間隔件可由一種材料所組成,該種材料適於最終地將永久閘極結構與自相鄰的導電接點(諸如自對準接點)電隔離(或有助於隔離)。例如,在一個實施例中,間隔件係由一種介電質材料所組成,諸如(但不限於)二氧化矽、氮氧化矽、氮化矽、或碳摻雜的氮化矽。
在實施例中,本文中所述的方式可涉及形成一接點圖案,其係極佳地對準一現存的閘極圖案,而同時免除使用一種具有極度嚴格的登錄預算之微影操作。在一個這種實施例中,此方式致使了本質上高度選擇性的濕式蝕刻(例如,相對於乾式或電漿蝕刻)之使用,以產生接點開口。在實施例中,接點圖案係藉由利用現存的閘極圖案結合接點插塞微影操作來形成。在一個這種實施例中,該方式致使免除了用以產生接點圖案之其他關鍵微影操作(如其他方式中所使用者)的需求。在實施例中,溝槽接點柵格未被分離地圖案化,而是被形成於多晶矽(閘極)線之間。例如,在一個這種實施例中,溝槽接點柵格在閘極光柵圖案化之後但在閘極光柵切割之前被形成。
再者,閘極堆疊結構可藉由一種置換閘極程序來製造。在這種方案中,諸如多晶矽或氮化矽柱材料等虛設閘極材料可被移除並以永久閘極電極材料取代。在一個這種實施例中,永久閘極介電質層也被形成於此程序中,不同於較早的處理所完成的。在實施例中,虛設閘極係藉由乾式蝕刻或濕式蝕刻程序來移除。在一個實施例中,虛設閘極係由多晶矽或非晶矽所組成並以包括SF6 之使用的乾式蝕刻程序來移除。在另一個實施例中,虛設閘極係由多晶矽或非晶矽所組成,並以包括水性NH4 OH或氫氧化四甲銨之使用的濕式蝕刻程序來移除。在一個實施例中,虛設閘極係由氮化矽所組成並以包括水性磷酸之濕式蝕刻來移除。
在實施例中,本文中所述的一或多個方法係基本上考量一種結合虛設及置換接點程序的虛設及置換閘極程序,以獲得結構。在一個這種實施例中,置換接點程序被執行在置換閘極程序之後,以使得永久閘極堆疊之至少一部分的高溫退火。例如,在特定這種實施例中,永久閘極結構之至少一部分的退火(例如,在閘極介電質層被形成之後)被執行在大於約攝氏600度之溫度。退火在永久接點之形成以前進行。
在一些實施例中,半導體結構或裝置之配置係將閘極接點置於隔離區域上方之閘極線或閘極堆疊的部分上方。然而,這種配置可被視為佈局空間之無效率使用。在另一個實施例中,半導體裝置具有接點結構,其係接觸一主動區域上方所形成的閘極電極之部分。通常,在閘極的主動部分之上以及於如溝槽接點通孔的相同層之中形成閘極接點結構(諸如通孔)之前(例如,除此之外),本發明的一或多個實施例包括首先使用閘極對準的溝槽接點程序。這種程序可被實現以形成溝槽接點結構以供半導體結構製造,例如,用於積體電路製造。在實施例中,溝槽接點圖案被形成為對準現存的閘極圖案。相對地,其他方法通常涉及具有微影接點圖案緊密定位至結合選擇性接點蝕刻的現存閘極圖案的額外微影程序。例如,另一程序可包括具有接點特徵之分離圖案化的多晶矽(閘極)柵格之圖案化。
應當理解,並非上述程序之所有態樣均需被實行以落入本發明的實施例的精神及範圍內。例如,在一個實施例中,虛設閘極無須曾被形成在製造閘極堆疊的主動部分之上的閘極接點之前。如起初所形成的,上述閘極堆疊可實際上為永久閘極堆疊。同時,本文中所述的程序可被用來製造一或複數個半導體裝置。半導體裝置可以是電晶體或類似的裝置。例如,在實施例中,半導體裝置係用於邏輯或記憶體的金屬氧化物半導體(MOS)電晶體,或者為雙極性電晶體。同時,在實施例中,半導體裝置具有三維架構,諸如三閘極裝置、獨立存取的雙閘極裝置、或FIN-FET。一或多個實施例可特別有用於製造10奈米(10 nm)技術節點或次10奈米(10 nm)技術節點的半導體裝置。
用於FEOL層或結構製造之額外或中間操作可包括標準微電子製造程序,諸如微影、蝕刻、薄膜沉積、平坦化(諸如化學機械拋光(CMP))、擴散、度量、犧牲層之使用、蝕刻停止層之使用、平坦化停止層之使用、或與微電子部件製造相關之任何其他動作。同時,應當理解,針對之前程序流程所述的程序操作可用替代的順序被施行,不是每一操作均需被執行或者額外的程序操作可被執行、或兩者。
應當理解,在上述範例性FEOL實施例中,在實施例中,10奈米或次10奈米節點處理被直接地實現於製造方案以及所得結構中以作為技術驅動者。在其它實施例中,FEOL考量可由BEOL 10奈米或次10奈米處理需求所驅動。例如,用於FEOL層及裝置之材料選擇和佈局可能需要適應BEOL處理。在一個這種實施例中,材料選擇性及閘極堆疊架構被選擇以適應BEOL層之高密度金屬化,例如,用以減少電晶體結構中之邊緣電容,其係形成於FEOL層中但藉由BEOL層之高密度金屬化來耦接在一起。
積體電路之後段製程(BEOL)層通常包括導電微電子結構(其於本領域領域中已知為通孔),用以將通孔上方之金屬線或其他互連電連接至通孔下方之金屬線或其他互連。通孔可由微影程序所形成。代表性地,光阻層可被旋塗於介電質層之上,光阻層可藉由圖案化掩模來暴露至圖案化的光化輻射,且接著暴露層可被顯影以在光阻層中形成開口。接下來,用於通孔之開口可藉由使用光阻層中之開口作為蝕刻掩模而在介電質層中被蝕刻。此開口被稱為通孔開口。最後,通孔開口可被填充有一或多個金屬或其他導電材料以形成通孔。
通孔之尺寸及間隔已逐步地減少,且預期未來通孔之尺寸及間隔將持續逐步地減少,用於至少某些類型的積體電路(例如,先進微處理器、晶片組部件、圖形晶片,等等)。當藉由此等微影製程來圖案化具有極小間距之極小通孔時,其本身便存在許多挑戰。此等挑戰之一者在於,通孔與上覆互連之間的重疊、以及通孔與下方定位互連之間的重疊通常需被控制成通孔間距的四分之一等級的高寬限度。隨著通孔間距尺度越來越小,重疊寬限度傾向於以較其微影設備所能夠跟得上的更大速度而隨之縮小。
此等挑戰之另一者在於,通孔開口之關鍵尺寸通常傾向於較微影掃描器之解析能力更快地縮小。存在有縮小技術以縮小通孔開口之關鍵尺寸。然而,縮小量常受限於最小通孔間距、以及縮小程序要有足夠的光學近似校正(OPC)中性之能力,且無法顯著地折衷線寬粗糙度(LWR)或關鍵尺寸均勻度(CDU)、或兩者。此等挑戰之又另一者在於,光阻之LWR或CDU(或兩者)特性通常需要隨著通孔開口之關鍵尺寸減少而改良,以維持關鍵尺寸預算之相同的整體片段。
上述因素也關於考量介於在後段製程(BEOL)金屬互連結構的金屬線之中的金屬線之間的非導電空間或中斷(稱為「插塞」、「介電質插塞」或「金屬線端」)之布局及縮放。因此,需要改良製造金屬線、金屬通孔、及介電質插塞之後段金屬化製造技術的領域。
在另一個態樣中,間距四分法方法被實現,以圖案化介電質層中之溝槽,以形成BEOL互連結構。根據本發明的實施例,間距分割被應用以在BEOL製造方案中製造金屬線。實施例可致使金屬層之間距的連續縮放超越最先進微影設備之解析度。
圖54為根據本發明的實施例的用以製造互連結構之溝槽的間距四分法方法5400的示意圖。
參考圖54,在操作(a),骨幹特徵5402係使用直接微影來形成。例如,光阻層或堆疊可被圖案化,且該圖案被轉移到硬掩模材料中,以最終地形成骨幹特徵5402。用以形成骨幹特徵5402之光阻層或堆疊可使用標準微影處理技術(諸如193浸潤式微影)來圖案化。第一間隔件特徵5404接著鄰接骨幹特徵5402之側壁被形成。
在操作(b),骨幹特徵5402被移除以僅使第一間隔件特徵5404殘留。在此階段,第一間隔件特徵5404為有效地半間距掩模,例如,代表間距減半程序。第一間隔件特徵5404可被直接地使用於間距四分法程序,或者第一間隔件特徵5404之圖案可首先被轉移到新的硬掩模材料中,其中描述了後者方式。
在操作(c),第一間隔件特徵5404之圖案被轉移到新的硬掩模材料中,以形成第一間隔件特徵5404’。第二間隔件特徵5406接著鄰接第一間隔件特徵5404’之側壁被形成。
在操作(d),第一間隔件特徵5404’被移除,以僅使第二間隔件特徵5406殘留。在此階段,第二間隔件特徵5406為有效地四分之一間距掩模,例如,代表間距四分法程序。
在操作(e),第二間隔件特徵5406被使用為掩模,以圖案化介電質或硬掩模層中之複數個溝槽5408。該些溝槽可最終地被填充有導電材料,以在積體電路之金屬化層中形成導電互連。具有標示「B」之溝槽5408係相應於骨幹特徵5402。具有標示「S」之溝槽5408係相應於第一間隔件特徵5404或5404’。具有標示「C」之溝槽5408係相應於骨幹特徵5402之間的互補區域5407。
應當理解,因為圖54之溝槽5408的個別者具有相應於圖54的骨幹特徵5402、第一間隔件特徵5404或5404’、或互補區域5407之一者的圖案化起源,所以此等特徵之寬度及/或間距的差異可呈現為在積體電路之金屬化層中所最終形成的導電互連中的間距四分法程序之人造物。舉例而言,圖55A顯示根據本發明的實施例的使用間距四分法方法所製造的金屬化層之橫截面圖。
參考圖55A,一種積體電路結構5500包括在基板5502之上的層間介電質(ILD)層5504。複數個導電互連線5506係位於ILD層5504中,而複數個導電互連線5506之個別者係藉由ILD層5504之部分來彼此隔離。複數個導電互連線5506之個別者包括導電障壁層5508及導電填充材料5510。
參考圖54及55A兩者,導電互連線5506B被形成於溝槽中,其具有源自骨幹特徵5402之圖案。導電互連線5506S被形成於溝槽中,其具有源自第一間隔件特徵5404或5404’之圖案。導電互連線5506C被形成於溝槽中,其具有源自介於骨幹特徵5402之間的互補區域5407之圖案。
再次參考圖55A,在實施例中,複數個導電互連線5506包括具有寬度(W1)之第一互連線5506B。第二互連線5506S係緊鄰第一互連線5506B,第二互連線5506S具有不同於第一互連線5506B之寬度(W1)的寬度(W2)。第三互連線5506C係緊鄰第二互連線5506S,第三互連線5506C具有寬度(W3)。第四互連線(第二5506S)係緊鄰第三互連線5506C,第四互連線具有與第二互連線5506S之寬度(W2)相同的寬度(W2)。第五互連線(第二5506B)係緊鄰第四互連線(第二5506S),第五互連線(第二5506B)具有與第一互連線5506B之寬度(W1)相同的寬度(W1)。
在實施例中,第三互連線5506C之寬度(W3)係不同於第一互連線5506B之寬度(W1)。在一個這種實施例中,第三互連線5506C之寬度(W3)係不同於第二互連線5506S之寬度(W2)。在另一個這種實施例中,第三互連線5506C之寬度(W3)係與第二互連線5506S之寬度(W2)相同。在另一個這種實施例中,第三互連線5506C之寬度(W3)係與第一互連線5506B之寬度(W1)相同。
在實施例中,介於第一互連線5506B與第三互連線5506C之間的間距(P1)係與介於第二互連線5506S與第四互連線(第二5506S)之間的間距(P2)相同。在另一個實施例中,介於第一互連線5506B與第三互連線5506C之間的間距(P1)係與介於第二互連線5506S與第四互連線(第二5506S)之間的間距(P2)不同。
再次參考圖55A,在另一個實施例中,複數個導電互連線5506包括具有寬度(W1)之第一互連線5506B。第二互連線5506S係緊鄰第一互連線5506B,第二互連線5506S具有寬度(W2)。第三互連線5506C係緊鄰第二互連線5506S,第三互連線5506S具有不同於第一互連線5506B之寬度(W1)的寬度(W3)。第四互連線(第二5506S)係緊鄰第三互連線5506C,第四互連線具有相同於第二互連線5506S之寬度(W2)的寬度(W2)。第五互連線(第二5506B)係緊鄰第四互連線(第二5506S),第五互連線(第二5506B)具有相同於第一互連線5506B之寬度(W1)的寬度(W1)。
在實施例中,第二互連線5506S之寬度(W2)係不同於第一互連線5506B之寬度(W1)。在一個這種實施例中,第三互連線5506C之寬度(W3)係不同於第二互連線5506S之寬度(W2)。在另一個這種實施例中,第三互連線5506C之寬度(W3)係相同於第二互連線5506S之寬度(W2)。
在實施例中,第二互連線5506S之寬度(W2)係相同於第一互連線5506B之寬度(W1)。在實施例中,介於第一互連線5506B與第三互連線5506C之間的間距(P1)係相同於介於第二互連線5506S與第四互連線(第二5506S)之間的間距(P2)。在實施例中,介於第一互連線5506B與第三互連線5506C之間的間距(P1)係不同於介於第二互連線5506S與第四互連線(第二5506S)之間的間距(P2)。
圖55B顯示根據本發明的實施例的在使用間距四分法方法所製造的金屬化層之上使用間距減半方案所製造的金屬化層之橫截面圖。
參考圖55B,一種積體電路結構5550包括在基板5552之上的第一層間介電質(ILD)層5554。第一複數個導電互連線5556係位於ILD層5554中,而第一複數個導電互連線5556之個別者係藉由第一ILD層5554之部分來彼此隔離。複數個導電互連線5556之個別者包括導電障壁層5558及導電填充材料5560。積體電路結構5550進一步包括在基板5552之上的第二層間介電質(ILD)層5574。第二複數個導電互連線5576係位在第二ILD層5574中,而第二複數個導電互連線5576之個別者係藉由第二ILD層5574之部分來彼此隔離。複數個導電互連線5576之個別者包括導電障壁層5578及導電填充材料5580。
根據本發明的實施例,再次參考圖55B,一種製造積體電路結構之方法包括在基板5552之上的第一層間介電質(ILD)層5554中形成第一複數個導電互連線5556,並且藉由其隔離。第一複數個導電互連線5556係使用間隔件為基的間距四分法程序(例如,與圖54之操作(a)至(e)相關聯所述的方式)來形成。第二複數個導電互連線5576被形成在第一ILD層5554之上的第二ILD層5574中,並且藉由其隔離。第二複數個導電互連線5576係使用間隔件為基的間距減半程序(例如,與圖54之操作(a)及(b)相關聯所述的方式)來形成。
在實施例中,第一複數個導電互連線5556具有介於小於40奈米的緊鄰線之間的間距(P1)。第二複數個導電互連線5576具有介於44奈米或更大的緊鄰線之間的間距(P2)。在實施例中,間隔件為基的間距四分法程序及間隔件為基的間距減半程序係根據浸潤式193nm微影製程。
在實施例中,第一複數個導電互連線5554之個別者包括第一導電障壁襯墊5558及第一導電填充材料5560。第二複數個導電互連線5556之個別者包括第二導電障壁襯墊5578及第二導電填充材料5580。在一個這種實施例中,第一導電填充材料5560具有不同於第二導電填充材料5580之成分。在另一個實施例中,第一導電填充材料5560具有相同於第二導電填充材料5580之成分。
雖然未顯示,在實施例中,該方法進一步包括將第三複數個導電互連線形成在第二ILD層5574之上的第三ILD層中且係由第二ILD層5574之上的第三ILD層所隔離。第三複數個導電互連線被形成而不使用間距分割。
雖然未顯示,在實施例中,該方法進一步包括(在形成第二複數個導電互連線5576之前)將第三複數個導電互連線形成在第一ILD層5554之上的第三ILD層中且係由第一ILD層5554之上的第三ILD層所隔離。第三複數個導電互連線係使用間隔件為基的間距四分法程序來形成。在一個這種實施例中,接續於形成第二複數個導電互連線5576之後,第四複數個導電互連線係形成在第二ILD層5574之上的第四ILD層中,且係由第二ILD層5574之上的第四ILD層所隔離。第四複數個導電互連線係使用間隔件為基的間距減半程序來形成。在實施例中,這種方法進一步包括將第五複數個導電互連線形成在第四ILD層之上的第五ILD層中,且係由第四ILD層之上的第五ILD層所隔離,該些第五複數個導電互連線係使用間隔件為基的間距減半程序來形成。第六複數個導電互連線接著被形成在第五ILD層之上的第六ILD層中且係由第五ILD層之上的第六ILD層所隔離,該些第六複數個導電互連線係使用間隔件為基的間距減半程序來形成。第七複數個導電互連線接著被形成在第六ILD層之上的第七ILD層中,且係由第六ILD層之上的第七ILD層所隔離。第七複數個導電互連線被形成而不使用間距分割。
在另一個態樣中,金屬線成分係於金屬化層之間改變。這種配置可被稱為異質金屬化層。在實施例中,銅被使用為用於相對較大互連線之導電填充材料,而鈷被使用為用於相對較小互連線之導電填充材料。具有鈷為填充材料之較小線可提供減少的電遷移而同時維持低電阻率。使用鈷以取代銅於較小的互連線可處理具有縮放銅線之問題,其中導電障壁層係消耗較大量的互連體積且銅被減少,基本上阻礙了通常與銅互連線相關聯的優點。
在第一範例中,圖56A顯示根據本發明的實施例的一種具有含金屬線成分的金屬化層於含不同金屬線成分的金屬化層之上的積體電路結構之橫截面圖。
再次參考圖56A,積體電路結構5600包括第一複數個導電互連線5606於基板5602之上的第一層間介電質(ILD)層5604中且係由基板5602之上的第一層間介電質(ILD)層5604所隔離。該些導電互連線5606A之一者被顯示為具有底層通孔5607。第一複數個導電互連線5606之個別者包括第一導電障壁材料5608,其沿著第一導電填充材料5610之側壁及底部。
第二複數個導電互連線5616係位在第一ILD層5604之上的第二ILD層5614中,且係由第一ILD層5604之上的第二ILD層5614所隔離。該些導電互連線5616A之一者被顯示為具有底層通孔5617。第二複數個導電互連線5616之個別者包括第二導電障壁材料5618,其沿著第二導電填充材料5620之側壁及底部。第二導電填充材料5620具有不同於第一導電填充材料5610之成分。
在實施例中,第二導電填充材料5620基本上由銅所組成,而第一導電填充材料5610基本上由鈷所組成。在一個這種實施例中,第一導電障壁材料5608具有不同於第二導電障壁材料5618之成分。在另一個這種實施例中,第一導電障壁材料5608具有相同於第二導電障壁材料5618之成分。
在實施例中,第一導電填充材料5610包括具有摻雜雜質原子之第一濃度的銅,而第二導電填充材料5620包括具有摻雜雜質原子之第二濃度的銅。摻雜雜質原子之第二濃度係小於摻雜雜質原子之第一濃度。在一個這種實施例中,摻雜雜質原子係選自由鋁(Al)及錳(Mn)所組成之群組。在實施例中,第一導電障壁材料5610與第二導電障壁材料5620具有相同之成分。在實施例中,第一導電障壁材料5610與第二導電障壁材料5620具有不同之成分。
再次參考圖56A,第二ILD層5614係位於蝕刻停止層5622上。導電通孔5617係位在第二ILD層5614中以及位在蝕刻停止層5622之開口中。在實施例中,第一及第二ILD層5604及5614包括矽、碳及氧,而蝕刻停止層5622包括矽及氮。在實施例中,第一複數個導電互連線5606之個別者具有第一寬度(W1),而第二複數個導電互連線5616之個別者具有大於第一寬度(W1)之第二寬度(W2)。
在第二範例中,圖56B顯示根據本發明的實施例的實一種具有含金屬線成分的金屬化層耦接至含不同金屬線成分的金屬化層的積體電路結構之橫截面圖。
參考圖56B,積體電路結構5650包括第一複數個導電互連線5656於基板5652之上的第一層間介電質(ILD)層5654中且係由基板5652之上的第一層間介電質(ILD)層5654所隔離。該些導電互連線5656A之一者被顯示為具有底層通孔5657。第一複數個導電互連線5656之個別者包括第一導電障壁材料5658,其沿著第一導電填充材料5660之側壁及底部。
第二複數個導電互連線5666係位在第一ILD層5654之上的第二ILD層5664中且係由第一ILD層5654之上的第二ILD層5664所隔離。該些導電互連線5666A之一者被顯示為具有底層通孔5667。第二複數個導電互連線5666之個別者包括第二導電障壁材料5668,其沿著第二導電填充材料5670之側壁及底部。第二導電填充材料5670具有不同於第一導電填充材料5660之成分。
在實施例中,導電通孔5657係位於(且電耦接至)複數個導電互連線5656之個別一者5656B上,其係將第二複數個導電互連線5666之個別一者5666A電耦接至第一複數個導電互連線5656之個別一者5656B。在實施例中,如圖所示,第一複數個導電互連線5656之個別者係沿著第一方向5698(例如,進入及離開頁面),而第二複數個導電互連線5666之個別者係沿著與第一方向5698正交之第二方向5699。在實施例中,如圖所示,導電通孔5667包括第二導電障壁材料5668,其沿著第二導電填充材料5670之側壁及底部。
在實施例中,第二ILD層5664係位在第一ILD層5654上之蝕刻停止層5672上。導電通孔5667係位在第二ILD層5664中以及蝕刻停止層5672之開口中。在實施例中,第一及第二ILD層5654及5664包括矽、碳及氧,而蝕刻停止層5672包括矽及氮。在實施例中,第一複數個導電互連線5656之個別者具有第一寬度(W1),而第二複數個導電互連線5666之個別者具有大於第一寬度(W1)之第二寬度(W2)。
在實施例中,第二導電填充材料5670基本上由銅所組成,而第一導電填充材料5660基本上由鈷所組成。在一個這種實施例中,第一導電障壁材料5658具有不同於第二導電障壁材料5668之成分。在另一個這種實施例中,第一導電障壁材料5658具有相同於第二導電障壁材料5668之成分。
在實施例中,第一導電填充材料5660包括具有摻雜雜質原子之第一濃度的銅,而第二導電填充材料5670包括具有摻雜雜質原子之第二濃度的銅。摻雜雜質原子之第二濃度係小於摻雜雜質原子之第一濃度。在一個這種實施例中,摻雜雜質原子係選自由鋁(Al)及錳(Mn)所組成之群組。在實施例中,第一導電障壁材料5660與第二導電障壁材料5670具有相同成分。在實施例中,第一導電障壁材料5660與第二導電障壁材料5670具有不同成分。
圖57A-57C顯示根據本發明的實施例的具有適於與圖56A及56B相關聯所述的結構的各種障壁襯墊及導電結構配置之個別互連線的橫截面圖。
參考圖57A,介電質層5701中之互連線5700包括導電障壁材料5702及導電填充材料5704。導電障壁材料5702包括一遠離導電填充材料5704之外層5706及一接近導電填充材料5704之內層5708。在實施例中,導電填充材料包括鈷,外層5706包括鈦和氮,而內層5708包括鎢、氮及碳。在一個這種實施例中,外層5706具有約2奈米之厚度,而內層5708具有約0.5奈米之厚度。在另一個實施例中,導電填充材料包括鈷,外層5706包括鉭,而內層5708包括釕。在一個這種實施例中,外層5706進一步包括氮。
參考圖57B,介電質層5721中之互連線5720包括導電障壁材料5722及導電填充材料5724。導電蓋層5730係位於導電填充材料5724之頂部上。在一個這種實施例中,如圖所示,導電蓋層5730係進一步位於導電障壁材料5722之頂部上。在另一個實施例中,導電蓋層5730不位於導電障壁材料5722之頂部上。在實施例中,導電蓋層5730基本上由鈷所組成,而導電填充材料5724基本上由銅所組成。
參考圖57C,介電質層5741中之互連線5740包括導電障壁材料5742及導電填充材料5744。導電障壁材料5742包括一遠離導電填充材料5744之外層5746,以及一接近導電填充材料5744之內層5748。導電蓋層5750係位於導電填充材料5744之頂部上。在一個實施例中,導電蓋層5750僅位於導電填充材料5744之頂部上。然而,在另一個實施例中,導電蓋層5750係進一步位於導電障壁材料5742之內層5748的頂部上,也就是說,在位置5752處。在一個這種實施例中,導電蓋層5750係進一步位於導電障壁材料5742之外層5746的頂部上,也就是說,在位置5754處。
在實施例中,參考圖57B及57C,一種製造積體電路結構之方法包括形成層間介電質(ILD)層5721或5741於基板之上。複數個導電互連線5720或5740被形成於ILD層中之溝槽中且由ILD層所隔離,複數個導電互連線5720或5740之個別者係位於該些溝槽之相應者中。複數個導電互連線係藉由以下方式所形成:首先形成導電障壁材料5722或5724於該些溝槽之底部及側壁上,及接著個別地形成導電填充材料5724或5744於導電障壁材料5722或5742上,及填充該些溝槽,其中導電障壁材料5722或5742係個別地沿著導電填充材料5730或5750之底部及側壁。導電填充材料5724或5744之頂部接著以包括氧及碳之氣體處置。接續於以包括氧及碳之氣體處置導電填充材料5724或5744之頂部,導電蓋層5730或5750被個別地形成於導電填充材料5724或5744之頂部上。
在一個實施例中,以包括氧及碳之氣體處置導電填充材料5724或5744之頂部包括以一氧化碳(CO)處置導電填充材料5724或5744之頂部。在一個實施例中,導電填充材料5724或5744包括銅,而形成導電蓋層5730或5750於導電填充材料5724或5744之頂部上包括使用化學氣相沉積(CVD)來形成包括鈷之層。在一個實施例中,導電蓋層5730或5750被形成於導電填充材料5724或5744之頂部上,而非於導電障壁材料5722或5724之頂部上。
在一個實施例中,形成導電障壁材料5722或5744包括形成第一導電層於溝槽之底部及側壁上,該第一導電層包括鉭。第一導電層之第一部分係首先使用原子層沉積(ALD)來形成,而第一導電層之第二部分接著使用物理氣相沉積(PVD)來形成。在一個這種實施例中,形成導電障壁材料進一步包括形成第二導電層於該些溝槽之底部及側壁上的第一導電層上,第二導電層包括釕,而導電填充材料包括銅。在一個實施例中,第一導電層進一步包括氮。
圖58顯示根據本發明的實施例的具有含金屬線成分及間距的四個金屬化層於含不同金屬線成分及更小間距的兩個金屬化層之上的一種積體電路結構之橫截面圖。
參考圖58,積體電路結構5800包括第一複數個導電互連線5804於基板5801之上的第一層間介電質(ILD)層5802中且係由基板5801之上的第一層間介電質(ILD)層5802所隔離。第一複數個導電互連線5804之個別者包括沿著第一導電填充材料5808之側壁及底部的第一導電障壁材料5806。第一複數個導電互連線5804之個別者係沿著第一方向5898(例如,進入及離開頁面)。
第二複數個導電互連線5814係位在第一ILD層5802之上的第二ILD層5812中且係由第一ILD層5802之上的第二ILD層5812所隔離。第二複數個導電互連線5814之個別者包括沿著第一導電填充材料5808之側壁及底部的第一導電障壁材料5806。第二複數個導電互連線5814之個別者係沿著與第一方向5898正交之第二方向5899。
第三複數個導電互連線5824係位在第二ILD層5812之上的第三ILD層5822中且係由第二ILD層5812之上的第三ILD層5822所隔離。第三複數個導電互連線5824之個別者包括沿著第二導電填充材料5828之側壁及底部的第二導電障壁材料5826。第二導電填充材料5828具有不同於第一導電填充材料5808之成分。第三複數個導電互連線5824之個別者係沿著第一方向5898。
第四複數個導電互連線5834係位在第三ILD層5822之上的第四ILD層5832中且係由第三ILD層5822之上的第四ILD層5832所隔離。第四複數個導電互連線5834之個別者包括沿著第二導電填充材料5828之側壁及底部的第二導電障壁材料5826。第四複數個導電互連線5834之個別者係沿著第二方向5899。
第五複數個導電互連線5844係位在第四ILD層5832之上的第五ILD層5842中且係由第四ILD層5832之上的第五ILD層5842所隔離。第五複數個導電互連線5844之個別者包括沿著第二導電填充材料5828之側壁及底部的第二導電障壁材料5826。第五複數個導電互連線5844之個別者係沿著第一方向5898。
第六複數個導電互連線5854係位在第五ILD層之上的第六ILD層5852中且係由第五ILD層之上的第六ILD層5852所隔離。第六複數個導電互連線5854之個別者包括沿著第二導電填充材料5828之側壁及底部的第二導電障壁材料5826。第六複數個導電互連線5854之個別者係沿著第二方向5899。
在實施例中,第二導電填充材料5828基本上由銅所組成,而第一導電填充材料5808基本上由鈷所組成。在實施例中,第一導電填充材料5808包括具有摻雜雜質原子之第一濃度的銅,而第二導電填充材料5828包括具有摻雜雜質原子之第二濃度的銅,摻雜雜質原子之第二濃度小於摻雜雜質原子之第一濃度。
在實施例中,第一導電障壁材料5806具有不同於第二導電障壁材料5826之成分。在另一個實施例中,第一導電障壁材料5806與第二導電障壁材料5826具有相同成分。
在實施例中,第一導電通孔5819係位於第一複數個導電互連線5804之個別一者5804A上,且與其電耦接。第二複數個導電互連線5814之個別一者5814A係位於第一導電通孔5819上,且與其電耦接。
第二導電通孔5829係位於第二複數個導電互連線5814之個別一者5814B上,且與其電耦接。第三複數個導電互連線5824之個別一者5824A係位於第二導電通孔5829上,且與其電耦接。
第三導電通孔5839係位於第三複數個導電互連線5824之個別一者5824B上,且與其電耦接。第四複數個導電互連線5834之個別一者5834A係位於第三導電通孔5839上,且與其電耦接。
第四導電通孔5849係位於第四複數個導電互連線5834之個別一者5834B上,且與其電耦接。第五複數個導電互連線5844之個別一者5844A係位於第四導電通孔5849上,且與其電耦接。
第五導電通孔5859係位於第五複數個導電互連線5844之個別一者5844B上,且與其電耦接。第六複數個導電互連線5854之個別一者5854A係位於第五導電通孔5859上,且與其電耦接。
在一個實施例中,第一導電通孔5819包括沿著第一導電填充材料5808之側壁及底部的第一導電障壁材料5806。第二導電通孔5829、第三導電通孔5839、第四導電通孔5849及第五導電通孔5859包括沿著第二導電填充材料5828之側壁及底部的第二導電障壁材料5826。
在實施例中,第一ILD層5802、第二ILD層5812、第三ILD層5822、第四ILD層5832、第五ILD層5842及第六ILD層5852係藉由介於相鄰ILD層之間的相應蝕刻停止層5890來彼此分離。在實施例中,第一ILD層5802、第二ILD層5812、第三ILD層5822、第四ILD層5832、第五ILD層5842及第六ILD層5852包括矽、碳及氧。
在實施例中,第一複數個導電互連線5804及第二複數個導電互連線5814之個別者具有第一寬度(W1)。第三複數個導電互連線5824、第四複數個導電互連線5834、第五複數個導電互連線5844及第六複數個導電互連線5854之個別者具有大於第一寬度(W1)之第二寬度(W2)。
圖59A-59D顯示根據本發明的實施例的具有底部導電層之各種互連線及通孔配置的橫截面圖。
參考圖59A及59B,一種積體電路結構5900包括基板5902之上的層間介電質(ILD)層5904。導電通孔5906係位於ILD層5904中之第一溝槽5908中。導電互連線5910係位於導電通孔5906之上,且與其電耦接。導電互連線5910係位於ILD層5904中之第二溝槽5912中。第二溝槽5912具有大於第一溝槽5908之開口5909的開口5913。
在實施例中,導電通孔5906及導電互連線5910包括在第一溝槽5908之底部上,而非沿著第一溝槽5908之側壁,且非沿著第二溝槽5912之底部及側壁的第一導電障壁層5914。第二導電障壁層5916係位在第一溝槽5908之底部上的第一導電障壁層5914上。第二導電障壁層5916係進一步沿著第一溝槽5908之側壁,且進一步沿著第二溝槽5912之底部及側壁。第三導電障壁層5918係位在第一溝槽5908之底部上的第二導電障壁層5916上。第三導電障壁層5918係進一步位在沿著第一溝槽5908之側壁且沿著第二溝槽5912之底部及側壁的第二導電障壁層5916上。導電填充材料5920係位在第三導電障壁層5918上並填充第一溝槽5908及第二溝槽5912。第三導電障壁層5918係沿著導電填充材料5920之底部且沿著導電填充材料5920之側壁。
在一個實施例中,第一導電障壁層5914與第三導電障壁層5918具有相同成分,而第二導電障壁層5916之成分係不同於第一導電障壁層5914及第三導電障壁層5918之成分。在一個這種實施例中,第一導電障壁層5914及第三導電障壁層5918包括釕,而第二導電障壁層5916包括鉭。在特定這種實施例中,第二導電障壁層5916進一步包括氮。在實施例中,導電填充材料5920基本上由銅所組成。
在實施例中,導電蓋層5922係位於導電填充材料5920之頂部上。在一個這種實施例中,導電蓋層5922並非位在第二導電障壁層5916之頂部上,且並非位在第三導電障壁層5918之頂部上。然而,在另一個實施例中,導電蓋層5922係進一步位在第三導電障壁層5918之頂部上,例如,在位置5924處。在一個這種實施例中,導電蓋層5922又進一步位在第二導電障壁層5916之頂部上,例如,在位置5926處。在實施例中,導電蓋層5922基本上由鈷所組成,而導電填充材料5920基本上由銅所組成。
參考圖59C及59D,在實施例中,導電通孔5906係位於ILD層5904底下之第二ILD層5952中的第二導電互連線5950上,且與其電連接。第二導電互連線5950包括導電填充材料5954及其上之導電蓋5956。如圖所示,蝕刻停止層5958可位於導電蓋5956上方。
在一個實施例中,如圖59C中所示,導電通孔5906之第一導電障壁層5914係位在第二導電互連線5950之導電蓋5956的開口5960中。在一個這種實施例中,導電通孔5906之第一導電障壁層5914包括釕,而第二導電互連線5950之導電蓋5956包括鈷。
在另一個實施例中,如圖59D中所示,導電通孔5906之第一導電障壁層5914係位在第二導電互連線5950之導電蓋5956的一部分上。在一個這種實施例中,導電通孔5906之第一導電障壁層5914包括釕,而第二導電互連線5950之導電蓋5956包括鈷。在特定實施例中,雖未顯示,導電通孔5906之第一導電障壁層5914係位在進入(但非通過)第二導電互連線5950之導電蓋5956的凹部上。
在另一個態樣中,BEOL金屬化層具有非平面形貌,諸如介於導電線與容納導電線的ILD層之間的步階高度差異。在實施例中,上覆蝕刻停止層被形成為與該形貌共形且呈現該形貌。在實施例中,該形貌協助引導上覆通孔蝕刻程序朝向導電線,以阻擋導電通孔之「無著陸」。
在蝕刻停止層形貌之第一範例中,圖60A-60D顯示根據本發明的實施例的用於BEOL金屬化層之凹陷線形貌的結構配置之橫截面圖。
參考圖60A,積體電路結構6000包含在基板6002上的層間介電質(ILD)層6004中並且藉由其間隔開的複數個條導電互連線6006。為了範例性目的,複數個條導電互連線6006中之一者顯示為耦接到底層通孔6007。複數個條導電互連線6006之個別者具有在ILD層6004的上表面6010下方的上表面6008。蝕刻停止層6012在ILD層6004與複數個條導電互連線6006上並且與其共形。蝕刻停止層6012具有非平面上表面,其具有在ILD層6004之上的非平面上表面的最上部6014和在複數個條導電互連線6006之上的非平面上表面的最下部6016。
導電通孔6018係位於複數個條導電互連線6006之個別者6006A之上並且與其電耦接。導電通孔6018係在蝕刻停止層6012的開口6020中。開口6020係在複數個條導電互連線6006之個別者6006A之上,但不在ILD層6014之上。導電通孔6018係位於蝕刻停止層6012之上的第二ILD層6022中。在一個實施例中,如圖60A所示,第二ILD層6022係接通並且與蝕刻停止層6012共形。
在實施例中,如在圖60A中所示,導電通孔6018的中心6024與複數個條導電互連線6006之個別者6006A的中心6026對齊。然而,在另一實施例中,如圖60B所示,導電通孔6018的中心6024偏離複數個條導電互連線6006之個別者6006A的中心6026。
在實施例中,複數個條導電互連線6006之個別者包含沿著導電填充材料6030的側壁和底部的障壁層6028。在一個實施例中,如圖60A、60B和60C所示,障壁層6028和導電填充材料6030皆具有在ILD層6004的上表面6010下方的最上表面。在特定的這種實施例中,如圖6C所示,障壁層6028的最上表面係位於導電填充材料6030的最上表面之上。在另一個實施例中,如圖6D所示,導電填充材料6030具有在ILD層6004的上表面6010下方的最上表面,而障壁層6028具有與ILD層6004的上表面6010共平面的最上表面。
在實施例中,ILD層6004包含矽、碳和氧,並且蝕刻停止層6012包含矽和氮。在實施例中,複數個條導電互連線6006之個別者的上表面6008係在ILD層6004的上表面6010下方0.5至1.5奈米的範圍內。
一併參考圖60A-60D,根據本發明的實施例,製造積體電路結構的方法包含在基板6002上的第一層間介電質(ILD)層6004中形成複數個條導電互連線並且藉由其間隔開。複數個條導電互連線相對在第一ILD層凹陷,以提供具有在第一ILD層6004的上表面6010下方的上表面6008的複數個條導電互連線之個別者6006。在使複數個條導電互連線凹陷之後,蝕刻停止層6012係形成在第一ILD層6004和複數個條導電互連線6006上並且與其共形。蝕刻停止層6012具有在第一ILD層6004之上的非平坦上表面的最上部6016與複數個條導電互連線6006之上的非平坦上表面的最下部6014。第二ILD層6022係形成在蝕刻停止層6012上。通孔溝槽在第二ILD層6022中被蝕刻。在蝕刻期間,蝕刻停止層6012在第二ILD層6022中引導通孔溝槽的位置。蝕刻停止層6012係蝕刻穿過通孔溝槽,以在蝕刻停止層6012中形成開口6020。開口6020係在複數個條導電互連線6006之個別者6006A之上,但不在第一ILD層6004之上。導電通孔6018係形成在通孔溝槽中,以及形成在蝕刻停止層6012中的開口6020中。導電通孔6018係在複數個條導電互連線6006之個別者6006A之上並且與其電耦接。
在一個實施例中,如圖60A-60C所示,複數個條導電互連線6006之個別者包含沿著導電填充材料6030的側壁和底部的障壁層6028,並且使複數個條導電互連線凹陷包含使障壁層6028和導電填充材料6030兩者凹陷。在另一實施例中,如圖60D所示,複數個條導電互連線6006之個別者包含沿著導電填充材料6030的側壁和底部的障壁層6028,並且使複數個條導電互連線凹陷包含使導電填充材料6030凹陷,但基本上不使障壁層6028凹陷。在實施例中,蝕刻停止層6012將微影誤對準的通孔溝槽圖案重定向。在實施例中,使複數個條導電互連線凹陷包含相對在第一ILD層6004凹陷0.5至1.5奈米的量。
在蝕刻停止層形貌的第二個範例中,圖61A-61D顯示根據本發明的實施例的用於BEOL金屬化層之階狀線形貌的結構配置之橫截面圖。
參考圖61A,積體電路結構6100包含在基板6102上的層間介電質(ILD)層6104中並且與其間隔開的複數個條導電互連線6106。為了範例性目的,複數個條導電互連線6106中之一者被顯示為耦接到底層通孔6107。複數個條導電互連線6106之個別者具有在ILD層6104的上表面6110之上的上表面6108。蝕刻停止層6112在ILD層6104和複數個條導電互連線6106上並且與其共形。蝕刻停止層6112具有非平面上表面,其具有在ILD層6104上的非平面上表面的最下部6114,和在複數個條導電互連線6106上的非平面上表面的最上部6116。
導電通孔6118係位於複數個條導電互連線6106之個別者6106A之上並且與其電耦接。導電通孔6118係在蝕刻停止層6112的開口6120中。開口6120係在複數個條導電互連線6106之個別者6106A之上,但不在ILD層6114之上。導電通孔6118係位於蝕刻停止層6112之上的第二ILD層6122中。在一個實施例中,如圖61A所示,第二ILD層6122係接通並且與蝕刻停止層6112共形。
在實施例中,如在圖61A中所示,導電通孔6118的中心6124與複數個條導電互連線6106之個別者6106A的中心6126對齊。然而,在另一實施例中,如圖61B所示,導電通孔6118的中心6124偏離複數個條導電互連線6106之個別者6106A的中心6126。
在實施例中,複數個條導電互連線6106之個別者包含沿著導電填充材料6130的側壁和底部的障壁層6128。在一個實施例中,如圖61A、61B和61C所示,障壁層6128和導電填充材料6130皆具有在ILD層6104的上表面6110上方的最上表面。在特定的這種實施例中,如圖61C所示,障壁層6128的最上表面係位於導電填充材料6130的最上表面之下。在另一個實施例中,如圖61D所示,導電填充材料6130具有在ILD層6104的上表面6110上方的最上表面,而障壁層6128具有與ILD層6104的上表面6110共平面的最上表面。
在實施例中,ILD層6104包含矽、碳和氧,並且蝕刻停止層6112包含矽和氮。在實施例中,複數個條導電互連線6106之個別者的上表面6108係在ILD層6004的上表面6110上方0.5至1.5奈米的範圍內。
一併參考圖61A-61D,根據本發明的實施例,製造積體電路結構的方法包含在基板6102上的第一層間介電質(ILD)層中形成複數個條導電互連線6106並且藉由其間隔開。第一ILD層6104係相對於複數個條導電互連線6106凹陷,以提供具有在第一ILD層6104的上表面6110上方的上表面6108的複數個條導電互連線6106之個別者。在使第一ILD層6104凹陷之後,蝕刻停止層6112係形成在第一ILD層6104和複數個條導電互連線6106上並且與其共形。蝕刻停止層6112具有在第一ILD層6104之上的非平坦上表面的最下部6114與複數個條導電互連線6106之上的非平坦上表面的最上部6116。第二ILD層6122係形成在蝕刻停止層6112上。通孔溝槽在第二ILD層6122中被蝕刻。在蝕刻期間,蝕刻停止層6112在第二ILD層6122中引導通孔溝槽的位置。蝕刻停止層6112係蝕刻穿過通孔溝槽,以在蝕刻停止層6112中形成開口6120。開口6120係在複數個條導電互連線6106之個別者6106A之上,但不在第一ILD層6104之上。導電通孔6118係形成在通孔溝槽中,以及形成在蝕刻停止層6112中的開口6120中。導電通孔6118係在複數個條導電互連線6106之個別者6106A之上並且與其電耦接。
在一個實施例中,如圖61A-61C所示,複數個條導電互連線6106之個別者包含沿著導電填充材料6130的側壁和底部的障壁層6128,並且使第一ILD層6104凹陷包含使障壁層6128和導電填充材料6130兩者凹陷。在另一實施例中,如圖61D所示,複數個條導電互連線6106之個別者包含沿著導電填充材料6130的側壁和底部的障壁層6128,並且使第一ILD層6104凹陷包含相對於導電填充材料6130凹陷,但不相對於障壁層6128凹陷。在實施例中,其中蝕刻停止層6112將微影誤對準的通孔溝槽圖案重定向。在實施例中,使第一ILD層6104凹陷包含相對於複數個條導電互連線6106凹陷0.5至1.5奈米的量。
在另一個態樣中,描述了用於圖案化金屬線端部的技術。為了提供背景,在半導體製造的先進節點中,可以藉由線光柵、線端和通孔的單獨的圖案化程序來建立較低階互連。然而,當通孔侵入線端時,複合圖案的保真度可能趨於降低,反之也然。這裡描述的實施例提供了線端程序,也稱為插塞程序,其消除了相關的接近規則。實施例可以允許將通孔放置在線端和大的通孔以跨越線端。
為了提供進一步的背景,圖62A顯示根據本發明的實施例的沿著金屬化層之平面圖的a-a’軸所擷取之平面圖及相應橫截面圖。圖62B顯示根據本發明的實施例的線端或插塞之橫截面圖。圖62C顯示根據本發明的實施例的線端或插塞之另一橫截面圖。
參考圖62A,金屬化層6200包含形成在介電質層6204中的金屬線6202。金屬線6202可耦接到底層通孔6203。介電質層6204可包含線端或插塞區域6205。參考圖62B,介電質層6204的線端或插塞區域6205可以藉由在介電質層6204上圖案化硬掩模層6210,接著蝕刻介電質層6204的暴露部分來製造。介電質層6204的暴露部分可以是蝕刻到適於形成線溝槽6206的深度或進一步蝕刻到適於形成通孔溝槽6208的深度。參考圖62C,可以在單一大型曝光6216中製造與線端或插塞6205的相對側壁相鄰的兩個通孔,以最終形成線溝槽6212和通孔溝槽6214。
然而,再次參考圖62A-62C,保真度問題和/或硬掩模侵蝕問題可能致使不完美的圖案化方案。相比之下,本文描述的一或多個實施例包含在溝槽和通孔圖案化程序之後關於建構線端介電質(插塞)的程序流程的實現。
在一個態樣中,接著,本文描述的一或多個實施例關於用於構建金屬線之間的非導電空間或中斷(稱為「線端」、「插塞」或「切割」),以及(在一些實施例中)相關的導電通孔的方法。根據定義,導電通孔用於落在先前的層金屬圖案上。在這種情況下,本文描述的實施例實現了更穩健的互連製造方案,因為微影裝置的對準在較小程度上依賴。這種互連製造方案可用於放寬對準/曝光的約束,可用於改善電接觸(例如,藉由減少通孔電阻),並且可用於減少使用傳統方法來圖案化這些特徵所需的總程序操作和處理時間。
圖63A-63F顯示了根據本發明的實施例的表示在插塞最後的處理方案中的各種操作的平面圖和對應的截面圖。
參考圖63A,製造積體電路結構的方法,包含在底層金屬化層6300上形成的層間介電質(ILD)材料層6302的上部6304中形成線溝槽6306。通孔溝槽6308係形成在ILD材料層6302的下部6310中。通孔溝槽6308暴露了底層金屬化層6300的金屬線6312。
參考圖63B,犧牲材料6314係形成在ILD材料層6302之上,且形成在線溝槽6306與通孔溝槽6308中。如在圖63B中描繪的,犧牲材料6314可具有形成在其上的硬掩模6315。在一個實施例中,犧牲材料6314包含碳。
參考圖63C,犧牲材料6314被圖案化,以斷開在線溝槽6306中的犧牲材料6314的連續性,例如,以在犧牲材料6314中提供開口6316。
參考圖63D,在犧牲材料6314中的開口6316填充有介電質材料,以形成介電質插塞6318。在實施例中,如圖63D所示,在以介電質材料填充犧牲材料6314中的開口6316之後,硬掩模6315被移除,以提供具有在ILD材料6302的上表面6322之上的上表面6320的介電質插塞6318。犧牲材料6314被移除,以留下介電質插塞6318。
在實施例中,以介電質材料填充犧牲材料6314的開口6316包含以金屬氧化物材料填充。在一個這種實施例中,金屬氧化物材料是氧化鋁。在實施例中,以介電質材料填充犧牲材料6316的開口6314包含使用原子層沉積(ALD)來填充。
參考圖63E,線溝槽6306和通孔溝槽6308填充有導電材料6324。在實施例中,如描述,導電材料6324係形成在介電質插塞6318和ILD層6302之上和上面。
參考圖63F,導電材料6324和介電質插塞6318被平坦化,以提供斷開了在線溝槽6306中的導電材料6324的連續性的平坦化的介電質插塞6318’。
再次參考圖63F,根據本發明的實施例,積體電路結構6350包含在基板之上的層間介電質(ILD)層6302。導電互連線6324係位於ILD層6302中的溝槽6306中。導電互連線6324具有第一部分6324A和第二部分6324B,第一部分6324A橫向地鄰近在第二部分6324B。介電質插塞6318’係位於導電互連線6324的第一6324A和第二6324B部分之間並且與其橫向相鄰。雖然未顯示,在實施例中,導電互連線6324包含導電阻擋襯墊和導電填充材料。其範例性材料如上所述。在一個這種實施例中,導電填充材料包含鈷。
在實施例中,介電質插塞6318’包含金屬氧化物材料。在一個這種實施例中,金屬氧化物材料是氧化鋁。在實施例中,介電質插塞6318’係與導電互連線6324的第一部分6324A和第二部分6324B直接接觸。
在實施例中,介電質插塞6318’具有基本上與導電互連線6324的底部6324C共平面的底部6318A。在實施例中,第一導電通孔6326係位於ILD層6302中的溝槽6308中。在一個這種實施例中,第一導電通孔6326係位於互連線6324的底部6324C下方,並且第一導電通孔6326係電耦接到導電互連線6324的第一部分6324A。
在實施例中,第二導電通孔6328係位於ILD層6302中的第三溝槽6330中。第二導電通孔6328係位於互連線6324的底部6324C下方,並且第二導電通孔6328係電耦接至導電互連線6324的第二部分6324B。
介電質插塞可以使用諸如化學氣相沉積程序的填充程序來形成。人造物可以保留在製造的介電質插塞中。舉例而言,圖64A顯示了根據本發明的實施例的其中具有接縫的導線插塞的截面圖。
參考圖64A,電介質插塞6418具有幾乎垂直的接縫6400,其幾乎相等地從導電互連線6324之第一部分6324A以及從導電互連線6324之第二部分6324B被分隔。
應當理解的是,具有不同於ILD材料(其中其被容納)之組成的電介質插塞可被僅包括於選擇金屬化層上,諸如於下金屬化層中。舉例而言,圖64B顯示了根據本發明的實施例的在下金屬線位置處包含導線插塞的金屬化層堆疊的截面圖。
參考圖64B,積體電路結構6450包含在基板6452上的第一層間介電質(ILD)層6454中並且藉由其間隔開的第一複數個條導電互連線6456。第一複數個條導電互連線6456之個別者具有由一或多個介電質插塞6458斷開的連續性。在實施例中,一或多個介電質插塞6458包含與ILD層6452不同的材料。第二複數個條導電互連線6466係位在第一ILD層6454上的第二ILD層6464中並且藉由其間隔開。在實施例中,第二複數個條導電互連線6466之個別者具有由第二ILD層6464的一或多個部分6468斷開的連續性。應當理解,如所描繪的,其它金屬化層可以包含在積體電路結構6450中。
在一個實施例中,一或多個介電質插塞6458包含金屬氧化物材料。在一個這種實施例中,金屬氧化物材料是氧化鋁。在一個實施例中,第一ILD層6454和第二ILD層6464(以及因此第二ILD層6464的一或多個部分6568)包含碳摻雜的氧化矽材料。
在一個實施例中,第一複數個條導電互連線6456之個別者包含第一導電阻擋襯墊6456A和第一導電填充材料6456B。第二複數個條導電互連線6466之個別者包含第二導電阻擋襯墊6466A和第二導電填充材料6466B。在一個這種實施例中,第一導電填充材料6456B的組成與第二導電填充材料6466B不同。在特定的這種實施例中,第一導電填充材料6456B包含鈷,而第二導電填充材料6466B包含銅。
在一個實施例中,第一複數個條導電互連線6456具有第一間距(P1,如在類似層6470中顯示)。第二複數個條導電互連線6466具有第二間距(P2,如在類似層6480中顯示)。第二間距(P2)大於第一間距(P1)。在一個實施例中,第一複數個條導電互連線6456之個別者具有第一寬度(W1,如在類似層6470中顯示)。第二複數個條導電互連線6466之個別者具有第二寬度(W2,如在類似層6480中顯示)。第二寬度(W2)大於第一寬度(W1)。
應當理解,上述與後段(BEOL)結構和處理相關的層和材料可以形成在底層半導體基板或結構(如積體電路的底層裝置層)之上或上面。在一個實施例中,底層半導體基板代表用於製造積體電路的一般工件對象。半導體基板通常包含晶圓或其它矽片或另一種半導體材料。合適的半導體基板包含(但不限於)單晶矽、多晶矽和絕緣體上矽(SOI),以及由其它半導體材料形成的類似基板,如包含鍺、碳或III-V族材料的基板。取決於製造階段,半導體基板通常包含電晶體、積體電路等。基板還可以包含半導體材料、金屬、介電質、摻雜物和半導體基板中常見的其它材料。此外,所描繪的結構可以製造在底層的低階互連層上。
儘管前述製造BEOL金屬化層的金屬化層(或金屬化層的部分)的方法關於選擇操作詳細描述,應當理解,用於製造的額外或中間操作可以包含標準微電子製造程序,如微影、蝕刻、薄膜沉積、平坦化(如化學機械研磨(CMP))、擴散、計量、犧牲層的使用、蝕刻停止層的使用,平坦化停止層的使用,或與微電子元件製造相關的任何其它動作。此外,應當理解,用於先前處理流程描述的程序操作可以用替代順序實施,不是每個操作都需要執行或者可以執行額外的程序操作或兩者。
在實施例中,如貫穿本說明書所使用的,層間介電質(ILD)材料由介電質或絕緣材料層製成或包含介電質或絕緣材料層。合適的介電質材料的範例包含(但不限於)矽的氧化物(例如,二氧化矽(SiO2 ))、摻雜的矽的氧化物、矽的氟化氧化物,矽的碳摻雜的氧化物、本領域中已知的各種低k介電質材料,以及它們的組合。層間介電質材料可以藉由諸如化學氣相沉積(CVD)、物理氣相沉積(PVD)或其它沉積方法的技術形成。
在一個實施例中,如也遍及本說明書所使用的,金屬線或互連線材料(及通孔材料)係由一或多個金屬或其他導電結構所組成。一種常見的範例是使用銅線以及其可或可不包括介於銅與周圍ILD材料之間的障壁層之結構。如本文中所使用的,術語金屬包括數個金屬之合金、堆疊、及其他組合。例如,金屬互連線可包括障壁層(例如,包括Ta、TaN、Ti或TiN之一或多者的層)、不同金屬或合金之堆疊,等等。因此,互連線可以是單一材料層、或可被形成自數個層,包括導電襯墊層及填充層。任何合適的沉積程序(諸如電鍍、化學氣相沉積或物理氣相沉積)可被用來形成互連線。在實施例中,互連線係由導電材料所組成,諸如(但不限於)Cu、Al、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、W、Ag、Au或其合金。在本領域中,互連線有時也被稱為軌線、佈線、線、金屬、或僅為互連。
在實施例中,如也遍及本說明書所使用的,硬掩模材料係由不同於層間介電質材料的介電質材料所組成。在一個實施例中,不同的硬掩模材料可被使用於不同的區域,以提供彼此不同且不同於下方介電質及金屬層的生長或蝕刻選擇性。在一些實施例中,硬掩模層包括矽之氮化物(例如氮化矽)的層或矽之氧化物的層、或兩者、或其組合。其他合適的材料可包括碳基的材料。在另一個實施例中,硬掩模材料包括金屬類。例如硬掩模或其他上覆材料可包括鈦或其他金屬之氮化物(例如,氮化鈦)的層。潛在地較少量之其他材料(諸如氧)可被包括在這些層之一或多者中。替代地,本領域中所已知的其他硬掩模層可根據特定實現來使用。硬掩模層可藉由CVD、PVD、或藉由其他沉積方法來形成。
在實施例中,如也遍及本說明書所使用的,微影操作係使用193nm浸潤式微影(i193)、極紫外線(EUV)微影或電子束直接寫入(EBDW)微影等等來進行。正色調或負色調光阻可被使用。在一個實施例中,微影掩模是一種由地形遮蔽部分、抗反射塗層(ARC)、及光阻層所組成的三層掩模。在特定的這種實施例中,地形遮蔽部分為碳硬掩模(CHM)層,而抗反射塗層為矽ARC層。
在另一個態樣中,本文中所述的一或多個實施例係關於具有內部節點跳線之記憶體位元單元。特定的實施例可包括在先進自對準程序技術中實現記憶體位元單元之佈局有效率的技術。實施例可關於10奈米或更小的技術節點。實施例可藉由利用主動閘極(COAG)或積極金屬1(M1)間距縮放(或兩者)上方之接點來提供用以開發在相同的足跡內具有改善效能的記憶體位元單元的能力。實施例可包括或關於位元單元佈局,其相對於先前技術節點以相同或更小的足跡來達成更高效能的位元單元。
根據本發明的實施例,更高的金屬層(例如,金屬1或M1)跳線被實現以連接內部節點,而非使用傳統的閘極-溝槽接點-閘極接點(poly-tcn-polycon)連接。在實施例中,與金屬1跳線結合以連接內部節點之主動閘極上方接點(COAG)整合方案減輕或一起消除對於更高效能的位元單元生長足跡的需求。也就是說,可獲得改善的電晶體比。在實施例中,這種方式致使積極縮放以提供用於(例如)10奈米(10nm)技術節點之改善的每個電晶體成本。內部節點M1跳線可被實現於10nm技術中之SRAM、RF及雙埠位元單元中,以提供極簡潔的佈局。
作為比較的範例,圖65顯示記憶體單元之單元佈局的第一視圖。
參考圖65,範例性的14奈米(14 nm)的佈局6500包含位元單元6502。位元單元6502包含閘極或多晶矽線6504和金屬1(M1)線6506。在所示範例中,多晶矽線6504具有1x間距,而M1線6506具有1x間距。在特定實施例中,多晶矽線6504具有70 nm間距,而M1線6506具有70 nm間距。
相對於圖65,圖66顯示了根據本發明的實施例的用於具有內部節點跳線的記憶體單元的單元佈局的第一視圖。
參考圖66,範例性10奈米(10 nm)佈局6600包含位元單元6602。位元單元6602包含閘極或多晶矽線6604和金屬1(M1)線6606。在所示的範例中,多晶矽線6604具有1x間距,而M1線6606具有0.67x間距。結果是重疊線6605,其包含直接在多晶矽線之上的M1線。在特定實施例中,多晶矽線6604具有54 nm間距,而M1線6606具有36 nm間距。
相對於佈局6500,在佈局6600中,M1間距係小於閘極間距,從而每三條線釋放了一條額外的線(6605)(例如,對於每兩條多晶矽線,有三條M1線)。「釋放的」M1線在此稱為內部節點跳線。內部節點跳線可用於閘極到閘極(多晶矽到多晶矽)互連或用於溝槽接點到溝槽接點互連。在實施例中,接點到多晶矽係藉由主動閘極(COAG)佈置上的接點來實現,從而能夠製造內部節點跳線。
更一般地參考圖66,在實施例中,積體電路結構包含基板上的記憶體位元單元6602。記憶體位元單元6602包含沿著基板的第二方向2平行的第一和第二閘極線6604。第一和第二閘極線6602具有沿著基板的第一方向(1)的第一間距,第一方向(1)垂直在第二方向(2)。第一、第二和第三互連線6606在第一和第二閘極線6604之上。第一、第二和第三互連線6606沿著基板的第二方向(2)平行。第一、第二和第三互連線6606沿著第一方向具有第二間距,其中第二間距小於第一間距。在一個實施例中,第一、第二和第三互連線6606中之一者是記憶體位元單元6602的內部節點跳線。
如遍及本發明可應用者,閘極線6604可被稱為在軌道上,以形成光柵結構。因此,本文中所述之光柵狀圖案可具有以恆定間距來分隔並具有恆定寬度之閘極線或互連線。圖案可藉由間距減半或間距四分法(或其他間距分割)方式來製造。
作為比較範例,圖67顯示了用於記憶體單元的單元佈局6700的第二視圖。
參考圖67,14 nm位元單元6502被顯示為具有N擴散6702(例如,P型摻雜的主動區域,如底層基板的硼摻雜的擴散區域)和P擴散6704(例如,N型摻雜的主動區域,如底層基板的磷或砷(或兩者)摻雜的擴散區域),為了清楚起見,移除了M1線。位元單元102的佈局6700包含閘極或多晶矽線6504、溝槽接點6706、閘極接點6708(特定於14 nm節點)和接點通孔6710。
相對於圖67,圖68顯示了根據本發明的實施例的用於具有內部節點跳線的記憶體單元的單元佈局6800的第二視圖。
參考圖68,10 nm位元單元6602被顯示為具有N擴散6802(例如,P型摻雜的主動區域,如底層基板的硼摻雜的擴散區域)和P擴散6804(例如,N型摻雜的主動區域,如底層基板的磷或砷(或兩者)摻雜的擴散區域),為了清楚起見,移除了M1線。位元單元202的佈局6800包含閘極或多晶矽線6604、溝槽接點6806、閘極通孔6808(特定於10 nm節點)和溝槽接點通孔6710。
在比較佈局6700和6800時,根據本發明的實施例,在14 nm佈局中,內部節點僅藉由閘極接點(GCN)連接。由於多晶矽到GCN空間限制,無法在相同的覆蓋區中建立增強的效能佈局。在10 nm佈局中,該設計允許在閘極上著陸接點(VCG)以消除對多晶矽接點的需要。在一個實施例中,該佈置使得能夠使用M1連接內部節點,從而允許在14 nm覆蓋區內增加主動區域密度(例如,增加的鰭數目)。在10 nm佈局中,在使用COAG架構時,擴散區域之間的間隔可以做得更小,因為它們不受溝槽接點到閘極接點間隔的限制。在實施例中,圖67的佈局6700被稱為112(1個鰭上拉、1個鰭傳輸閘、2個鰭下拉)佈置。相比之下,圖68的佈局6800被稱為122(1個鰭上拉、2個鰭傳輸閘、2個鰭下拉)佈置,在特定實施例中,其佈置在與圖67的112佈局相同的覆蓋區域內。在實施例中,與112佈置相比,122佈置提供了改進的效能。
作為比較範例,圖69顯示了用於記憶體單元的單元佈局6900的第三視圖。
參考圖69,14 nm位元單元6502被顯示為具有金屬0(M0)線6902,為了清楚起見,移除了多晶矽線。還顯示了金屬1(M1)線6506、接點通孔6710、通孔0結構6904。
相較於圖69,圖70顯示了根據本發明的實施例的用於具有內部節點跳線的記憶體單元的單元佈局7000的第三視圖。
參考圖70,10 nm位元單元6602被顯示為具有金屬0(M0)線7002,為了清楚起見,移除了多晶矽線。還顯示了金屬1(M1)線6606、閘極通孔6808、溝槽接點通孔6810和通孔0結構7004。在比較圖69和70時,根據本發明的實施例,對於14 nm佈局,內部節點僅藉由閘極接點(GCN)連接,而對於10 nm佈局,其中一個內部節點使用M1跳線連接。
一併參考圖66、68和70,根據本發明的實施例,積體電路結構包含基板上的記憶體位元單元6602。記憶體位元單元6602包含沿著基板的第一方向(1)平行的第一(頂部6802)、第二(頂部6804)、第三(底部6804)和第四(底部6802)主動區域。第一(左6604)和第二(右6604)閘極線在第一、第二、第三和第四主動區域6802/6804之上。第一和第二閘極線6604沿著基板的第二方向(2)平行,第二方向(2)垂直在第一方向(1)。第一(遠左側6606)、第二(近左側6606)和第三(近右側6606)互連線係位在第一和第二閘極線6604之上。第一、第二和第三互連線6606係沿著基板的第二方向(2)平行。
在實施例中,第一(遠左側6606)和第二(近左側6606)互連線在第一、第二、第三和第四主動區域6802/ 6804中的一或多個上的第一和第二閘極線6604的位置處(例如,在所謂的「主動閘極」位置),電連接到第一和第二閘極線6604。在一個實施例中,第一(遠左側6606)和第二(近左側6606)互連線藉由在第一和第二互連線6606與第一和第二閘極線6604之間垂直插入複數個條互連線7004來電連接到第一和第二閘極線6604。居間的複數個條互連線7004沿著基板的第一方向(1)平行。
在實施例中,第三互連線(近右側6606)將記憶體位元單元6602的一對閘極電極電耦接在一起,該對閘極電極包含在第一和第二閘極線6604中。在另一實施例中,第三互連線(近右側6606)將記憶體位元單元6602的一對溝槽接點電耦接在一起,該對溝槽接點包含在複數個溝槽接點線6806中。在實施例中,第三互連線(近右側6606)是內部節點跳線。
在實施例中,第一主動區域(頂部6802)是P型摻雜主動區域(例如,為NMOS裝置提供N擴散),第二主動區域(頂部6804)是N型摻雜主動區域(例如,為PMOS裝置提供P擴散),第三主動區域(底部6804)是N型摻雜主動區域(例如,為PMOS裝置提供P擴散),第四主動區域(底部6802)是N型摻雜主動區域(例如,為NMOS裝置提供N擴散)。在實施例中,第一、第二、第三和第四主動區域6802/6804係在矽鰭中。在實施例中,記憶體位元單元6602包含基於單一矽鰭的上拉電晶體、基於兩個矽鰭的傳輸閘電晶體,以及基於兩個矽鰭的下拉電晶體。
在實施例中,第一和第二閘極線6604與沿著基板的第二方向(2)平行的複數個溝槽接點線6806之個別者交錯。複數個條溝槽接點線6806包含記憶體位元單元6602的溝槽接點。第一和第二閘極線6604包含記憶體位元單元6602的閘極電極。
在實施例中,第一和第二閘極線6604具有沿著第一方向(1)的第一間距。第一、第二和第三互連線6606沿著第一方向(2)具有第二間距。在一個這種實施例中,第二間距小於第一間距。在特定的這種實施例中,第一間距在50奈米至60奈米的範圍內,而第二間距在30奈米至40奈米的範圍內。在特定的這種實施例中,第一間距是54奈米,而第二間距是36奈米。
本文所描述的實施例可以被實現以在如先前技術節點之相對地相同的位元單元足跡內提供增加數目的鰭,相對於先前世代的效能,其提升較小技術節點記憶體位元單元的效能。舉例而言,圖71A和71B分別顯示了根據本發明的實施例的六個電晶體(6T)的靜態隨機存取記憶體(SRAM)的位元單元佈局和示意圖。
參考圖71A和71B,位元單元佈局7102在其中包含沿著方向(2)平行的閘極線7104(其也可以稱為多晶矽線)。溝槽接點線7106與閘極線7104交錯。閘極線7104和溝槽接點線7106在NMOS擴散區域7108之上(例如,P型摻雜的主動區域,如底層基板的硼摻雜擴散區域)和PMOS擴散區域7110(例如,N型摻雜的主動區域,如底層基板的磷或砷(或兩者)摻雜擴散區域),其沿著方向(1)平行。在實施例中,NMOS擴散區域7108兩者皆各包含兩個矽鰭。PMOS擴散區域7110兩者皆各包含一個矽鰭。
再次參考圖71A和71B,NMOS傳輸閘電晶體7112、NMOS下拉電晶體7114和PMOS上拉電晶體7116從閘極線7104和NMOS擴散區域7108和PMOS擴散區域7110形成。還描繪了字線(WL)7118、內部節點7120和7126、位元線(BL)7122、反相位元線(BLB)7124、SRAM VCC 7128和VSS 7130。
在實施例中,位元單元佈局7102的第一和第二閘極線7104接觸到第一和第二閘極線7104的主動閘極位置。在實施例中,如上所述,6T SRAM位元單元7104包含內部節點跳線。
在實施例中,本文描述的佈局與均勻的插塞和掩模圖案相容,其中包含均勻的鰭修整掩模。佈局可以與非EUV流程相容。此外,佈局可以僅需要使用中鰭修整掩模。與其它佈局相比,本文描述的實施例可以在面積方面實現增加的密度。可以實現實施例以在先進自對準程序技術中提供有效率佈局的記憶體實現。可以在晶粒面積或記憶體效能方面或兩者實現優點。藉由這種佈局方法可以唯一地實現電路技術。
本文中所述的一或多個實施例係關於當平行互連線(例如,金屬1線)及閘極線失準時的多版本庫單元處置。實施例可以關於10奈米或更小的技術節點。實施例可包括或關於單元佈局,其相對於先前技術節點,以相同或更小的足跡來達成更高效能的單元。在實施例中,在閘極線上面的互連線被製造以具有相對於下方閘極線之增加的密度。這種實施例可致使腳位命中之增加、增加的路由可能性、或對於單元腳位之增加的存取。實施例可被實現以提供大於6%的區塊階層密度。
為了提供背景,閘極線及下一平行階層的互連(通常稱為金屬1,具有正交於金屬1與閘極線之間運行的金屬0層)需在區塊階層對準。然而,在實施例中,金屬1線的間距變得與閘極線的間距不同(例如,較小的)。用於各單元之兩個標準單元版本(例如,兩個不同單元圖案)變為可用來調適間距之差距。所選擇的特定版本係遵循符合區塊階層之規則布局。假如未適當地選擇,則可能發生髒登錄(DR)。根據本發明的實施例,相對於下方閘極線具有增加之間距密度的更高金屬層(例如,金屬1或M1)被實現。在實施例中,這種方式致使積極縮放以提供用於(例如)10奈米(10nm)技術節點之改善的每個電晶體成本。
圖72顯示了根據本發明的實施例的用於相同標準單元的兩個不同佈局的截面圖。
參考圖72的部分(a),一組閘極線7204A覆蓋在基板7202A上。一組金屬1(M1)互連7206A覆蓋在該組閘極線7204A上。該組金屬1(M1)互連7206A具有比該組閘極線7204A更緊密的間距。然而,最外的金屬1(M1)互連7206A具有與最外的閘極線7204A的外部對準。為了指定目的,如在整個本發明中所使用的,圖72的部分(a)的對準佈置被稱為具有偶數(E)對準。
相對於部分(a),參考圖72的部分(b),一組閘極線7204B覆蓋基板7202B。一組金屬1(M1)互連7206B覆蓋該組閘極線7204B。該組金屬1(M1)互連7206B具有比該組閘極線7204B更緊密的間距。最外的金屬1(M1)互連7206B不與最外閘極線7204B外部對準。出於指定目的,如貫穿本發明所使用的,圖72的部分(b)的非對準佈置被稱為具有奇數(O)對準。
圖73顯示了根據本發明的實施例的指示偶數(E)或奇數(O)指定的四種不同的單元佈置的平面圖。
參考圖73的部分(a),單元7300A具有閘極(或多晶矽)線7302A和金屬1(M1)線7304A。單元7300A被指定為EE單元,因為單元7300A的左側和單元7300A的右側具有對準的閘極7302A和M1 7304A線。相對地,參考圖73的部分(b),單元7300B具有閘極(或多晶矽)線7302B和金屬1(M1)線7304B。單元7300B被指定為OO單元,因為單元7300B的左側和單元7300B的右側具有未對準的閘極7302B和M1 7304B線。
參考圖73的(c)部分,單元7300C具有閘極(或多晶矽)線7302C和金屬1(M1)線7304C。單元7300C被指定為EO單元,因為單元7300C的左側具有對準的閘極7302C和M1 7304C線,但單元7300C的右側具有未對準的閘極7302C和M1 7304C線。相對地,參考圖73的部分(d),單元7300D具有閘極(或多晶矽)線7302D和金屬1(M1)線7304D。單元7300D被指定為OE單元,因為單元7300D的左側具有未對準的閘極7302D和M1 7304D線,但是單元7300D的右側具有對準的閘極7302D和M1 7304D線。
作為用於設置標準單元類型之選定的第一或第二版本之基礎,圖74顯示根據本發明的實施例的區塊階層多晶矽柵格之平面圖。參考圖74,區塊階層多晶矽柵格7400包括平行地沿著方向7404運行的閘極線7402。指定的單元佈局邊界7406及7408被顯示運行於第二、正交方向。閘極線7402係於偶數(E)與奇數(O)指定之間交錯。
圖75顯示了根據本發明的實施例的基於具有不同版本的標準單元的範例性可接受(通過)佈局。參考圖75,佈局7500包含三個類型單元7300C/7300D,其在邊界7406和7408:7300D之間從左到右依次放置,鄰接第一個7300C並且間隔開第二個7300C。在7300C和7300D之間的選擇係基於對應的閘極線7402上的E或O標記的對準。佈局7500還包含類型單元7300A/7300B,其在邊界7408下方從左到右依次放置:第一個7300A與第二個7300A隔開。7300A和7300B之間的選擇係基於對應閘極線7402上的E或O標記的對準。佈局7500是傳輸單元,其在佈局7500中沒有髒登錄(DR)。應當理解,p表示電源,a、b、c或o是範例性接腳。在佈置7500中,電源線p跨越邊界7408彼此對齊。
更一般地參考圖75,根據本發明的實施例,積體電路結構包含沿著基板的第一方向平行並且沿著與第一方向正交的第二方向具有間距的複數個條閘極線7402。單元類型的第一版本7300C在複數個條閘極線7402的第一部分之上。單元類型的第一版本7300C包含沿著第二方向具有第二間距的第一複數個條互連線,第二間距小於第一間距。單元類型的第二版本7300D在沿著第二方向與單元類型的第一版本7300C橫向相鄰的複數個條閘極線7402的第二部分之上。單元類型的第二版本7300D包含沿著第二方向具有第二間距的第二複數個條互連線。單元類型的第二版本7300D在結構上不同於單元類型的第一版本7300C。
在實施例中,單元類型的第一版本7300C的第一複數個條互連線之個別者在第一邊緣(例如,左邊緣)處沿著第一方向與複數個條閘極線7402之個別者對齊,而不是在沿著第二方向的單元類型的第一版本7300C的第二邊緣(例如,右邊緣)。在一個這種實施例中,單元類型的第一版本7300C是NAND單元的第一版本。單元類型的第二版本7300D的第二複數個條互連線之個別者在第一邊緣(例如,左邊緣)處沿著第一方向不與複數個條閘極線7402之個別者對齊,而在沿著第二個方向單元類型的第二版本7300D的第二邊緣(例如,右邊緣)處對齊。在一個這種實施例中,單元類型7300D的第二版本是NAND單元的第二版本。
在另一個實施例中,第一和第二版本選自單元類型7300A和7300B。單元類型的第一版本7300A的第一複數個條互連線之個別者沿著第二方向在單元類型7300A的第一版本的兩個邊緣處沿著第一方向與複數個條閘極線7402之個別者對齊。在一個實施例中,單元類型的第一版本7300A是反相器單元的第一版本。應當理解,單元類型的第二版本7300B的第二複數個條互連線之個別者不會與沿著第二方向在單元類型7300B的第二版本的兩個邊緣處沿著第一方向與複數個條閘極線7402之個別者對齊。在一個實施例中,單元類型的第二版本7300B是反相器單元的第二版本。
圖76顯示了根據本發明的實施例的基於具有不同版本的標準單元的範例性不可接受(失敗)佈局。參考圖76,佈局7600包含三個類型單元7300C/7300D,其在邊界7406和7408:7300D之間從左到右依次放置,鄰接第一個7300C並且間隔開第二個7300C。如圖所示,在7300C和7300D之間的適當選擇係基於對應閘極線7402上的E或O標記的對準。然而,佈局7600還包含類型單元7300A/ 7300B,其依照從左到右的順序放置在邊界7408下方:第一個7300A與第二個7300A間隔開。佈局7600與7500的不同之處在在第二個7300A向左移動一行。雖然,7300A與7300B之間的選擇應該基於對應閘極線7402上的E或O標記的對準,而不是,並且第二單元7300A未對準,其中一個後果是未對準的電源(p)線。佈局7600是失敗單元,因為在佈局7600中發生髒登錄(DR)。
圖77顯示了根據本發明的實施例的基於具有不同版本的標準單元的另一範例性可接受(通過)佈局。參考圖77,佈局7700包含三個單元類型7300C/7300D,其在邊界7406和7408:7300D之間從左到右依次放置,鄰接第一個7300C並且間隔開第二個7300C。7300C和7300D之間的選擇係基於對應的閘極線7402上的E或O標記的對準。佈局7700還包含類型單元7300A/7300B,其在邊界7408下方從左到右依次放置:7300A與7300B間隔開。7300B的位置與佈局7600中的7300A的位置相同,但是所選擇的單元7300B係基於對應的閘極線7402上的O指定的適當對準。佈局7700係意義上在佈局7700中不發生髒登錄(DR)的通過單元。應當理解,p表示電源,a、b、c或o是範例性接腳。在佈置7700中,電源線p跨越邊界7408彼此對齊。
一併參考圖76和77,製造積體電路結構的佈局的方法包含指定沿著第一方向為偶數(E)或沿著第二方向為奇數(O)平行複數個條閘極線7402的交替者。接著,用於複數個條閘極線7402上的單元類型選擇位置。該方法還包含取決於位置,在單元類型的第一版本和單元類型的第二版本之間進行選擇。第二版本在結構上不同於第一版本,其中所選擇的單元類型版本具有偶數(E)或奇數(O)其指定用於在單元類型的邊緣處沿著第二方向的互連,並且其中單元類型的邊緣的指定與互連下方的複數個條閘極線之個別者的指定相匹配。
在另一個態樣中,一或多個實施例關於在包含在鰭式場效電晶體(FET)結構中的鰭式結構上的金屬電阻器的製造。在實施例中,由於更快的資料傳輸速率所需的高速IO,這種精密電阻器被植入作為系統單晶片(SoC)技術的基本元件。由於具有低變化和接近零溫度係數的特性,這種電阻器可以實現高速類比電路(如CSI/SERDES)和縮放IO架構。在一個實施例中,本文描述的電阻器是可調電阻器。
為了提供背景,在當前的製程技術中使用的傳統電阻器通常落入兩類中的一種:通用電阻器或精密電阻器。諸如溝槽接點電阻器的通用電阻器是成本中性的,但由於所使用的製造方法中固有的變化或電阻器的相關大溫度係數或兩者,其可能遭受高變化。精密電阻器可以減輕變化和溫度係數問題,但通常以更高的製程成本和增加的製造操作數目為代價。在高k/金屬閘極程序技術中,多晶矽精密電阻器的整合越來越困難。
根據實施例,描述了鰭式薄膜電阻器(TFR)。在一個實施例中,這種電阻器具有接近零的溫度係數。在一個實施例中,這種電阻器表現出減少的尺寸控制變化。根據本發明一或多個實施例,整合精密電阻器係在鰭FET電晶體架構內製造。應當理解,在高k/金屬閘極程序技術中使用的傳統電阻器通常是鎢溝槽接點(TCN)、阱電阻器或多晶矽精密電阻器。這種電阻器或者增加了製程成本或複雜性,或者由於所使用的製造程序的變化而遭受高變化和差的溫度係數。相比之下,在實施例中,鰭整合薄膜電阻器的製造能夠替代已知方法而實現成本中性、良好(接近零)的溫度係數,以及低變化。
為了提供進一步的背景,最先進的精密電阻器已經使用二維(2D)的金屬薄膜或高度摻雜的多晶矽線製成。這種電阻器傾向於分離化為固定值的模板,因此難以實現更精細粒度的電阻值。
處理上述問題中的一或多個,根據本發明一或多個實施例中,本文中描述了一種使用鰭骨幹(諸如矽鰭骨幹)之高密度精密電阻的設計。在一個實施例中,這種高密度精密電阻之優點包括高密度可藉由使用鰭封裝密度來達成。此外,在一個實施例中,這種電阻被整合於如主動電晶體之相同階層上,導致簡潔電路之製造。矽鰭骨幹之使用可允許高封裝密度並提供多等級的自由度來控制電阻的電阻值。因此,在特定實施例中,鰭圖案化程序之靈活性被平衡以提供寬廣範圍的電阻值,導致可調諧精密電阻製造。
作為用於鰭式精密電阻之範例幾何形狀,圖78顯示根據本發明的實施例的鰭式薄膜電阻結構之部分切割平面圖及相應橫截面圖,其中該橫截面圖係沿著部分切割平面圖之a-a’軸所擷取。
參考圖78,積體電路結構7800包含在基板7804之上突出穿過溝槽隔離區域7814的半導體鰭7802。在一個實施例中,如圖所示,半導體鰭7802從基板7804突出,並且與基板7804連續。半導體鰭具有頂面7805、第一端部7806(由於在此圖中涵蓋了鰭,因此在部分切割平面圖中顯示為虛線)、第二端部7808(由於在此圖中涵蓋了鰭,因此在部分切割平面圖中顯示為虛線),和在第一端部7806和第二端部7808之間的一對側壁7807。應當理解的是,在部分切割的平面圖中,側壁7807實際上被層7812覆蓋。
隔離層7812係與半導體鰭7802的頂面7805、第一端部7806、第二端部7808,以及該對側壁7807共形。金屬電阻層7810係與隔離層7814共形,隔離層7814與半導體鰭7802的頂面7805(金屬電阻層部分7810A)、第一端部7806(金屬電阻層部分7810B)、第二端部7808(金屬電阻層部分7810C)以及該對側壁7807(金屬電阻層部分7810D)共形。在具體的實施例中,如圖所示,金屬電阻層7810包含鄰近於側壁7807的足狀特徵7810E。隔離層7812將金屬電阻層7810與半導體鰭7802電隔離,並且因此與基板7804電隔離。
在實施例中,金屬電阻層7810由適合提供接近零的溫度係數的材料組成,其中金屬電阻層部分7810的電阻不會超過由其製造的薄膜電阻器(TFR)的工作溫度範圍而顯著改變。在實施例中,金屬電阻層7810是氮化鈦(TiN)層。在另一個實施例中,金屬電阻層7810為鎢(W)金屬層。應當理解,其它金屬可用於金屬電阻層7810取代氮化鈦(TiN)或鎢(W)或與氮化鈦(TiN)或鎢(W)組合。在實施例中,金屬電阻層7810的厚度大約在2至5奈米的範圍內。在實施例中,金屬電阻層7810的電阻率大約在100至100,000歐姆/平方的範圍內。
在實施例中,陽極電極和陰極電極係電連接到金屬電阻層7810,其範例實施例係與圖84相關聯來更詳細地描述於下。在一個這種實施例中,金屬電阻層7810、陽極電極、及陰極電極形成精密薄膜電阻(TFR)被動裝置。在實施例中,根據圖78之結構7800的TFR允許根據鰭7802高度、鰭7802寬度、金屬電阻層7810厚度及總鰭7802長度之電阻值的精確控制。這些自由的程度可使得電路設計者獲得所選擇的電阻值。此外,因為電阻圖案化是鰭式的,所以在電晶體密度之級別上高密度是可能的。
在實施例中,最先進的鰭FET處理操作被用來提供適於製造鰭式電阻之鰭。這種方式之優點可在於其高密度以及接近於主動電晶體,其致使易於整合到電路中。同時,下方鰭之幾何形狀的靈活性使得寬廣範圍的電阻值。在範例處理方案中,鰭係首先使用骨幹微影及間隔化方式來圖案化。該鰭接著以隔離氧化物被覆蓋,隔離氧化物被凹陷以設定電阻器之高度。絕緣氧化物接著被共形地沉積於該鰭上以將導電膜從諸如下方矽基板的下方基板分離。金屬或高度摻雜多晶矽膜接著被沉積到該鰭上。該膜接著被間隔化以產生精密電阻器。
在範例處理方案中,圖79-83顯示根據本發明的實施例的表示一種製造鰭式薄膜電阻結構之方法中的各種操作的平面圖及相應橫截面圖。
參考圖79,平面圖及沿著該平面圖之b-b’軸所擷取的相應橫截面圖係顯示程序流程之階段,其接續於在半導體基板7801上形成骨幹模板結構7902之後。側壁間隔件層7904接著與骨幹模板結構7902之側壁表面共形而形成。在實施例中,接續於骨幹模板結構7902之圖案化之後,共形氧化物材料被沉積並接著各向異性蝕刻(間隔化)以提供側壁間隔件層7904。
參考圖80,平面圖係顯示接續於側壁間隔件層7904之區域7906的曝光(例如,藉由微影遮蔽及曝光程序)後之程序流程的階段。區域7906中所包括之側壁間隔件層7904的部分接著被移除,例如,藉由蝕刻程序。所移除的部分為將被用於最終鰭定義的那些部分。
參考圖81,平面圖和沿著平面圖的c-c’軸截取的對應截面圖顯示了在移除包含在圖80的區域7906中的側壁間隔件層7904的部分,以形成鰭圖案化掩模(例如,氧化鰭圖案化掩模)之後的程序流程的階段。接著移除骨幹模板結構7902,並且將剩餘的圖案化掩模用作蝕刻掩模以圖案化基板7801。在圖案化基板7801並且隨後移除鰭圖案化掩模時,半導體鰭7802仍然從現在圖案化的半導體基板7804突出,並且與其連續。如上關於圖78所述,半導體鰭7802具有頂面7805、第一端部7806、第二端部7808,以及位在第一端部和第二端部之間的一對側壁7807。
參考圖82,平面圖和沿著平面圖的d-d’軸截取的對應截面圖顯示了在形成溝槽隔離層7814之後的處理流程的階段。在實施例中,溝槽隔離層7814係藉由沉積絕緣材料來形成,並且隨後凹陷,以定義鰭高度(Hsi)來定義鰭高度。
參考圖83,平面圖和沿著平面圖的e-e’軸截取的對應截面圖顯示了在形成隔離層7812之後的處理流程的階段。在實施例中,隔離層7812係藉由化學氣相沉積(CVD)程序形成。隔離層7812與半導體鰭7802的頂面(7805)、第一端部7806、第二端部7808和該對側壁(7807)共形地形成。金屬電阻層7810隨後與隔離層7812共形地形成,其中隔離層7812與半導體鰭7802的頂面、第一端部、第二端部和該對側壁共形地形成。
在實施例中,金屬電阻層7810係使用毯式沉積和隨後的各向異性蝕刻程序形成。在實施例中,金屬電阻層7810係使用原子層沉積(ALD)形成。在實施例中,金屬電阻層7810形成為2至5奈米的厚度。在實施例中,金屬電阻層7810是或包含氮化鈦(TiN)層或鎢(W)層。在實施例中,金屬電阻層7810形成為具有100至100,000歐姆/平方的電阻率。
在隨後的程序操作中,一對陽極或陰極電極可以被形成,並且可以被電連接到圖83的結構的金屬電阻層7810。舉例而言,圖84顯示了根據本發明的實施例的具有用於陽極或陰極電極接點的各種範例性位置的鰭式薄膜電阻器結構的平面圖。
參考圖84,第一陽極或陰極電極(例如,8400、8402、8404、8406、8408、8410中的一個)係電連接到金屬電阻層7810。第二陽極或陰極電極(例如,8400、8402、8404、8406、8408、8410中的另一個)係電連接到金屬電阻層7810。在實施例中,金屬電阻層7810、陽極電極和陰極電極形成精密薄膜電阻(TFR)被動裝置。精密TFR被動裝置可以是可調諧的,其中可以基在第一陽極或陰極電極與第二陽極或陰極電極之間的距離來選擇電阻。可以藉由形成各種實際電極(例如,8400、8402、8404、8406、8408、8410和其它可能性)來提供選項,接著基於互連電路來選擇實際配對。或者,可以形成單一陽極或陰極配對,其具有在TFR裝置的製造期間選擇的各者的位置。在任一情況下,在實施例中,陽極或陰極電極之一者的位置係位於鰭7802的端部(例如,在位置8400或8402處)、係位於鰭7802的邊角處(例如,在位置8404、8406或8408處),或在邊角之間的過渡的中心(例如,在位置8410處)。
在範例性實施例中,第一陽極或陰極電極係電連接到靠近半導體鰭7802的第一端部7806(例如,在位置8400處)的金屬電阻層7810。第二陽極或陰極電極係電連接到靠近半導體鰭7802的第二端部7808(例如,在位置8402處)的金屬電阻層7810。
在另一個範例性實施例中,第一陽極或陰極電極係電連接到靠近半導體鰭7802的第一端部7806(例如,在位置8400處)的金屬電阻層7810。第二陽極或陰極電極係電連接到遠離半導體鰭7802的第二端部7808(例如,在位置8410、8408、8406或8404處)的金屬電阻層7810。
在另一個範例性實施例中,第一陽極或陰極電極係電連接到遠離半導體鰭7802的第一端部7806(例如,在位置8404或8406處)的金屬電阻層7810。第二陽極或陰極電極係電連接到遠離半導體鰭7802的第二端部7808(例如,在位置8410或8408處)的金屬電阻層7810。
更具體地,根據本發明的一或多個實施例,鰭式電晶體架構的形貌特徵被用作用於製造嵌入式電阻器的基礎。在一個實施例中,精密電阻器係在鰭結構上製造。在特定實施例中,這種方法能夠實現諸如精密電阻器的被動部件的超高密度整合。
應當理解,各種的鰭幾何形狀適合於製造鰭式精密電阻器。圖85A-85D顯示了根據本發明的實施例的用於製造鰭式精密電阻器的各種鰭幾何形狀的平面圖。
在實施例中,參考圖85A-85C,半導體鰭7802是非線性半導體鰭。在一個實施例中,半導體鰭7802突出穿過基板之上的溝槽隔離區域。金屬電阻層7810與隔離層(未顯示)共形,而隔離層與非線性半導體鰭7802共形。在一個實施例中,兩個或多個陽極或陰極電極8400係電連接到金屬電阻層7810,其具有圖85A-85C中虛線圓圈所示的範例性可選位置。
非線性鰭幾何形狀包含一或多個邊角,諸如(但不限於)單一邊角(例如,L形)、兩個邊角(例如,U形)、四個邊角(例如,S形)或六個邊角(例如,圖78的結構)。在實施例中,非線性鰭幾何形狀是開放結構幾何形狀。在另一個實施例中,非線性鰭幾何形狀是封閉結構幾何形狀。
作為非線性鰭幾何形狀的開放結構幾何形狀的範例性實施例,圖85A顯示了具有用以提供開放結構的L形幾何形狀的一個邊角的非線性鰭。圖85B顯示了具有用以提供開放結構的U形幾何形狀的兩個邊角的非線性鰭。在開放結構的情況下,非線性半導體鰭7802具有頂面、第一端部、第二端部,以及第一端部和第二端部之間的一對側壁。金屬電阻層7810與隔離層(未顯示)共形,隔離層與頂面、第一端部、第二端部和第一端部與第二端部之間的一對側壁共形。
在特定實施例中,再次參考圖85A和85B,第一陽極或陰極電極係電連接到靠近開放結構非線性半導體鰭的第一端部的金屬電阻層7810,而第二陽極或陰極電極係電連接到靠近開放結構非線性半導體鰭的第二端部的金屬電阻層7810。在另一個具體實施例中,第一陽極或陰極電極係電連接到靠近開放結構非線性半導體鰭的第一端部的金屬電阻層7810,而第二陽極或陰極電極係電連接到遠離開放結構非線性半導體鰭的第二端部的金屬電阻層7810。在另一具體實施例中,第一陽極或陰極電極係電連接到遠離開放結構非線性半導體鰭的第一端部的金屬電阻層7810,而第二陽極或陰極電極係電連接到遠離開放結構非線性半導體鰭的第二端部的金屬電阻層7810。
作為非線性鰭幾何形狀封閉結構幾何形狀的範例性實施例,圖85C顯示了具有用以提供封閉結構的方形或矩形幾何形狀的四個邊角的非線性鰭。在封閉結構的情況下,非線性半導體鰭7802具有頂面和一對側壁,以及,具體地,內側壁和外側壁。然而,封閉結構不包含暴露的第一和第二端部。金屬電阻層7810與隔離層(未顯示)共形,隔離層與鰭7802的頂面、內側壁和外側壁共形。
在另一個實施例中,參考圖85D,半導體鰭7802是線性半導體鰭。在一個實施例中,半導體鰭7802突出穿過基板之上的溝槽隔離區域。金屬電阻層7810與隔離層(未顯示)共形,隔離層與線性半導體鰭7802共形。在一個實施例中,兩個或多個陽極或陰極電極8400係電連接到金屬電阻層7810,其具有由圖85D中的虛線圓圈顯示的範例性可選位置。
在另一個態樣中,根據本發明的實施例,描述了用於微影的高解析度相移掩模(PSM)製造的新結構。這種PSM掩模可用在一般(直接)微影或互補微影。
微影法在製造程序中通常用於在光阻層中形成圖案。在微影程序中,光阻層係沉積在待蝕刻的底層上。通常,底層是半導體層,但可以是任何類型的硬掩模或介電質材料。光阻層接著透過光掩模或光罩而選擇性地暴露至照射。在「正」光阻之情況下,光阻接著被顯影且暴露至照射之光阻的那些部分被移除。
用以圖案化晶圓之光掩模或光罩被置於光微影曝光工具內,眾所周知為「步進器」或「掃描器」。在步進器或掃描器機器中,光掩模或光罩被置於照射源與晶圓之間。光掩模或光罩通常形成自被置於石英基板上的圖案化的鉻(吸收劑層)。該照射實質上未衰減地通過光掩模或光罩之石英區段,在其中並無鉻之位置中。相對地,該照射不會通過該掩模之鉻部分。因為入射於該掩模上之照射不是完全地通過石英區段就是由鉻區段所完全地阻擋,所以此類型的掩模被稱為二元掩模。在該照射選擇性地通過該掩模之後,該掩模上之圖案藉由透過一連串透鏡將該掩模之影像投射入該光阻而被轉移至該光阻。
當掩模上之該些特徵的大小係相當於光源之波長時,隨著光掩模或光罩上之特徵變得越來越接近在一起,繞射效應開始作用。繞射使得光阻上所投射的影像變模糊,導致不良的解析度。
一種防止繞射圖案干擾光阻之所欲圖案化的方式是以已知為移位器的透明層覆蓋該光掩模或光罩中之選定開口。該移位器係將該些組曝光射線移位成與另一相鄰組不同相位,其係抵銷來自繞射之干擾圖案。此方式被稱為移相掩模(PSM)方式。然而,在掩模生產時減少缺陷並增加產量的替代掩模製造方案是微影程序發展的重點關注領域。
本發明的一或多個實施例係關於用以製造微影掩模之方法及所得的微影掩模。為了提供背景,滿足由半導體工業所提出之積極裝置縮放目標的需求係取決於其以高保真度來圖案化較小圖案之微影掩模的能力。然而,用以圖案化越來越小特徵之方式係造成了用於掩模製造之巨大的挑戰。在這方面,當今所廣泛使用之微影掩模係仰賴用以圖案化特徵之移相掩模(PSM)技術的概念。然而,減少缺陷而同時產生越來越小的圖案仍是掩模製造中的最大障礙之一。移相掩模之使用可具有數個缺點。首先,移相掩模之設計是相當複雜的程序,其需要極多的資源。再者,由於移相掩模之本質,其難以檢查是否沒有缺陷出現在該移相掩模中。移相掩模中之此等缺陷係來自用以產生該掩模本身所利用的當前整合方案。某些移相掩模採用一種麻煩且多少有缺陷傾向的方式來圖案化厚的光吸收材料並接著將該圖案轉移至協助移相的次要層。使事情複雜化,吸收劑層係接受電漿蝕刻兩次,而因此,電漿蝕刻之不利的效應(諸如負載效應、反應性離子蝕刻延遲、充電和可再生效應)導致掩模生產時之缺陷。
用以製造無缺陷微影掩模之材料的創新及新穎的整合技術仍是致使裝置縮放之高優先性。因此,為了利用移相掩模技術之全部優點,可能需要一種利用以下各者之新穎的整合方案:(i)以高保真度圖案化移位器層及(ii)僅圖案化吸收劑一次且於製造之最後階段期間。此外,這種製造方案也可提供其他優點,諸如材料選擇之靈活性、於製造期間之減少的基板損害、及掩模製造時之增加的產量。
圖86顯示根據本發明的實施例的微影掩模結構8601之橫截面圖。微影掩模8601包括晶粒中區域8610、框區域8620及晶粒框介面區域8630。晶粒框介面區域8630包括晶粒中區域8610及框區域8620之相鄰部分。晶粒中區域8610包括直接地配置在基板8600上之圖案化移位器層8606,其中圖案化移位器層具有包括側壁之特徵。框區域8620圍繞晶粒中區域8610並包括直接地配置在基板8600上之圖案化吸收劑層8602。
晶粒框介面區域8630(配置在基板8600上)包括雙層堆疊8640。雙層堆疊8640包括配置在下圖案化移位器層8606上的上層8604。雙層堆疊8640之上層8604係由如框區域8620之圖案化吸收劑層8602的相同材料所組成。
在實施例中,圖案化移位器層8606之特徵的最上表面8608具有一高度,該高度不同於晶粒框介面區域之特徵的最上表面8612且不同於框區域中之特徵的最上表面8614。再者,在實施例中,晶粒框介面區域之特徵的最上表面8612之高度係不同於框區域之特徵的最上表面8614之高度。相位移位器層8606之典型厚度的範圍在40至100 nm,而吸收劑層之典型厚度的範圍在30至100nm。在實施例中,框區域8620中之吸收劑層8602的厚度為50nm,配置在晶粒框介面區域8630中之移位器層8606上的吸收劑層8604之結合厚度為120nm,而框區域中之吸收劑的厚度為70nm。在實施例中,基板8600為石英,圖案化移位器層包括諸如(但不限於)矽化鉬、氮氧化鉬矽、氮化鉬矽、氮氧化矽、或氮化矽等材料,而吸收劑材料為鉻。
本文中所揭露之實施例可被用來製造多種不同類型的積體電路或微電子裝置。此等積體電路之範例包括(但不限於)處理器、晶片組部件、圖形處理器、數位信號處理器、微控制器,等等。在其它實施例中,半導體記憶體可被製造。此外,積體電路或其他微電子裝置可被用於本領域中所已知的多種電子裝置。例如,在電腦系統(例如,桌上型、膝上型、伺服器)、行動電話、個人電子裝置,等等。積體電路可與系統中之匯流排或其他部件耦接。例如,處理器可藉由一或多個匯流排來耦接至記憶體、晶片組,等等。處理器、記憶體、晶片組中之各者可潛在地使用本文中所揭露之方式來製造。
圖87顯示根據本發明的一種實現的計算裝置8700。計算裝置8700容納板8702。板8702可包括多個部件,包括但不限於處理器7904和至少一個通訊晶片8706。處理器8704可以被實體地和電性地耦接到板8702。在一些實現中,至少一個通訊晶片8706也可以被實體地和電性地耦接到板8702。在另外的實現中,通訊晶片8706可以是處理器8704的一部分。
取決於其應用,計算裝置8700可以包括可以或可以不被實體地和電性地耦接到板8702的其他部件。這些其他部件可以包括但不限於揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,ROM)、快閃記憶體、圖形處理器、數位訊號處理器、密碼處理器、晶片組、天線、顯示器、觸控螢幕顯示器、觸控螢幕控制器、電池、音頻編解碼器、視頻編解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、加速計、陀螺儀、揚聲器、相機和大容量儲存裝置(如硬碟、光碟(CD)、數位多功能光碟(DVD)等)。
通訊晶片8706可以致使用於往來於計算裝置8700之資料傳送的無線通訊。用語“無線”及其衍生詞可以用於描述電路、裝置、系統、方法、技術、通訊頻道等,其可透過非固態媒體、透過使用調製的電磁輻射來傳送資料。該用語不暗示相關的裝置不包含任何導線,儘管在一些實施例中它們可能沒有包含任何導線。通訊晶片8706可以實現任何數目的無線標準或協議,其包括但不限於Wi-Fi(IEEE 802.11系列)、WiMAX(IEEE 802.16系列)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍芽,其衍生物以及那些被指定為3G、4G、5G和之後的任何其它無線協定。計算裝置8700可以包括複數個通訊晶片8706。例如,第一通訊晶片8706可專用於短範圍無線通訊(如Wi-Fi和藍芽),並且第二通訊晶片8706可專用於長範圍無線通訊(如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO和其他)。
計算裝置8700的處理器8704包含封裝在處理器8704內的積體電路晶粒。在本發明的實施例的一些實現中,該處理器的積體電路晶粒包含一或多個結構,諸如根據本發明的實現建立的積體電路結構。用語“處理器”可以指處理來自暫存器或記憶體的電子資料,以將該電子資料轉換成可儲存在暫存器或記憶體或兩者中的其他電子資料的任何裝置或裝置的部分。
通訊晶片8706也可以包括封裝在通訊晶片8706內的積體電路晶粒。根據本發明的實施例的其他實現,該通訊晶片的積體電路晶粒係根據本發明的實現建立。
在進一步的實現中,容納在計算裝置8700內的另一部件可以含有根據本發明的實施例的實現建立的積體電路晶粒。
在各種實現中,計算裝置8700可以是膝上型電腦、小筆電、筆記型電腦、超輕薄電腦、智慧手機、平板電腦、個人數位助理(PDA)、超行動PC、行動電話、桌上電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器或者數位錄影機。在另外的實現中,計算裝置8700可以是用於處理資料的任何其它電子裝置。
圖88顯示包括本發明的一或多個實施例的中介層8800。中介層8800是用於將第一基板8802橋接到第二基板8804的居間基板。第一基板8802可以例如是積體電路晶粒。第二基板8804可以例如是記憶體模組、電腦主機板,或另一積體電路晶粒。通常,中介層8800的目的是將連接散佈到更寬的間距或將連接重新路由到不同的連接。例如,中介層8800可以將積體電路晶粒耦接到可以隨後被耦接到第二基板8804的球柵陣列(BGA)8806。在一些實施例中,第一和第二基板8802/8804被附接到中介層8800的相對側。在其它實施例中,第一和第二基板8802/8804被附接到中介層8800的相同側。並且在進一步的實施例中,三個或更多的基板係藉由中介層8800的方式被互連。
中介層8800可以由環氧樹脂、玻璃纖維增強環氧樹脂、陶瓷材料或聚合物材料(如聚醯亞胺)形成。在進一步的實現中,中介層可以由替代的可以包括上述在半導體基板中使用的相同材料,如矽、鍺以及其它III-V族和IV族的材料的剛性或柔性材料來形成。
中介層可以包括金屬互連8808和通孔8810,其包含但不限於穿矽通孔(TSV)8812。中介層8800可以進一步包括嵌入式裝置8814,其包括被動和主動裝置。這樣的裝置包括但不限於電容、解耦電容、電阻、電感、熔斷器、二極體、變壓器、感測器和靜電放電(ESD)裝置。更複雜的裝置,如射頻(RF)裝置、功率放大器、功率管理裝置、天線、陣列、感測器和MEMS裝置也可以在中介層8000上形成。根據實施例,本文揭露的設備或程序可以用於製造中介層8800,或用於製造包含在中介層8800中的組件。
圖89為根據本發明的實施例的一種利用依據本文中所述的一或多個程序所製造的積體電路(IC)或者包括本文中所述的一或多個特徵的行動計算平台8900之等角視圖。
行動計算平台8900可以是任何可攜式裝置,其係針對電子資料顯示、電子資料處理、及無線電子資料傳輸之各者而被組態。例如,行動計算平台8900可以是平板、智慧型手機、膝上型電腦等等之任一者,並包括顯示螢幕8905,其於範例實施例中為觸控螢幕(電容式、電感式、電阻式,等等)、晶片級(SoC)或封裝級整合系統 8910、及電池8913。如圖所示,由較高電晶體封裝密度所致使之系統8910中的整合等級越大,則其可由電池8913或非揮發性儲存(諸如固態硬碟)所佔據之行動計算平台8900的部分越大,或者用於改良的平台功能性之電晶體閘極數越大。類似地,系統8910中之各電晶體的載子移動率越大,則功能性越大。因此,本文中所述的技術可致使行動計算平台8900中之效能及形狀因數改善。
整合系統8910被進一步顯示於放大圖8920中。在範例實施例中,封裝裝置8977包括依據本文中所述的一或多個程序所製造或包括本文中所述的一或多個特徵的至少一個記憶體晶片(例如,RAM)、或至少一個處理器晶片(例如,多核心微處理器及/或圖形處理器)。封裝裝置8977進一步耦接至電路板8960,連同一或多個電力管理積體電路(PMIC)8915、RF(無線)積體電路(RFIC)8925,其包括寬頻RF(無線)傳輸器及/或接收器(例如,包括數位寬頻,並且類比前端模組進一步包含在傳輸路徑上之功率放大器以及在接收路徑上之低雜訊放大器)、及其控制器8911。功能上,PMIC 8915執行電池電力調節、DC至DC轉換等等,而因此具有耦接至電池8913之輸入,並具有提供電流供應給所有其他功能模組之輸出。如進一步所示的,在範例實施例中,RFIC 8925具有耦接至天線之輸出以提供實現數種無線標準或協定之任一者,包括(但不限於)Wi-Fi (IEEE 802.11家族)、WiMAX (IEEE 802.16家族)、IEEE 802.20、長期演進技術(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、其衍生物,以及其被指定為3G、4G、5G、及以上的任何其他無線協定。在替代實現中,這些板階層模組可被整合至耦接至封裝裝置8977之封裝基板的分離IC上、或者在被耦接至封裝裝置8977之封裝基板的單一IC(SoC)內。
在另一個態樣中,半導體封裝被用來保護積體電路(IC)晶片或晶粒,並且也用來提供至外部電路之電介面給晶粒。隨著對於更小電子裝置之漸增需求,半導體封裝被設計成甚至更為簡潔且必須支援更大的電路密度。再者,對於更高效能裝置之需求導致對致使薄封裝輪廓以及與後續組裝處理相容之低總翹曲的改良的半導體封裝之需求。
在實施例中,接合至陶瓷或有機封裝基板之佈線被使用。在另一個實施例中,C4程序被用於將晶粒安裝至陶瓷或有機封裝基板。特別是,C4焊球連接可被實現以提供介於半導體裝置與基板之間的覆晶互連。覆晶或受控制的崩潰晶片連接(C4)為一種用於半導體裝置之安裝類型,諸如積體電路(IC)晶片、MEMS或部件,其係利用焊料凸塊取代佈線接合。焊料凸塊係沉積在C4墊上,其被置於基板封裝之頂部側上。為了將半導體裝置安裝至基板,其被翻轉以具有在安裝區域上的主動側面朝下。焊料凸塊被用來將半導體裝置直接地連接至基板。
圖90顯示根據本發明的實施例的一種覆晶安裝的晶粒之橫截面視圖。
參考圖90,一種設備9000包括根據本發明的實施例的諸如依據本文中所述的一或多個程序所製造的積體電路(IC)或者包括本文中所述的一或多個特徵的晶粒9002。晶粒9002包括金屬化墊9004於其上。封裝基板9006 (諸如陶瓷或有機基板)包括連接9008於其上。晶粒9002及封裝基板9006係藉由被耦接至金屬化墊9004及連接9008之焊球9010而電連接。下填材料9012係圍繞焊球9010。
處理覆晶可類似於傳統IC製造,其具有一些額外操作。接近製造程序之末尾,裝附墊被金屬化以使其更易被焊料接受。這通常由數個處置所組成。小點的焊料接著被沉積在各金屬化墊上。晶片接著通常自晶圓切除。為了將覆晶安裝到電路中,該晶片被反轉以將焊料點向下帶至下方電子裝置或電路板上之連接器上。該焊料接著被再融化以產生電連接,其通常使用超音波或替代地回流焊料程序。這也在晶片的電路與下方安裝之間留下小空間。在大部分情況下,電絕緣黏著劑接著被「下填」以提供更強的機械連接、提供熱橋、及確保焊料接點不會由於晶片與系統之其它者的差異化加熱而受應力。
在其它實施例中,根據本發明的實施例,更新的封裝及晶粒至晶粒互連方式(諸如穿矽通孔(TSV)及矽中介層)被實現以製造高效能多晶片模組(MCM)及系統級封裝(SiP),其結合依據本文中所述的一或多個程序所製造的積體電路(IC)或者包括本文中所述的一或多個特徵。
因此,本發明的實施例包括先進積體電路結構製造。
雖然特定實施例已於上面被描述,但這些實施例並非意圖限制本發明的範圍,即使其中僅有單一實施例係針對特定特徵被描述。除非另有聲明,本發明中所提供之特徵的範例是想成為說明性而非限制性的。以上描述是想要涵蓋此等替代方式、修改、及同等物,如熟悉本領域人士將理解其具有本發明的優點。
本發明的範圍包括本文中所揭露之任何特徵或特徵的組合(無論是明確地或暗示地)、或其任何的概括,無論其是否減輕本文中所處理之任何或所有問題。因此,新的申請專利範圍可在本申請案(或請求其優先權之申請案)之整個專利申請過程期間被構想至任何此等特徵的組合。特別是,參考後附申請專利範圍,來自附屬項之特徵可與獨立項的那些特徵結合,且來自個別獨立項之特徵可用任何適當方式來結合而非僅用後附申請專利範圍中所列舉的特定組合來結合。
下列範例係有關進一步的實施例。不同實施例的各種特徵可與所包括的某些特徵多樣地結合,而將其他特徵排除以適合多種不同應用。
範例實施例1:一種積體電路結構,包含鰭,其包含矽,該鰭具有下鰭部和上鰭部。絕緣結構,其與該鰭的該下鰭部的側壁直接相鄰。第一閘極電極,其在該上鰭部之上且在該絕緣結構的第一部分之上。第二閘極電極,其在該上鰭部之上且在該絕緣結構的第二部分之上。第一介電質間隔件,其沿著該第一閘極電極的側壁。第二介電質間隔件,其沿著該第二閘極電極的側壁,該第二介電質間隔件在該第一閘極電極和該第二閘極電極之間的該絕緣結構的第三部分上與該第一介電質間隔件連續。
範例實施例2:如範例實施例1的積體電路結構,其中該第一介電質間隔件和該第二介電質間隔件包含矽和氮。
範例實施例3:如範例實施例1或2的積體電路結構,還包含嵌入式源極或汲極結構,其在該第一閘極電極的相對側上且在該第二閘極電極的相對側上。
範例實施例4:如範例實施例1、2或3的積體電路結構,其中該絕緣結構包含第一絕緣層、直接在該第一絕緣層上的第二絕緣層,以及直接橫向地在該第二絕緣層上的介電質填充材料。
範例實施例5:如範例實施例4的積體電路結構,其中該第一絕緣層係包含矽和氧的非摻雜絕緣層。
範例實施例6:如範例實施例4或5的積體電路結構,其中該第二絕緣層包含矽和氮。
範例實施例7:如範例實施例4、5或6的積體電路結構,其中該介電質填充材料包含矽和氧。
範例實施例8:一種積體電路結構,包含第一鰭,其包含矽,該第一鰭具有下鰭部和上鰭部。該積體電路結構還包含第二鰭,其包含矽,該第二鰭具有下鰭部和上鰭部。絕緣結構,其與該第一鰭的該下鰭部的側壁直接相鄰且與該第二鰭的該下鰭部的側壁直接相鄰。閘極電極,其在該第一鰭的該上鰭部之上、該第二鰭的該上鰭部之上以及該絕緣結構的第一部分之上。第一介電質間隔件,其沿著該第一鰭的該上鰭部的側壁。第二介電質間隔件,其沿著該第二鰭的該上鰭部的側壁,該第二介電質間隔件在該第一鰭和該第二鰭之間的該絕緣結構的第二部分上與該第一介電質間隔件連續。
範例實施例9:如範例實施例8的積體電路結構,其中該第一介電質間隔件和該第二介電質間隔件包含矽和氮。
範例實施例10:如範例實施例8或9的積體電路結構,還包含嵌入式源極或汲極結構,其在該閘極電極的相對側上,該嵌入式源極或汲極結構具有沿著該第一鰭和該第二鰭的該上鰭部的該側壁的該第一介電質間隔件和該第二介電質間隔件的頂面之下的底面,以及該嵌入式源極或汲極結構具有沿著該第一鰭和該第二鰭的該上鰭部的該側壁的該第一介電質間隔件和該第二介電質間隔件的頂面之上的頂面。
範例實施例11:如範例實施例8、9或10的積體電路結構,其中該絕緣結構包含第一絕緣層、直接在該第一絕緣層上的第二絕緣層,以及直接橫向地在該第二絕緣層上的介電質填充材料。
範例實施例12:如範例實施例11的積體電路結構,其中該第一絕緣層係包含矽和氧的非摻雜絕緣層。
範例實施例13:如範例實施例11或12的積體電路結構,其中該第二絕緣層包含矽和氮。
範例實施例14:如範例實施例11、12或13的積體電路結構,其中該介電質填充材料包含矽和氧。
範例實施例15:一種製造積體電路結構的方法,該方法包含形成包含矽的鰭,該鰭具有下鰭部和上鰭部。該方法還包含形成與該鰭的該下鰭部的側壁直接相鄰的絕緣結構。該方法還包含分別在該上鰭部之上與該絕緣結構的第一部分和第二部分之上形成第一閘極結構和第二閘極結構。該方法還包含形成與該鰭的該上鰭部共形、與該第一閘極結構和該第二閘極結構共形,並且與該第一閘極結構和該第二閘極結構之間的該絕緣結構的第三部分共形的介電質材料。該方法還包含在該介電質材料上形成硬掩模材料。該方法還包含使該硬掩模材料凹陷以暴露與該鰭的該上鰭部共形並且與該第一閘極結構和該第二閘極結構共形的該介電質材料的部分,該凹陷的硬掩模材料覆蓋與該第一閘極結構和該第二閘極結構之間的該絕緣結構的該第三部分共形的該介電質材料的部分。該方法還包含各向異性地蝕刻該介電質材料並且隨後移除該凹陷的硬掩模材料,以沿著該第一閘極結構的側壁形成第一介電質間隔件並且沿著該第二閘極結構的側壁形成第二介電質間隔件,該第二介電質間隔件與該第一閘極結構和該第二閘極結構之間的該絕緣結構的該第三部分之上的該第一介電質間隔件連續。
範例實施例16:如範例實施例15的方法,其中使該硬掩模材料凹陷包含將該硬掩模材料濕式蝕刻。
範例實施例17:如範例實施例15的方法,其中使該硬掩模材料凹陷包含使用灰化、乾式蝕刻或電漿蝕刻程序。
範例實施例18:如範例實施例15、16或17的方法,其中形成該硬掩模材料包含形成碳基硬掩模材料。
範例實施例19:如範例實施例15、16、17或18的方法,其中該第一閘極結構和該第二閘極結構係虛設閘極結構,該方法還包含用永久閘極介電質和閘極電極堆疊來取代該第一閘極結構和該第二閘極結構。
範例實施例20:如範例實施例15、16、17、18或19的方法,還包含在該第一閘極結構的相對側上並且在該第二閘極結構的相對側上形成嵌入式源極或汲極結構。
100‧‧‧起始結構 102‧‧‧層間介電質(ILD)層 104‧‧‧硬掩模材料層 106‧‧‧圖案化掩模 108‧‧‧間隔件 110‧‧‧圖案化硬掩模 200‧‧‧間距四分法方法 202‧‧‧光阻特徵 204‧‧‧第一骨幹(BB1)特徵 206‧‧‧第一間隔件(SP1)特徵 206’‧‧‧薄化的第一間隔件特徵 208‧‧‧第二骨幹(BB2)特徵 210‧‧‧第二間隔件(SP2)特徵 250‧‧‧半導體鰭 300‧‧‧合併鰭間距四分法方法 302‧‧‧光阻特徵 304‧‧‧第一骨幹(BB1)特徵 306‧‧‧第一間隔件(SP1)特徵 306’‧‧‧已薄化第一間隔件特徵 308‧‧‧第二骨幹(BB2)特徵 310‧‧‧第二間隔件(SP2)特徵 350‧‧‧半導體鰭 352‧‧‧第一複數個半導體鰭 353‧‧‧個別半導體鰭 354‧‧‧第二複數個半導體鰭 355‧‧‧個別半導體鰭 356、357‧‧‧半導體鰭 402‧‧‧經圖案化硬掩模層 404‧‧‧半導體層 406‧‧‧鰭 408‧‧‧鰭存根 502‧‧‧鰭 502A‧‧‧下鰭部 502B‧‧‧上鰭部 504‧‧‧第一絕緣層 506‧‧‧第二絕緣層 508‧‧‧介電質填充材料 552‧‧‧第一鰭 552A‧‧‧下鰭部 552B‧‧‧上鰭部 554‧‧‧肩部特徵 562‧‧‧第二鰭 562A‧‧‧下鰭部 562B‧‧‧上鰭部 564‧‧‧肩部特徵 574‧‧‧第一絕緣層 574A‧‧‧第一端部部分 574B‧‧‧第二端部部分 576‧‧‧第二絕緣層 578‧‧‧介電質填充材料 578A‧‧‧上表面 602‧‧‧鰭 602A‧‧‧暴露的上鰭部 604‧‧‧第一絕緣層 606‧‧‧第二絕緣層 608‧‧‧介電質填充材料 700‧‧‧積體電路結構 702‧‧‧鰭 702A‧‧‧下鰭部 702B‧‧‧上鰭部 704‧‧‧絕緣結構 704A’‧‧‧第二部分 704A”‧‧‧第三部分 706‧‧‧閘極結構 706A‧‧‧犧牲閘極介電質層 706B‧‧‧犧牲閘極 706C‧‧‧硬掩模 708‧‧‧介電質材料 710‧‧‧硬掩模材料 712‧‧‧凹陷的硬掩模材料 714‧‧‧圖案化的介電質材料 714A‧‧‧介電質間隔件 714B‧‧‧第一介電質間隔件 714C‧‧‧第二介電質間隔件 910‧‧‧嵌入式源極或汲極結構 910A‧‧‧底面 910B‧‧‧頂面 920‧‧‧永久閘極堆疊 922‧‧‧閘極介電質層 924‧‧‧第一閘極層 926‧‧‧閘極填充材料 930‧‧‧殘留多晶矽部分 990‧‧‧頂面 1000‧‧‧積體電路結構 1001‧‧‧塊體矽基板 1002‧‧‧半導體鰭 1004‧‧‧源極或汲極結構 1006‧‧‧絕緣結構 1008‧‧‧導電接點 1052‧‧‧半導體鰭 1054‧‧‧源極或汲極結構 1058‧‧‧導電接點 1100‧‧‧積體電路結構 1102‧‧‧第一鰭 1104‧‧‧第一磊晶源極或汲極結構 1104A‧‧‧底部 1104B‧‧‧頂部 1105‧‧‧輪廓 1108‧‧‧第一導電電極 1152‧‧‧第二鰭 1154‧‧‧第三磊晶源極或汲極結構 1158‧‧‧第二導電電極 1201‧‧‧矽基板 1202‧‧‧鰭 1202A‧‧‧下鰭部 1202B‧‧‧上鰭部 1204‧‧‧介電質間隔件 1204A‧‧‧頂面 1206‧‧‧凹陷鰭 1208‧‧‧磊晶源極或汲極結構 1208A‧‧‧下部 1210‧‧‧導電電極 1210A‧‧‧導電障壁層 1201B‧‧‧導電填充材料 1302‧‧‧鰭 1304‧‧‧第一方向 1306‧‧‧柵格 1307‧‧‧間隔 1308‧‧‧第二方向 1310‧‧‧鰭 1312‧‧‧切割 1402‧‧‧鰭 1404‧‧‧第一方向 1406‧‧‧閘極結構 1408‧‧‧第二方向 1410‧‧‧介電質材料結構 1412‧‧‧部分 1414‧‧‧部分 1416‧‧‧微影窗口 1418‧‧‧寬度 1420‧‧‧切割區域 1502‧‧‧矽鰭 1504‧‧‧第一鰭部分 1506‧‧‧第二鰭部分 1508‧‧‧相對寬的切割 1510‧‧‧介電質填充材料 1512‧‧‧閘極線 1514‧‧‧閘極介電質和閘極電極堆疊 1516‧‧‧介電質蓋層 1518‧‧‧側壁間隔件 1600‧‧‧積體電路結構 1602‧‧‧鰭 1604‧‧‧第一鰭部分 1606‧‧‧第二鰭部分 1608‧‧‧相對窄的切割 1610‧‧‧介電質填充材料 1611‧‧‧中心 1612‧‧‧閘極線 1612A‧‧‧第一閘極結構 1612B‧‧‧第二閘極結構 1612C‧‧‧第三閘極結構 1613A‧‧‧中心 1613B‧‧‧中心 1613C‧‧‧中心 1614‧‧‧閘極介電質和閘極電極堆疊 1616‧‧‧介電質蓋層 1618‧‧‧側壁間隔件 1620‧‧‧殘留間隔件材料 1622‧‧‧區域 1650‧‧‧第一方向 1652‧‧‧第二方向 1660‧‧‧閘極電極 1662‧‧‧高k閘極介電質層 1664A‧‧‧第一磊晶半導體區域 1664B‧‧‧第二磊晶半導體區域 1664C‧‧‧第三磊晶半導體區域 1680‧‧‧鰭 1682‧‧‧基板 1684‧‧‧鰭端部或寬鰭切割 1686‧‧‧局部切割 1688‧‧‧主動閘極電極 1690‧‧‧介電質插塞 1692‧‧‧介電質插塞 1694‧‧‧磊晶源極或汲極區 1700‧‧‧半導體鰭 1700A‧‧‧下鰭部 1700B‧‧‧上鰭部 1702‧‧‧底層基板 1704‧‧‧絕緣結構 1706A‧‧‧局部鰭隔離切割 1706B‧‧‧局部鰭隔離切割 1706C‧‧‧局部鰭隔離切割 1706D‧‧‧局部鰭隔離切割 1710‧‧‧第一鰭部 1712‧‧‧第二鰭部 1800、1802‧‧‧鰭 1800A、1802A‧‧‧下鰭部 1800B、1802B‧‧‧上鰭部 1804‧‧‧絕緣結構 1806‧‧‧鰭端部或寬廣鰭切割 1808‧‧‧局部切割 1810‧‧‧殘留部分 1820‧‧‧切割深度 1900‧‧‧鰭 1902‧‧‧基板 1904‧‧‧鰭端部或寬鰭切割 1906‧‧‧主動閘極電極位置 1908‧‧‧虛設閘極電極位置 1910‧‧‧磊晶源極或汲極區 1912‧‧‧層間介電質材料 1920‧‧‧開口 2000‧‧‧鰭 2002‧‧‧基板 2004‧‧‧局部切割 2006‧‧‧主動閘極電極位置 2008‧‧‧虛設閘極電極位置 2010‧‧‧磊晶源極或汲極區 2012‧‧‧層間介電質材料 2020‧‧‧開口 2100‧‧‧起始結構 2102‧‧‧第一鰭 2104‧‧‧基板 2106‧‧‧鰭端部 2108‧‧‧第一主動閘極電極位置 2110‧‧‧第一虛設閘極電極位置 2112‧‧‧磊晶N型源極或汲極區 2114‧‧‧層間介電質材料 2116‧‧‧開口 2122‧‧‧第二鰭 2126‧‧‧鰭端部 2128‧‧‧第二主動閘極電極位置 2130‧‧‧第二虛設閘極電極位置 2132‧‧‧磊晶P型源極或汲極區 2134‧‧‧層間介電質材料 2136‧‧‧開口 2140‧‧‧材料襯墊 2142‧‧‧保護冠狀層 2144‧‧‧硬掩模材料 2146‧‧‧微影掩模或掩模堆疊 2148‧‧‧第二材料襯墊 2150‧‧‧第二硬掩模材料 2152‧‧‧絕緣填充材料 2154‧‧‧凹陷的絕緣填充材料 2156‧‧‧第三材料襯墊 2157‧‧‧接縫 2302‧‧‧半導體鰭 2304‧‧‧基板 2308A‧‧‧淺介電質插塞 2308B、2308C‧‧‧深介電質插塞 2308D、2308E‧‧‧NMOS插塞 2308F、2308G‧‧‧PMOS插塞 2350‧‧‧拉伸應力感應氧化物層 2400‧‧‧半導體鰭 2402、2404‧‧‧端部 2450‧‧‧半導體鰭 2452、2454‧‧‧端部 2502‧‧‧鰭 2504‧‧‧第一方向 2506‧‧‧閘極結構 2508‧‧‧第二方向 2510‧‧‧介電質材料結構 2512、2513‧‧‧部分 2520‧‧‧切割區域 2530‧‧‧絕緣結構 2600A‧‧‧部分 2600B‧‧‧部分 2600C‧‧‧部分 2602‧‧‧溝槽隔離結構 2602A‧‧‧第一絕緣層 2602B‧‧‧第二絕緣層 2602C‧‧‧絕緣填充材料 2700A‧‧‧積體電路結構 2700B‧‧‧積體電路結構 2702‧‧‧第一矽鰭 2703‧‧‧第一方向 2704‧‧‧第二矽鰭 2706‧‧‧絕緣體材料 2708‧‧‧閘極線 2708A‧‧‧第一側 2708B‧‧‧第二側 2708C‧‧‧第一端部 2708D‧‧‧第二端部 2709‧‧‧第二方向 2710‧‧‧中斷 2712‧‧‧介電質插塞 2714‧‧‧溝槽接點 2715‧‧‧位置 2716‧‧‧介電質間隔件 2718‧‧‧第二溝槽接點 2719‧‧‧位置 2720‧‧‧第二介電質間隔件 2722‧‧‧高k閘極介電質層 2724‧‧‧閘極電極 2726‧‧‧介電質蓋層 2752‧‧‧第一矽鰭 2753‧‧‧第一方向 2754‧‧‧第二矽鰭 2756‧‧‧絕緣體材料 2758‧‧‧閘極線 2758A‧‧‧第一側 2758B‧‧‧第二側 2758C‧‧‧第一端部 2758D‧‧‧第二端部 2759‧‧‧第二方向 2760‧‧‧中斷 2762‧‧‧介電質插塞 2764‧‧‧溝槽接點 2765‧‧‧位置 2766‧‧‧介電質間隔件 2768‧‧‧第二溝槽接點 2769‧‧‧位置 2770‧‧‧第二介電質間隔件 2772‧‧‧高k閘極介電質層 2724‧‧‧閘極電極 2726‧‧‧介電質蓋層 2802‧‧‧閘極線 2804‧‧‧結構 2806‧‧‧虛設閘極電極 2808‧‧‧介電質 2810‧‧‧介電質間隔件 2812‧‧‧介電質材料 2814‧‧‧掩模 2816‧‧‧減少的介電質間隔件 2818‧‧‧侵蝕的介電質材料部分 2820‧‧‧殘留虛設閘極材料 2822‧‧‧硬掩模 2830‧‧‧介電質插塞 2902‧‧‧鰭 2902A‧‧‧上鰭部 2902B‧‧‧下鰭部 2902C‧‧‧頂部 2902D‧‧‧側壁 2904‧‧‧半導體基板 2906‧‧‧隔離結構 2906A‧‧‧第一絕緣層 2906B‧‧‧第二絕緣層 2906C‧‧‧絕緣材料 2907‧‧‧頂面 2908‧‧‧半導體材料 2910‧‧‧閘極介電質層 2911‧‧‧居間的額外閘極介電質層 2912‧‧‧閘極電極 2912A‧‧‧共形導電層 2912B‧‧‧導電填充金屬層 2916‧‧‧第一源極或汲極區域 2918‧‧‧第二源極或汲極區域 2920‧‧‧第一介電質間隔件 2922‧‧‧第二介電質間隔件 2924‧‧‧絕緣 3000‧‧‧鰭 3000A‧‧‧下鰭部 3000B‧‧‧上鰭部 3000C‧‧‧頂部 3000D‧‧‧側壁 3002‧‧‧半導體基板 3004‧‧‧隔離結構 3004A、3004B‧‧‧第二絕緣材料 3004C‧‧‧絕緣材料 3005‧‧‧頂面 3006‧‧‧佔位符閘極電極 3008‧‧‧方向 3010‧‧‧氧化部分 3012‧‧‧部分 3014‧‧‧閘極介電質層 3016‧‧‧永久閘極電極 3016A‧‧‧功函數層 3016B‧‧‧導電填充金屬層 3018‧‧‧絕緣閘極蓋層 3100‧‧‧積體電路結構 3102‧‧‧閘極結構 3102A‧‧‧鐵電或反鐵電多晶材料層 3102B‧‧‧導電層 3102C‧‧‧閘極填充層 3103‧‧‧非晶介電質層 3104‧‧‧基板 3106‧‧‧半導體通道結構 3108‧‧‧源極區 3110‧‧‧汲極區 3112‧‧‧源極或汲極接點 3112A‧‧‧障壁層 3112B‧‧‧導電溝槽填充材料 3114‧‧‧層間介電質層 3116‧‧‧閘極介電質間隔件 3149‧‧‧位置 3150‧‧‧積體電路結構 3152‧‧‧閘極結構 3152A‧‧‧鐵電或反鐵電多晶材料層 3152B‧‧‧導電層 3152C‧‧‧閘極填充層 3153‧‧‧非晶氧化物層 3154‧‧‧基板 3156‧‧‧半導體通道結構 3158‧‧‧凸起的源極區域 3160‧‧‧凸起的汲極區域 3162‧‧‧源極或汲極接點 3162A‧‧‧障壁層 3162B‧‧‧導電溝槽填充材料 3164‧‧‧層間介電質層 3166‧‧‧閘極介電質間隔件 3199‧‧‧位置 3200‧‧‧半導體鰭 3204‧‧‧主動閘極線 3206‧‧‧虛設閘極線 3208‧‧‧間隔 3251、3252、3253、3254‧‧‧源極或汲極區域 3260‧‧‧基板 3262‧‧‧半導體鰭 3264‧‧‧主動閘極線 3266‧‧‧虛設閘極線 3268‧‧‧嵌入式源極或汲極結構 3270‧‧‧介電質層 3272‧‧‧閘極介電質結構 3274‧‧‧功函數閘極電極部分 3276‧‧‧填充閘極電極部分 3278‧‧‧介電質蓋層 3280‧‧‧介電質間隔件 3297‧‧‧溝槽接點材料 3298‧‧‧鐵電或反鐵電多晶材料層 3299‧‧‧非晶氧化物層 3300‧‧‧半導體主動區域 3302‧‧‧第一NMOS裝置 3304‧‧‧第二NMOS裝置 3306‧‧‧閘極介電質層 3308‧‧‧第一閘極電極導電層 3310‧‧‧閘極電極導電填充 3312‧‧‧區域 3320‧‧‧半導體主動區域 3322‧‧‧第一PMOS裝置 3324‧‧‧第二PMOS裝置 3326‧‧‧閘極介電質層 3328‧‧‧第一閘極電極導電層 3330‧‧‧閘極電極導電填充 3332‧‧‧區域 3350‧‧‧半導體主動區域 3352‧‧‧第一NMOS裝置 3354‧‧‧第二NMOS裝置 3356‧‧‧閘極介電質層 3358‧‧‧第一閘極電極導電層 3359‧‧‧第二閘極電極導電層 3360‧‧‧閘極電極導電填充 3370‧‧‧半導體主動區域 3372‧‧‧第一PMOS裝置 3374‧‧‧第二PMOS裝置 3376‧‧‧閘極介電質層 3378A‧‧‧閘極電極導電層 3378B‧‧‧閘極電極導電層 3380‧‧‧閘極電極導電填充 3400‧‧‧半導體主動區 3402‧‧‧第一NMOS裝置 3403‧‧‧第三NMOS裝置 3404‧‧‧第二NMOS裝置 3406‧‧‧閘極介電質層 3408‧‧‧第一閘極電極導電層 3409‧‧‧第二閘極電極導電層 3410‧‧‧閘極電極導電填充 3412‧‧‧區域 3420‧‧‧半導體主動區域 3422‧‧‧第一PMOS裝置 3423‧‧‧第三PMOS裝置 3424‧‧‧第二PMOS裝置 3426‧‧‧閘極介電質層 3428A‧‧‧閘極電極導電層 3428B‧‧‧閘極電極導電層 3430‧‧‧閘極電極導電填充 3432‧‧‧區域 3450‧‧‧半導體主動區 3452‧‧‧第一NMOS裝置 3453‧‧‧第三NMOS裝置 3454‧‧‧第二NMOS裝置 3456‧‧‧閘極介電質層 3458‧‧‧第一閘極電極導電層 3459‧‧‧第二閘極電極導電層 3460‧‧‧閘極電極導電填充 3462‧‧‧區域 3470‧‧‧半導體主動區域 3472‧‧‧第一PMOS裝置 3473‧‧‧第三PMOS裝置 3474‧‧‧第二PMOS裝置 3476‧‧‧閘極介電質層 3478A‧‧‧閘極電極導電層 3478B‧‧‧閘極電極導電層 3480‧‧‧閘極電極導電填充 3432‧‧‧區域 3502‧‧‧第一半導體鰭 3504‧‧‧第二半導體鰭 3506‧‧‧閘極介電質層 3508‧‧‧P型金屬層 3509‧‧‧部分 3510‧‧‧N型金屬層 3512‧‧‧導電填充金屬層 3602‧‧‧第一半導體鰭 3604‧‧‧第二半導體鰭 3606‧‧‧閘極介電質層 3608‧‧‧第一P型金屬層 3609‧‧‧部分 3610‧‧‧第二P型金屬層 3611‧‧‧接縫 3612‧‧‧導電填充金屬層 3614‧‧‧N型金屬層 3700‧‧‧積體電路結構 3702‧‧‧半導體基板 3704‧‧‧N井區 3706‧‧‧第一半導體鰭 3708‧‧‧P井區 3710‧‧‧第二半導體鰭 3712‧‧‧溝槽隔離結構 3714‧‧‧閘極介電質層 3716‧‧‧導電層 3717‧‧‧頂面 3718‧‧‧p型金屬閘極層 3719‧‧‧頂面 3720‧‧‧n型金屬閘極層 3721‧‧‧頂面 3722‧‧‧層間介電質(ILD)層 3724‧‧‧開口 3726‧‧‧側壁 3730‧‧‧導電填充金屬層 3732‧‧‧熱或化學氧化物層 3800‧‧‧基板 3802‧‧‧層間介電質(ILD)層 3804‧‧‧第一半導體鰭 3806‧‧‧第二半導體鰭 3808‧‧‧開口 3810‧‧‧閘極介電質層 3811‧‧‧熱或化學氧化物層 3812‧‧‧溝槽隔離結構 3814‧‧‧導電層 3815‧‧‧圖案化的導電層 3816‧‧‧p型金屬閘極層 3817‧‧‧圖案化的p型金屬閘極層 3818‧‧‧介電質蝕刻停止層 3819‧‧‧圖案化的介電質蝕刻停止層 3820‧‧‧掩模 3822‧‧‧n型金屬閘極層 3824‧‧‧側壁 3826‧‧‧導電填充金屬層 3902‧‧‧第一閘極結構 3902A‧‧‧第一側 3902B‧‧‧第二側 3903‧‧‧介電質側壁間隔件 3904‧‧‧第一鰭 3904A‧‧‧頂部 3906‧‧‧絕緣材料 3908‧‧‧第一源極或汲極區域 3910‧‧‧第二源極或汲極區域 3912‧‧‧第一金屬矽化物層 3914‧‧‧第一金屬層 3916‧‧‧U形金屬層 3918‧‧‧第二金屬層 3920‧‧‧第三金屬層 3930‧‧‧第一溝槽接點結構 3932‧‧‧第二溝槽接點結構 3952‧‧‧第二閘極結構 3952A‧‧‧第一側 3952B‧‧‧第二側 3953‧‧‧介電質側壁間隔件 3954‧‧‧第二鰭 3954A‧‧‧頂部 3958‧‧‧第三源極或汲極區域 3960‧‧‧第四源極或汲極區域 3962‧‧‧第二金屬矽化物層 3970‧‧‧第三溝槽接點結構 3972‧‧‧第四溝槽接點結構 4000‧‧‧積體電路結構 4002‧‧‧鰭 4004‧‧‧閘極介電質層 4006‧‧‧導電電極 4006A‧‧‧第一側 4006B‧‧‧第二側 4008‧‧‧共形導電層 4010‧‧‧導電填充 4012‧‧‧介電質 4013‧‧‧介電質間隔件 4014‧‧‧第一半導體源極或汲極區域 4016‧‧‧第二半導體源極或汲極區域 4018‧‧‧第一溝槽接點結構 4020‧‧‧第二溝槽接點結構 4022‧‧‧U形金屬層 4024‧‧‧T形金屬層 4026‧‧‧第三金屬層 4028‧‧‧第一溝槽接點通孔 4030‧‧‧第二溝槽接點通孔 4032‧‧‧金屬矽化物層 4050‧‧‧積體電路結構 4052‧‧‧鰭 4054‧‧‧閘極介電質層 4056‧‧‧閘極電極 4056A‧‧‧第一側 4056B‧‧‧第二側 4058‧‧‧共形導電層 4060‧‧‧導電填充 4062‧‧‧介電質 4063‧‧‧介電質間隔件 4064‧‧‧第一半導體源極或汲極區域 4066‧‧‧第二半導體源極或汲極區域 4068‧‧‧第一溝槽接點結構 4070‧‧‧第二溝槽接點結構 4072‧‧‧U形金屬層 4074‧‧‧T形金屬層 4076‧‧‧第三金屬層 4078‧‧‧第一溝槽接點通孔 4080‧‧‧第二溝槽接點通孔 4082‧‧‧金屬矽化物層 4100‧‧‧半導體結構 4102‧‧‧閘極結構 4102A‧‧‧閘極介電質層 4102B‧‧‧功函數層 4102C‧‧‧閘極填充物 4104‧‧‧基板 4108‧‧‧源極區域 4110‧‧‧汲極區域 4112‧‧‧源極或汲極接點 4112A‧‧‧高純度金屬層 4112B‧‧‧導電溝槽填充材料 4114‧‧‧層間介電質層 4116‧‧‧閘極介電質間隔件 4149‧‧‧表面 4150‧‧‧半導體結構 4152‧‧‧閘極結構 4152A‧‧‧閘極介電質層 4152B‧‧‧功函數層 4152C‧‧‧閘極填充物 4154‧‧‧基板 4158‧‧‧源極區域 4160‧‧‧汲極區域 4162‧‧‧源極或汲極接點 4162A‧‧‧高純度金屬層 4162B‧‧‧導電溝槽填充材料 4164‧‧‧層間介電質層 4166‧‧‧閘極介電質間隔件 4199‧‧‧表面 4200‧‧‧半導體鰭 4204‧‧‧主動閘極線 4206‧‧‧虛設閘極線 4251、4252、4253、4254‧‧‧源極或汲極區域 4300‧‧‧基板 4302‧‧‧半導體鰭 4304‧‧‧主動閘極線 4306‧‧‧虛設閘極線 4308‧‧‧嵌入式源極或汲極結構 4310‧‧‧介電質層 4312‧‧‧閘極介電質層 4314‧‧‧功函數閘極電極部分 4316‧‧‧填充閘極電極部分 4318‧‧‧介電質蓋層 4320‧‧‧介電質間隔件 4330‧‧‧開口 4332‧‧‧被侵蝕的嵌入式源極或汲極結構 4334‧‧‧溝槽接點 4336‧‧‧金屬接觸層 4336A‧‧‧第一半導體源極或汲極結構 4336B‧‧‧位置 4338‧‧‧導電填充材料 4400‧‧‧基板 4402‧‧‧半導體鰭 4404‧‧‧基板 4406‧‧‧嵌入式源極或汲極結構 4408‧‧‧溝槽接點 4410‧‧‧介電質層 4412‧‧‧金屬接觸層 4414‧‧‧導電填充材料 4500‧‧‧積體電路結構 4502‧‧‧鰭 4502A‧‧‧鰭 4502B‧‧‧第二鰭 4504‧‧‧第一方向 4506‧‧‧閘極結構 4506A/4506B‧‧‧第一對 4506B/4506C‧‧‧第二對 4508‧‧‧第二方向 4510‧‧‧介電質側壁間隔件 4512‧‧‧溝槽接點結構 4514A‧‧‧接點插塞 4514B‧‧‧接點插塞 4516‧‧‧下部介電質材料 4518‧‧‧上部硬掩模材料 4520‧‧‧下部導電結構 4522‧‧‧介電質蓋 4524‧‧‧閘極電極 4526‧‧‧閘極介電質層 4528‧‧‧介電質 4602‧‧‧複數個鰭之個別者 4604‧‧‧第一方向 4606‧‧‧擴散區域 4608‧‧‧閘極結構 4609‧‧‧犧牲或虛設閘極堆疊及介電質間隔件 4610‧‧‧第二方向 4612‧‧‧犧牲材料結構 4614‧‧‧接點插塞 4614’‧‧‧最終完成的接點插塞 4616‧‧‧下部介電質材料 4618‧‧‧硬掩模材料 4620‧‧‧開口 4622‧‧‧溝槽接點結構 4624‧‧‧上部硬掩模材料 4626‧‧‧下部導電結構 4628‧‧‧介電質 4630‧‧‧永久閘極結構 4632‧‧‧永久閘極介電質層 4634‧‧‧永久閘極電極層或堆疊 4636‧‧‧介電質 4700A‧‧‧半導體結構或裝置 4700B‧‧‧半導體結構或裝置 4702‧‧‧基板 4704‧‧‧擴散或主動區域 4704B‧‧‧非平面擴散或主動區域 4704C‧‧‧非平面擴散或主動區域 4706‧‧‧隔離區域 4708A、4708B、4708C‧‧‧閘極線 4710A、4710B‧‧‧溝槽接點 4712A、4712B‧‧‧溝槽接點通孔 4714‧‧‧閘極接點 4716‧‧‧閘極接點通孔 4750‧‧‧閘極電極 4752‧‧‧閘極介電質層 4754‧‧‧介電質蓋層 4760‧‧‧金屬互連 4770‧‧‧層間介電質堆疊或層 4800A‧‧‧半導體結構或裝置 4800B ‧‧‧半導體結構或裝置 4802‧‧‧基板 4804‧‧‧擴散或主動區域 4804B‧‧‧非平面擴散或主動區域 4806‧‧‧隔離區域 4808A、4808B、4808C‧‧‧閘極線 4810A、4810B‧‧‧溝槽接點 4812A、4812B‧‧‧溝槽接點通孔 4816‧‧‧閘極接點通孔 4850‧‧‧閘極電極 4852‧‧‧閘極介電質層 4854‧‧‧介電質蓋層 4860‧‧‧金屬互連 4870‧‧‧層間介電質堆疊或層 4900‧‧‧半導體結構 4902‧‧‧基板 4908A-4908E‧‧‧閘極堆疊結構 4910A-4910C‧‧‧溝槽接點 4911A-4911C‧‧‧凹陷的溝槽接點 4920‧‧‧介電質間隔件 4922‧‧‧絕緣蓋層 4923‧‧‧區域 4924‧‧‧絕緣蓋層 4930‧‧‧層間介電質(ILD)層 4932‧‧‧硬掩模 4934‧‧‧金屬(0)溝槽 4936‧‧‧通孔開口 5000‧‧‧積體電路結構 5002‧‧‧半導體基板或鰭 5004‧‧‧閘極線 5005‧‧‧閘極堆疊 5006‧‧‧閘極絕緣蓋層 5008‧‧‧介電質間隔件 5010‧‧‧溝槽接點 5011‧‧‧導電接點結構 5012‧‧‧溝槽接點絕緣蓋層 5014‧‧‧閘極接點通孔 5016‧‧‧溝槽接點通孔 5100A、5100B、5100C‧‧‧積體電路結構 5102‧‧‧鰭 5102A‧‧‧頂部 5104‧‧‧第一閘極介電質層 5106‧‧‧第二閘極介電質層 5108‧‧‧第一閘極電極 5109A‧‧‧共形導電層 5109B‧‧‧導電填充材料 5110‧‧‧第二閘極電極 5112‧‧‧第一側 5114‧‧‧第二側 5116‧‧‧絕緣蓋 5117A‧‧‧底面 5117B‧‧‧底面 5117C‧‧‧底面 5118‧‧‧頂面 5120‧‧‧第一介電質間隔件 5122‧‧‧第二介電質間隔件 5124‧‧‧半導體源極或汲極區域 5126‧‧‧溝槽接點結構 5128‧‧‧絕緣 5128A‧‧‧底面 5128B‧‧‧底面 5128C‧‧‧底面 5129‧‧‧頂面 5130、5130A‧‧‧導電結構 5132‧‧‧凹陷 5134‧‧‧U形金屬層 5136‧‧‧T形金屬層 5138‧‧‧第三金屬層 5140‧‧‧金屬矽化物層 5150‧‧‧導電通孔 5152‧‧‧開口 5154‧‧‧已侵蝕部分 5160‧‧‧導電通孔 5162‧‧‧開口 5164‧‧‧已侵蝕部分 5170‧‧‧電短路接點 5200‧‧‧半導體結構或裝置 5208A-5208C‧‧‧閘極結構 5210A、5210B‧‧‧溝槽接點 5250‧‧‧半導體結構或裝置 5258A-5258C‧‧‧閘極結構 5260A、5260B‧‧‧溝槽接點 5280‧‧‧閘極接點通孔 5290‧‧‧閘極接點通孔 5300‧‧‧起始結構 5302‧‧‧基板或鰭 5304‧‧‧閘極堆疊 5306‧‧‧閘極介電質層 5308‧‧‧共形導電層 5310‧‧‧導電填充材料 5312‧‧‧熱或化學氧化物層 5314‧‧‧介電質間隔件 5316‧‧‧層間介電質(ILD)層 5318‧‧‧掩模 5320‧‧‧開口 5322‧‧‧空腔 5324‧‧‧凹陷的閘極堆疊 5326‧‧‧第一絕緣層 5328‧‧‧第一部分 5330‧‧‧絕緣閘極蓋結構 5330A、5330B、5330C、5330D‧‧‧材料 5332、5332A、5332B、5332C‧‧‧接縫 5400‧‧‧間距四分法方法 5402‧‧‧骨幹特徵 5404、5404’‧‧‧第一間隔件特徵 5406‧‧‧第二間隔件特徵 5407‧‧‧互補區域 5408‧‧‧溝槽 5500‧‧‧積體電路結構 5502‧‧‧基板 5504‧‧‧層間介電質(ILD)層 5506‧‧‧導電互連線 5506B‧‧‧導電互連線 5506S‧‧‧導電互連線 5506C‧‧‧導電互連線 5508‧‧‧導電障壁層 5510‧‧‧導電填充材料 5550‧‧‧積體電路結構 5552‧‧‧基板 5554‧‧‧第一層間介電質(ILD)層 5556‧‧‧導電互連線 5558‧‧‧導電障壁層 5560‧‧‧導電填充材料 5574‧‧‧第二層間介電質(ILD)層 5576‧‧‧導電互連線 5578‧‧‧導電障壁層 5580‧‧‧導電填充材料 5600‧‧‧積體電路結構 5602‧‧‧基板 5604‧‧‧第一層間介電質(ILD)層 5606‧‧‧導電互連線 5606A‧‧‧導電互連線 5607‧‧‧底層通孔 5608‧‧‧第一導電障壁材料 5610‧‧‧第一導電填充材料 5614‧‧‧第二ILD層 5616、5616A‧‧‧導電互連線 5617‧‧‧底層通孔 5618‧‧‧第二導電障壁材料 5620‧‧‧第二導電填充材料 5622‧‧‧蝕刻停止層 5650‧‧‧積體電路結構 5652‧‧‧基板 5654‧‧‧第一層間介電質(ILD)層 5656‧‧‧導電互連線 5656A‧‧‧導電互連線 5657‧‧‧底層通孔 5658‧‧‧第一導電障壁材料 5660‧‧‧第一導電填充材料 5664‧‧‧第二ILD層 5666、5666A‧‧‧導電互連線 5667‧‧‧底層通孔 5668‧‧‧第二導電障壁材料 5670‧‧‧第二導電填充材料 5672‧‧‧蝕刻停止層 5698‧‧‧第一方向 5699‧‧‧第二方向 5700‧‧‧互連線 5701‧‧‧介電質層 5702‧‧‧導電障壁材料 5704‧‧‧導電填充材料 5706‧‧‧外層 5708‧‧‧內層 5720‧‧‧互連線 5721‧‧‧介電質層 5722‧‧‧導電障壁材料 5724‧‧‧導電填充材料 5730‧‧‧導電蓋層 5740‧‧‧互連線 5741‧‧‧介電質層 5742‧‧‧導電障壁材料 5744‧‧‧導電填充材料 5746‧‧‧外層 5748‧‧‧內層 5750‧‧‧導電蓋層 5752‧‧‧位置 5754‧‧‧位置 5800‧‧‧積體電路結構 5801‧‧‧基板 5802‧‧‧第一層間介電質(ILD)層 5804‧‧‧導電互連線 5804A‧‧‧個別一者 5806‧‧‧第一導電障壁材料 5808‧‧‧第一導電填充材料 5812‧‧‧第二ILD層 5814‧‧‧導電互連線 5814A、5814B‧‧‧個別一者 5819‧‧‧第一導電通孔 5822‧‧‧第三ILD層 5824‧‧‧導電互連線 5824A、5824B‧‧‧個別一者 5826‧‧‧第二導電障壁材料 5828‧‧‧第二導電填充材料 5829‧‧‧第二導電通孔 5832‧‧‧第四ILD層 5834‧‧‧導電互連線 5834A、5834B‧‧‧個別一者 5839‧‧‧第三導電通孔 5842‧‧‧第五ILD層 5844‧‧‧導電互連線 5844A、5844B‧‧‧個別一者 5849‧‧‧第四導電通孔 5852‧‧‧第六ILD層 5854‧‧‧導電互連線 5854A‧‧‧個別一者 5859‧‧‧第五導電通孔 5890‧‧‧蝕刻停止層 5898‧‧‧第一方向 5899‧‧‧第二方向 5900‧‧‧積體電路結構 5902‧‧‧基板 5904‧‧‧層間介電質(ILD)層 5906‧‧‧導電通孔 5908‧‧‧第一溝槽 5909‧‧‧開口 5910‧‧‧導電互連線 5912‧‧‧第二溝槽 5913‧‧‧開口 5914‧‧‧第一導電障壁層 5916‧‧‧第二導電障壁層 5918‧‧‧第三導電障壁層 5920‧‧‧導電填充材料 5922‧‧‧導電蓋層 5924‧‧‧位置 5926‧‧‧位置 5950‧‧‧第二導電互連線 5952‧‧‧第二ILD層 5954‧‧‧導電填充材料 5956‧‧‧導電蓋 5958‧‧‧蝕刻停止層 5960‧‧‧開口 6000‧‧‧積體電路結構 6002‧‧‧基板 6004‧‧‧層間介電質(ILD)層 6006‧‧‧導電互連線 6006A‧‧‧個別一者 6007‧‧‧底層通孔 6008‧‧‧上表面 6010‧‧‧上表面 6012‧‧‧蝕刻停止層 6014‧‧‧最上部 6016‧‧‧最下部 6018‧‧‧導電通孔 6020‧‧‧開口 6022‧‧‧第二ILD層 6024‧‧‧中心 6026‧‧‧中心 6028‧‧‧障壁層 6030‧‧‧導電填充材料 6100‧‧‧積體電路結構 6102‧‧‧基板 6104‧‧‧層間介電質(ILD)層 6106‧‧‧導電互連線 6106A‧‧‧個別一者 6107‧‧‧底層通孔 6108‧‧‧上表面 6110‧‧‧上表面 6112‧‧‧蝕刻停止層 6114‧‧‧最下部 6116‧‧‧最上部 6118‧‧‧導電通孔 6120‧‧‧開口 6122‧‧‧第二ILD層 6124‧‧‧中心 6126‧‧‧中心 6128‧‧‧障壁層 6130‧‧‧導電填充材料 6200‧‧‧金屬化層 6202‧‧‧金屬線 6203‧‧‧底層通孔 6204‧‧‧介電質層 6205‧‧‧線端或插塞區域 6206‧‧‧線溝槽 6208‧‧‧通孔溝槽 6210‧‧‧硬掩模層 6212‧‧‧線溝槽 6214‧‧‧通孔溝槽 6216‧‧‧單一大型曝光 6300‧‧‧底層金屬化層 6302‧‧‧層間介電質(ILD)材料層 6304‧‧‧上部分 6306‧‧‧線溝槽 6308‧‧‧通孔溝槽 6310‧‧‧下部分 6312‧‧‧金屬線 6314‧‧‧犧牲材料 6315‧‧‧硬掩模 6316‧‧‧開口 6318‧‧‧介電質插塞 6318’‧‧‧平坦化的介電質插塞 6318A‧‧‧底部 6320‧‧‧上表面 6322‧‧‧上表面 6324‧‧‧導電材料 6324A‧‧‧第一部分 6324B‧‧‧第二部分 6324C‧‧‧底部 6326‧‧‧第一導電通孔 6328‧‧‧第二導電通孔 6330‧‧‧第三溝槽 6350‧‧‧積體電路結構 6400‧‧‧接縫 6418‧‧‧介電質插塞 6450‧‧‧積體電路結構 6452‧‧‧基板 6454‧‧‧第一層間介電質(ILD)層 6456‧‧‧導電互連線 6456A‧‧‧第一導電障壁襯墊 6456B‧‧‧第一導電填充材料 6458‧‧‧介電質插塞 6464‧‧‧第二ILD層 6466‧‧‧導電互連線 6466A‧‧‧第二導電障壁襯墊 6466B‧‧‧第二導電填充材料 6468‧‧‧部分 6470‧‧‧類似層 6480‧‧‧類似層 6500‧‧‧14奈米(14nm)佈局 6502‧‧‧位元單元 6504‧‧‧閘極或多晶矽線 6506‧‧‧金屬1(M1)線 6600‧‧‧10奈米(10nm)佈局 6602‧‧‧位元單元 6604‧‧‧閘極或多晶矽線 6605‧‧‧重疊線 6606‧‧‧金屬1(M1)線 6700‧‧‧單元佈局 6702‧‧‧N擴散 6704‧‧‧P擴散 6706‧‧‧溝槽接點 6708‧‧‧閘極接點 6710‧‧‧接點通孔 6800‧‧‧單元佈局 6802‧‧‧N擴散 6804‧‧‧P擴散 6806‧‧‧溝槽接點 6808‧‧‧閘極通孔 6810‧‧‧溝槽接點通孔 6900‧‧‧單元佈局 6902‧‧‧金屬0(M0)線 6904‧‧‧通孔0結構 7000‧‧‧單元佈局 7002‧‧‧金屬0(M0)線 7004‧‧‧通孔0結構 7102‧‧‧位元單元佈局 7104‧‧‧閘極線 7106‧‧‧溝槽接點線 7108‧‧‧NMOS擴散區域 7110‧‧‧PMOS擴散區域 7112‧‧‧NMOS傳輸閘電晶體 7114‧‧‧NMOS下拉電晶體 7116‧‧‧PMOS上拉電晶體 7118‧‧‧字元線(WL) 7120‧‧‧內部節點 7122‧‧‧位元線(BL) 7124‧‧‧反相位元線(BLB) 7126‧‧‧內部節點 7128‧‧‧SRAM VCC 7130‧‧‧VSS 7202A‧‧‧基板 7202B‧‧‧基板 7204A‧‧‧閘極線 7204B‧‧‧閘極線 7206A‧‧‧金屬1(M1)互連 7206B‧‧‧金屬1(M1)互連 7300A‧‧‧單元 7300B‧‧‧單元 7300C‧‧‧單元 7300D‧‧‧單元 7302A‧‧‧閘極(或多晶矽)線 7302B‧‧‧閘極(或多晶矽)線 7302C‧‧‧閘極(或多晶矽)線 7302D‧‧‧閘極(或多晶矽)線 7304A‧‧‧金屬1(M1)線 7304B‧‧‧金屬1(M1)線 7304C‧‧‧金屬1(M1)線 7304D‧‧‧金屬1(M1)線 7400‧‧‧區塊階多晶矽柵格 7402‧‧‧閘極線 7404‧‧‧方向 7406、7408‧‧‧單元佈局邊界 7500‧‧‧佈局 7600‧‧‧佈局 7700‧‧‧佈局 7800‧‧‧積體電路結構 7801‧‧‧半導體基板 7802‧‧‧半導體鰭 7804‧‧‧基板 7805‧‧‧頂面 7806‧‧‧第一端部 7807‧‧‧側壁 7808‧‧‧第二端部 7810‧‧‧金屬電阻層 7810A‧‧‧金屬電阻層部分 7810B‧‧‧金屬電阻層部分 7810C‧‧‧金屬電阻層部分 7810D‧‧‧金屬電阻層部分 7810E‧‧‧足狀特徵 7812‧‧‧隔離層 7814‧‧‧溝槽隔離區域 7902‧‧‧骨幹模板結構 7904‧‧‧側壁間隔件層 7906‧‧‧區域 8400、8402、8404、8406、8408、8410‧‧‧電極 8600‧‧‧基板 8601‧‧‧微影掩模結構 8602‧‧‧圖案化吸收劑層 8604‧‧‧上層 8606‧‧‧圖案化移位器層 8608‧‧‧最上表面 8610‧‧‧晶粒中區 8612‧‧‧最上表面 8614‧‧‧最上表面 8620‧‧‧框區域 8630‧‧‧晶粒框介面區域 8640‧‧‧雙層堆疊 8700‧‧‧計算裝置 8702‧‧‧板 8704‧‧‧處理器 8706‧‧‧通訊晶片 8800‧‧‧中介層 8802‧‧‧第一基板 8804‧‧‧第二基板 8806‧‧‧球柵陣列(BGA) 8808‧‧‧金屬互連 8810‧‧‧通孔 8812‧‧‧穿矽通孔(TSV) 8814‧‧‧嵌入式裝置 8900‧‧‧行動計算平台 8905‧‧‧顯示螢幕 8910‧‧‧晶片級(SoC)或封裝級整合系統 8911‧‧‧控制器 8913‧‧‧電池 8915‧‧‧電力管理積體電路(PMIC) 8920‧‧‧放大圖 8925‧‧‧RF(無線)積體電路(RFIC) 8960‧‧‧電路板 8977‧‧‧封裝裝置 9000‧‧‧設備 9002‧‧‧晶粒 9004‧‧‧金屬化墊 9006‧‧‧封裝基板 9008‧‧‧連接 9010‧‧‧焊球 9012‧‧‧下填材料
圖1A顯示在層間介電質(ILD)層上所形成之硬掩模材料層的沉積之後(但在圖案化之前)的起始結構的橫截面圖。
圖1B顯示在藉由間距減半的硬掩模層之圖案化之後的圖1A之結構的橫截面圖。
圖2A為根據本發明的實施例的用以製造半導體鰭之間距四分法方法的示意圖。
圖2B顯示根據本發明的實施例的使用間距四分法方法所製造的半導體鰭之橫截面圖。
圖3A為根據本發明的實施例的用以製造半導體鰭之合併鰭間距四分法方法的示意圖。
圖3B顯示根據本發明的實施例的使用合併鰭間距四分法方法所製造的半導體鰭之橫截面圖。
圖4A-4C為根據本發明的實施例的表示一種製造複數個半導體鰭的方法中之各種操作的橫截面圖。
圖5A顯示根據本發明的實施例的由三層溝槽隔離結構所分離的一對半導體鰭之橫截面圖。
圖5B顯示根據本發明的另一實施例的由另一三層溝槽隔離結構所分離的另一對半導體鰭之橫截面圖。
圖6A-6D顯示根據本發明的實施例的三層溝槽隔離結構之製造中的各種操作之橫截面圖。
圖7A-7E顯示根據本發明的實施例的一種製造積體電路結構之方法中的各種操作之斜角三維橫截面圖。
圖8A-8F顯示根據本發明的實施例的沿著用於一種製造積體電路結構之方法中的各種操作之圖7E的a-a’軸所擷取之稍微突出的橫截面圖。
圖9A顯示根據本發明的實施例的沿著用於一種包括永久閘極堆疊及磊晶源極或汲極區域的積體電路結構之圖7E的a-a’軸所擷取之稍微突出的橫截面圖。
圖9B顯示根據本發明的實施例的沿著用於一種包括磊晶源極或汲極區域及多層溝槽隔離結構的積體電路結構之圖7E的b-b’軸所擷取之橫截面圖。
圖10顯示根據本發明的實施例的一種在源極或汲極位置處所擷取之積體電路結構的橫截面圖。
圖11顯示根據本發明的實施例的另一種在源極或汲極位置處所擷取之積體電路結構的橫截面圖。
圖12A-12D顯示根據本發明的實施例的在源極或汲極位置處所擷取並表示一種積體電路結構之製造中的各種操作的橫截面圖。
圖13A及13B顯示根據本發明的實施例的表示一種用以形成局部隔離結構之具有多閘極間隔的鰭之圖案化的方法中之各種操作的平面圖。
圖14A-14D顯示根據本發明的另一實施例的表示一種用以形成局部隔離結構之具有單一閘極間隔的鰭之圖案化的方法中之各種操作的平面圖。
圖15顯示根據本發明的實施例的一種具有用於局部隔離之多閘極間隔的鰭之積體電路結構的橫截面圖。
圖16A顯示根據本發明的另一實施例的一種具有用於局部隔離之單一閘極間隔的鰭之積體電路結構的橫截面圖。
圖16B顯示根據本發明的實施例的顯示其中可形成鰭隔離結構以置換閘極電極的位置的橫截面圖。
圖17A-17C顯示根據本發明的實施例的使用鰭修整隔離方式所製造的鰭切割之各種深度可能性。
圖18顯示根據本發明的實施例的顯示一鰭內之鰭切割的局部相對於較寬廣位置之深度的可能選擇的平面圖及沿著a-a’軸所擷取的相應橫截面圖。
圖19A及19B顯示根據本發明的實施例的一種在具有寬廣切割之鰭的端部上選擇鰭端部應力源(stressor)位置的方法中之各種操作的橫截面圖。
圖20A及20B顯示根據本發明的實施例的一種在具有局部切割之鰭的端部上選擇鰭端部應力源位置的方法中之各種操作的橫截面圖。
圖21A-21M顯示根據本發明的實施例的一種製造具有差異化的鰭端部介電質插塞的積體電路結構之方法中的各種操作之橫截面圖。
圖22A-22D顯示根據本發明的實施例的PMOS鰭端部應力源介電質插塞之範例結構的橫截面圖。
圖23A顯示根據本發明的另一實施例的另一種具有鰭端部應力感應特徵的半導體結構之橫截面圖。
圖23B顯示根據本發明的另一實施例的另一種具有鰭端部應力感應特徵的半導體結構之橫截面圖。
圖24A顯示根據本發明的實施例的具有拉伸單軸應力之鰭的斜角視圖。
圖24B顯示根據本發明的實施例的具有壓縮單軸應力之鰭的斜角視圖。
圖25A及25B顯示根據本發明的實施例的表示一種用以形成局部隔離結構於選擇閘極線切割位置中之具有單一閘極間隔的鰭之圖案化的方法中之各種操作的平面圖。
圖26A-26C顯示根據本發明的實施例的用於圖25B之結構的各個區域之多晶矽切割(poly cut)與鰭修整隔離(FTI)局部鰭切割位置以及僅多晶矽切割位置的介電質插塞之各種可能性的橫截面圖。
圖27A顯示根據本發明的實施例的一種具有閘極線切割之積體電路結構的平面圖及相應橫截面圖,該閘極線切割具有延伸入該閘極線之介電質間隔件的介電質插塞。
圖27B顯示根據本發明的另一實施例的一種具有閘極線切割之積體電路結構的平面圖及相應橫截面圖,該閘極線切割具有延伸超過該閘極線之介電質間隔件的介電質插塞。
圖28A-28F顯示根據本發明的另一實施例的一種製造具有閘極線切割之積體電路結構的方法中之各種操作的橫截面圖,該閘極線切割具有介電質插塞,該介電質插塞具有一延伸超過該閘極線之介電質間隔件的上部及一延伸入該閘極線之該些介電質間隔件的下部。
圖29A-29C顯示根據本發明的實施例的一種在永久閘極堆疊之底部的部分處具有殘留虛設閘極材料之積體電路結構的平面圖及相應橫截面圖。
圖30A-30D顯示根據本發明的另一實施例的一種製造在永久閘極堆疊之底部的部分處具有殘留虛設閘極材料之積體電路結構的方法中之各種操作的橫截面圖。
圖31A顯示根據本發明的實施例的一種具有鐵電或反鐵電閘極介電質結構的半導體裝置之橫截面圖。
圖31B顯示根據本發明的另一實施例的另一種具有鐵電或反鐵電閘極介電質結構的半導體裝置之橫截面圖。
圖32A顯示根據本發明的實施例的一對半導體鰭上方之複數個條閘極線的平面圖。
圖32B顯示根據本發明的實施例的沿著圖32A之a-a’軸所擷取的橫截面圖。
圖33A顯示根據本發明的實施例的具有根據調製摻雜之差異化的電壓臨限值的一對NMOS裝置、及具有根據調製摻雜之差異化的電壓臨限值的一對PMOS裝置之橫截面圖。
圖33B顯示根據本發明的另一實施例的具有根據差異化的閘極電極結構之差異化的電壓臨限值的一對NMOS裝置、及具有根據差異化的閘極電極結構之差異化的電壓臨限值的一對PMOS裝置之橫截面圖。
圖34A顯示根據本發明的實施例的具有根據差異化的閘極電極結構和根據調製摻雜之差異化的電壓臨限值的三重NMOS裝置、及具有根據差異化的閘極電極結構和根據調製摻雜之差異化的電壓臨限值的三重PMOS裝置之橫截面圖。
圖34B顯示根據本發明的另一實施例的具有根據差異化的閘極電極結構和根據調製摻雜之差異化的電壓臨限值的三重NMOS裝置、及具有根據差異化的閘極電極結構和根據調製摻雜之差異化的電壓臨限值的三重PMOS裝置之橫截面圖。
圖35A-35D顯示根據本發明的另一實施例的一種製造具有根據差異化的閘極電極結構的差異化的電壓臨限值之NMOS裝置的方法中之各種操作的橫截面圖。
圖36A-36D顯示根據本發明的另一實施例的一種製造具有根據差異化的閘極電極結構的差異化的電壓臨限值之PMOS裝置的方法中之各種操作的橫截面圖。
圖37顯示根據本發明的實施例的一種具有P/N接面之積體電路結構的橫截面圖。
圖38A-38H顯示根據本發明的實施例的一種使用雙金屬閘極置換閘極程序流程以製造積體電路結構之方法中的各種操作之橫截面圖。
圖39A-39H顯示根據本發明的實施例的表示一種製造雙矽化物為基的積體電路之方法中的各種操作的截面圖。
圖40A顯示根據本發明的實施例的一種用於NMOS裝置之具有溝槽接點的積體電路結構之橫截面圖。
圖40B顯示根據本發明的另一實施例的一種用於PMOS裝置之具有溝槽接點的積體電路結構之橫截面圖。
圖41A顯示根據本發明的實施例的一種在源極或汲極區域上具有導電接點的半導體裝置之橫截面圖。
圖41B顯示根據本發明的實施例的另一種在凸起的源極或汲極區域上具有導電接點的半導體裝置之橫截面圖。
圖42顯示根據本發明的實施例的一對半導體鰭上方之複數個條閘極線的平面圖。
圖43A-43C顯示根據本發明的實施例的用於一種製造積體電路結構之方法中的各種操作之沿著圖42的a-a’軸所擷取之橫截面圖。
圖44顯示根據本發明的實施例的用於一種積體電路結構之沿著圖42的b-b’軸所擷取之橫截面圖。
圖45A及45B個別地顯示根據本發明的實施例的一種包括具有硬掩模材料於其上之溝槽接點插塞的積體電路結構之平面圖及相應橫截面圖。
圖46A-46D顯示根據本發明的實施例的一種製造包括具有硬掩模材料於其上之溝槽接點插塞的積體電路結構之方法中的各種操作之橫截面圖。
圖47A顯示一種具有配置在閘極電極之非主動部分上方的閘極接點之半導體裝置的平面圖。圖47B顯示一種具有配置在閘極電極之非主動部分上方的閘極接點之非平面半導體裝置的橫截面圖。
圖48A顯示根據本發明的實施例的一種具有配置在閘極電極之主動部分上方的閘極接點通孔之半導體裝置的平面圖。圖48B顯示根據本發明的實施例的一種具有配置在閘極電極之主動部分上方的閘極接點通孔之非平面半導體裝置的橫截面圖。
圖49A-49D顯示根據本發明的實施例的表示一種製造具有配置在閘極之主動部分上方的閘極接點結構之半導體結構的方法中之各種操作的截面圖。
圖50顯示根據本發明的實施例的一種具有包括上覆絕緣蓋層之溝槽接點的積體電路結構之平面圖及相應橫截面圖。
圖51A-51F顯示根據本發明的實施例的各具有包括上覆絕緣蓋層之溝槽接點並具有包括上覆絕緣蓋層之閘極堆疊的各種積體電路結構之橫截面圖。
圖52A顯示根據本發明的另一實施例的另一種具有配置在閘極之主動部分上方的閘極接點通孔之半導體裝置的平面圖。
圖52B顯示根據本發明的另一實施例的另一種具有耦接一對溝槽接點的溝槽接點通孔之半導體裝置的平面圖。
圖53A-53E顯示根據本發明的實施例的表示一種製造具有閘極堆疊之積體電路結構的方法中之各種操作,該閘極堆疊具有上覆絕緣蓋層的橫截面圖。
圖54為根據本發明的實施例的用以製造互連結構之溝槽的間距四分法方法的示意圖。
圖55A顯示根據本發明的實施例的使用間距四分法方法所製造的金屬化層之橫截面圖。
圖55B顯示根據本發明的實施例的在使用間距四分法方法所製造的金屬化層之上使用間距減半方案所製造的金屬化層之橫截面圖。
圖56A顯示根據本發明的實施例的具有含金屬線成分的金屬化層於含不同金屬線成分的金屬化層之上的一種積體電路結構之橫截面圖。
圖56B顯示根據本發明的實施例的具有含金屬線成分的金屬化層耦接至含不同金屬線成分的金屬化層的一種積體電路結構之橫截面圖。
圖57A-57C顯示根據本發明的實施例的具有各種襯墊及導電封蓋結構配置之個別互連線的橫截面圖。
圖58顯示根據本發明的實施例的具有含金屬線成分及間距的四個金屬化層於含不同金屬線成分及更小間距的兩個金屬化層之上的一種積體電路結構之橫截面圖。
圖59A-59D顯示根據本發明的實施例的具有底部導電層之各種互連線及通孔配置的橫截面圖。
圖60A-60D顯示根據本發明的實施例的用於BEOL金屬化層之凹陷線形貌的結構配置之橫截面圖。
圖61A-61D顯示根據本發明的實施例的用於BEOL金屬化層之階狀線形貌的結構配置之橫截面圖。
圖62A顯示根據本發明的實施例的沿著金屬化層之平面圖的a-a’軸所擷取之平面圖及相應橫截面圖。
圖62B顯示根據本發明的實施例的線端或插塞之橫截面圖。
圖62C顯示根據本發明的實施例的線端或插塞之另一橫截面圖。
圖63A-63F顯示根據本發明的實施例的表示一種插塞最後處理方案中的各種操作的平面圖及相應橫截面圖。
圖64A顯示根據本發明的實施例的具有接縫於其中之導電線插塞的橫截面圖。
圖64B顯示根據本發明的實施例的在較低金屬線位置處包括導電線插塞之金屬化層的堆疊之橫截面圖。
圖65顯示記憶體單元之單元佈局的第一視圖。
圖66顯示根據本發明的實施例的具有內部節點跳線的記憶體單元之單元佈局的第一視圖。
圖67顯示記憶體單元之單元佈局的第二視圖。
圖68顯示根據本發明的實施例的具有內部節點跳線的記憶體單元之單元佈局的第二視圖。
圖69顯示記憶體單元之單元佈局的第三視圖。
圖70顯示根據本發明的實施例的具有內部節點跳線的記憶體單元之單元佈局的第三視圖。
圖71A及71B個別地顯示根據本發明的實施例的用於六電晶體(6T)靜態隨機存取記憶體(SRAM)的位元單元佈局及示意圖。
圖72顯示根據本發明的實施例的相同標準單元之兩個不同佈局的橫截面圖。
圖73顯示根據本發明的實施例的指示偶數(E)或奇數(O)指定之四個不同單元配置的平面圖。
圖74顯示根據本發明的實施例的區塊階層多晶矽柵格之平面圖。
圖75顯示根據本發明的實施例的基於具有不同版本之標準單元的範例性可接受(通過)佈局。
圖76顯示根據本發明的實施例的基於具有不同版本之標準單元的範例不可接受(失敗)佈局。
圖77顯示根據本發明的實施例的基於具有不同版本之標準單元的另一範例可接受(通過)佈局。
圖78顯示根據本發明的實施例的鰭式薄膜電阻結構之部分切割平面圖及相應橫截面圖,其中該橫截面圖係沿著部分切割平面圖之a-a’軸所擷取。
圖79-83顯示根據本發明的實施例的表示一種製造鰭式薄膜電阻結構之方法中的各種操作的平面圖及相應橫截面圖。
圖84顯示根據本發明的實施例的一種具有用於陽極或陰極電極接點的多種範例性位置之鰭式薄膜電阻結構之平面圖。
圖85A-85D顯示根據本發明的實施例的用以製造鰭式精密電阻之各種鰭幾何形狀的平面圖。
圖86顯示根據本發明的實施例的微影掩模結構之橫截面圖。
圖87顯示依據本發明的一種實現的計算裝置。
圖88顯示包括本發明的一或多個實施例的中介層。
圖89為根據本發明的實施例的利用依據本文中所述的一或多個程序所製造的IC或者包括本文中所述的一或多個特徵的一種行動計算平台之等角視圖。
圖90顯示根據本發明的實施例的一種覆晶安裝的晶粒之橫截面圖。
702‧‧‧鰭
702A‧‧‧下鰭部
702B‧‧‧上鰭部
704‧‧‧絕緣結構
706‧‧‧閘極結構
706A‧‧‧犧牲閘極介電質層
706B‧‧‧犧牲閘極
706C‧‧‧硬掩模
708‧‧‧介電質材料

Claims (20)

  1. 一種積體電路結構,包含:鰭,其包含矽,該鰭具有下鰭部和上鰭部,該上鰭部具有第一區域、第二區域和第三區域,該第二區域介於該第一區域和該第三區域之間且與該第一區域和該第三區域連續,其中該上鰭部之該第一區域、該第二區域和該第三區域具有相同半導體成分;絕緣結構,其與該鰭的該下鰭部的側壁直接相鄰;第一閘極電極,其在該上鰭部的該第一區域之上且在該絕緣結構的第一部分之上;第二閘極電極,其在該上鰭部的該第三區域之上且在該絕緣結構的第二部分之上;第一介電質間隔件,其沿著該第一閘極電極的側壁;第二介電質間隔件,其沿著該第二閘極電極的側壁,該第二介電質間隔件在該第一閘極電極和該第二閘極電極之間的該絕緣結構的第三部分上與該第一介電質間隔件連續;以及第三介電質間隔件,其沿著該上鰭部的該第二區域的側壁且不在該鰭的上表面上,該第三介電質間隔件與該第一與第二介電質間隔件連續,其中該第一、第二和第三介電質間隔件之組合覆蓋介於該第一閘極電極與該第二閘極電極間的該絕緣結構之該第三部分的整體,且其中該第一、第二和第三介電質間隔件之該組合的一部分與該絕緣 結構的該第三部分之非平面最上表面共形。
  2. 如申請專利範圍第1項的積體電路結構,其中該第一介電質間隔件和該第二介電質間隔件包含矽和氮。
  3. 如申請專利範圍第1項的積體電路結構,還包含:嵌入式源極或汲極結構,其在該第一閘極電極的相對側上且在該第二閘極電極的相對側上。
  4. 如申請專利範圍第1項的積體電路結構,其中該絕緣結構包含第一絕緣層、直接在該第一絕緣層上的第二絕緣層,以及直接橫向地在該第二絕緣層上的介電質填充材料。
  5. 如申請專利範圍第4項的積體電路結構,其中該第一絕緣層係包含矽和氧的非摻雜絕緣層。
  6. 如申請專利範圍第4項的積體電路結構,其中該第二絕緣層包含矽和氮。
  7. 如申請專利範圍第4項的積體電路結構,其中該介電質填充材料包含矽和氧。
  8. 一種積體電路結構,包含: 第一鰭,其包含矽,該第一鰭具有下鰭部和上鰭部,具有第一區域與第二區域之該第一鰭的該上鰭部與該第一區域連續,其中該上鰭部的該第一區域與該第二區域具有相同半導體成分;第二鰭,其包含矽,該第二鰭具有下鰭部和上鰭部,具有第一區域與第二區域之該第二鰭的該上鰭部與該第一區域連續,其中該上鰭部的該第一區域與該第二區域具有相同半導體成分;絕緣結構,其與該第一鰭的該下鰭部的側壁直接相鄰且與該第二鰭的該下鰭部的側壁直接相鄰;閘極電極,其在該第一鰭的該上鰭部的該第一區域之上、該第二鰭的該上鰭部的該第一區域之上以及該絕緣結構的第一部分之上;第一介電質間隔件,其沿著該第一鰭的該上鰭部的該第二區域的側壁且不在該第一鰭的上表面上;以及第二介電質間隔件,其沿著該第二鰭的該上鰭部的該第二區域的側壁且不在該第二鰭的上表面上,該第二介電質間隔件在該第一鰭和該第二鰭之間的該絕緣結構的第二部分上與該第一介電質間隔件連續,其中該第一與第二介電質間隔件之組合覆蓋介於該第一鰭和該第二鰭之間的該絕緣結構之該第二部分的整體,且其中該第一與第二介電質間隔件之該組合的一部分與該絕緣結構的該第二部分之非平面最上表面共形。
  9. 如申請專利範圍第8項的積體電路結構,其中該第一介電質間隔件和該第二介電質間隔件包含矽和氮。
  10. 如申請專利範圍第8項的積體電路結構,還包含:嵌入式源極或汲極結構,其在該閘極電極的相對側上,該嵌入式源極或汲極結構具有沿著該第一鰭和該第二鰭的該上鰭部的該側壁的該第一介電質間隔件和該第二介電質間隔件的頂面之下的底面,以及該嵌入式源極或汲極結構具有沿著該第一鰭和該第二鰭的該上鰭部的該側壁的該第一介電質間隔件和該第二介電質間隔件的頂面之上的頂面。
  11. 如申請專利範圍第8項的積體電路結構,其中該絕緣結構包含第一絕緣層、直接在該第一絕緣層上的第二絕緣層,以及直接橫向地在該第二絕緣層上的介電質填充材料。
  12. 如申請專利範圍第11項的積體電路結構,其中該第一絕緣層係包含矽和氧的非摻雜絕緣層。
  13. 如申請專利範圍第11項的積體電路結構,其中該第二絕緣層包含矽和氮。
  14. 如申請專利範圍第11項的積體電路結構,其中該介電 質填充材料包含矽和氧。
  15. 一種製造積體電路結構的方法,該方法包含:形成包含矽的鰭,該鰭具有下鰭部和上鰭部;形成與該鰭的該下鰭部的側壁直接相鄰的絕緣結構;分別在該上鰭部之上與該絕緣結構的第一部分和第二部分之上形成第一閘極結構和第二閘極結構;形成與該鰭的該上鰭部共形、與該第一閘極結構和該第二閘極結構共形,並且與該第一閘極結構和該第二閘極結構之間的該絕緣結構的第三部分共形的介電質材料;在該介電質材料上形成硬掩模材料;使該硬掩模材料凹陷以暴露與該鰭的該上鰭部共形並且與該第一閘極結構和該第二閘極結構共形的該介電質材料的部分,該凹陷的硬掩模材料覆蓋與該第一閘極結構和該第二閘極結構之間的該絕緣結構的該第三部分共形的該介電質材料的部分;以及各向異性地蝕刻該介電質材料並且隨後移除該凹陷的硬掩模材料,以沿著該第一閘極結構的側壁形成第一介電質間隔件並且沿著該第二閘極結構的側壁形成第二介電質間隔件,該第二介電質間隔件在該第一閘極結構和該第二閘極結構之間的該絕緣結構的該第三部分上與該第一介電質間隔件連續。
  16. 如申請專利範圍第15項的方法,其中使該硬掩模材料 凹陷包含將該硬掩模材料濕式蝕刻。
  17. 如申請專利範圍第15項的方法,其中使該硬掩模材料凹陷包含使用灰化、乾式蝕刻或電漿蝕刻程序。
  18. 如申請專利範圍第15項的方法,其中形成該硬掩模材料包含形成碳基硬掩模材料。
  19. 如申請專利範圍第15項的方法,其中該第一閘極結構和該第二閘極結構係虛設閘極結構,該方法還包含:用永久閘極介電質和閘極電極堆疊來取代該第一閘極結構和該第二閘極結構。
  20. 如申請專利範圍第15項的方法,還包含:在該第一閘極結構的相對側上並且在該第二閘極結構的相對側上形成嵌入式源極或汲極結構。
TW107134614A 2017-11-30 2018-10-01 用於先進積體電路結構製造的連續閘極與鰭間隔件 TWI806906B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762593149P 2017-11-30 2017-11-30
US62/593,149 2017-11-30
US15/859,323 US11462436B2 (en) 2017-11-30 2017-12-29 Continuous gate and fin spacer for advanced integrated circuit structure fabrication
US15/859,323 2017-12-29

Publications (2)

Publication Number Publication Date
TW201926473A TW201926473A (zh) 2019-07-01
TWI806906B true TWI806906B (zh) 2023-07-01

Family

ID=64048835

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107134614A TWI806906B (zh) 2017-11-30 2018-10-01 用於先進積體電路結構製造的連續閘極與鰭間隔件

Country Status (4)

Country Link
US (3) US11462436B2 (zh)
EP (1) EP3493270A1 (zh)
CN (1) CN109860175A (zh)
TW (1) TWI806906B (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019108237A1 (en) * 2017-11-30 2019-06-06 Intel Corporation Fin patterning for advanced integrated circuit structure fabrication
US10529572B2 (en) * 2018-04-30 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10840355B2 (en) * 2018-05-01 2020-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Increasing source/drain dopant concentration to reduced resistance
US10748808B2 (en) 2018-07-16 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric gap-filling process for semiconductor device
US11011372B2 (en) * 2019-08-23 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture
US11183591B2 (en) * 2019-10-30 2021-11-23 Avago Technologies International Sales Pte. Ltd. Lateral double-diffused metal-oxide-semiconductor (LDMOS) fin field effect transistor with enhanced capabilities
US12009266B2 (en) 2019-12-18 2024-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for fringing capacitance control
US11282783B2 (en) * 2020-01-07 2022-03-22 Sandisk Technologies Llc Three-dimensional memory device with via structures surrounded by perforated dielectric moat structure and methods of making the same
US11756877B2 (en) 2020-01-07 2023-09-12 Sandisk Technologies Llc Three-dimensional memory device with via structures surrounded by perforated dielectric moat structure and methods of making the same
US11043469B1 (en) * 2020-02-19 2021-06-22 Nanya Technology Corporation Method of forming three dimensional semiconductor structure
US10867101B1 (en) * 2020-02-24 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Leakage reduction between two transistor devices on a same continuous fin
US11264282B2 (en) * 2020-02-25 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gate formation process
US11355493B2 (en) 2020-03-13 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method to embed planar FETs with finFETs
DE102020112203A1 (de) 2020-03-13 2021-09-16 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zum einbetten planarer fets mit finfets
CN113140461A (zh) * 2020-04-28 2021-07-20 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US11837651B2 (en) 2020-04-28 2023-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having isolation fins
US20210384202A1 (en) * 2020-06-04 2021-12-09 Nanya Technology Corporation Semiconductor structure and method of forming the same
CN113838805A (zh) * 2020-06-24 2021-12-24 上海华力集成电路制造有限公司 一种FinFET结构的固相源掺杂方法
US11335806B2 (en) * 2020-08-11 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11908910B2 (en) * 2020-10-27 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having embedded conductive line and method of fabricating thereof
KR20220092104A (ko) * 2020-12-24 2022-07-01 삼성전자주식회사 집적회로 소자
TWI770804B (zh) * 2021-02-04 2022-07-11 華邦電子股份有限公司 記憶體裝置及其製造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150372140A1 (en) * 2014-06-18 2015-12-24 Stmicroelectronics, Inc. Finfets having strained channels, and methods of fabricating finfets having strained channels
US20150380553A1 (en) * 2014-06-26 2015-12-31 Samsung Electronics Co., Ltd. Semiconductor devices including source/drain regions having multiple epitaxial patterns
US20170018452A1 (en) * 2015-07-13 2017-01-19 GlobalFoundries, Inc. Methods for fabricating integrated circuits using flowable chemical vapor deposition techniques with low-temperature thermal annealing
US20170084714A1 (en) * 2015-09-18 2017-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with multi spacer and method for forming the same

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001135718A (ja) * 1999-11-08 2001-05-18 Nec Corp トレンチ分離構造の作製方法
KR100338783B1 (en) * 2000-10-28 2002-06-01 Samsung Electronics Co Ltd Semiconductor device having expanded effective width of active region and fabricating method thereof
KR101683071B1 (ko) 2010-09-08 2016-12-06 삼성전자 주식회사 반도체 소자 및 그 제조방법
KR20230057484A (ko) 2011-12-22 2023-04-28 인텔 코포레이션 반도체 구조
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
US9461143B2 (en) 2012-09-19 2016-10-04 Intel Corporation Gate contact structure over active gate and method to fabricate same
US9209302B2 (en) * 2013-03-13 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of reducing the heights of source-drain sidewall spacers of FinFETs through etching
CN111968976A (zh) 2013-06-20 2020-11-20 英特尔公司 具有掺杂的子鳍片区域的非平面半导体器件及其制造方法
US9484346B2 (en) * 2014-10-15 2016-11-01 Taiwan Semiconductor Manufacturing Company Ltd Semiconductor structure and manufacturing method thereof
KR102306668B1 (ko) 2014-11-07 2021-09-29 삼성전자주식회사 게이트 전극을 갖는 반도체 소자 형성 방법
KR102320820B1 (ko) * 2015-02-24 2021-11-02 삼성전자주식회사 집적회로 소자 및 그 제조 방법
KR20160125208A (ko) * 2015-04-21 2016-10-31 삼성전자주식회사 핀 액티브 영역들을 갖는 반도체 소자 및 그 제조 방법
KR102460718B1 (ko) * 2015-05-28 2022-10-31 삼성전자주식회사 집적회로 소자
US9418897B1 (en) 2015-06-15 2016-08-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap around silicide for FinFETs
TWI668866B (zh) * 2015-10-07 2019-08-11 聯華電子股份有限公司 半導體元件及其製作方法
US9824929B2 (en) * 2015-10-28 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET gate structure and method for fabricating the same
WO2017111868A1 (en) 2015-12-23 2017-06-29 Intel Corporation Approaches for patterning metal line ends for back end of line (beol) interconnects
JP6714801B2 (ja) 2016-03-31 2020-07-01 インテル・コーポレーション 高分解能のフォトマスク又はレチクル及びその製造方法
KR102481479B1 (ko) 2016-04-29 2022-12-26 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US10707328B2 (en) * 2016-11-30 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming epitaxial fin structures of finFET
US10204905B2 (en) * 2017-04-25 2019-02-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150372140A1 (en) * 2014-06-18 2015-12-24 Stmicroelectronics, Inc. Finfets having strained channels, and methods of fabricating finfets having strained channels
US20150380553A1 (en) * 2014-06-26 2015-12-31 Samsung Electronics Co., Ltd. Semiconductor devices including source/drain regions having multiple epitaxial patterns
US20170018452A1 (en) * 2015-07-13 2017-01-19 GlobalFoundries, Inc. Methods for fabricating integrated circuits using flowable chemical vapor deposition techniques with low-temperature thermal annealing
US20170084714A1 (en) * 2015-09-18 2017-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with multi spacer and method for forming the same

Also Published As

Publication number Publication date
CN109860175A (zh) 2019-06-07
TW201926473A (zh) 2019-07-01
US20240038578A1 (en) 2024-02-01
US20220406650A1 (en) 2022-12-22
EP3493270A1 (en) 2019-06-05
US11462436B2 (en) 2022-10-04
US11837456B2 (en) 2023-12-05
US20190164809A1 (en) 2019-05-30

Similar Documents

Publication Publication Date Title
TWI806906B (zh) 用於先進積體電路結構製造的連續閘極與鰭間隔件
TWI790294B (zh) 用於先進積體電路結構製造的主動閘極結構上方的接觸
TWI805623B (zh) 用於先進積體電路結構製造之具有單閘極間隙的鰭部修整隔離技術
US11508626B2 (en) Dual metal silicide structures for advanced integrated circuit structure fabrication
US10707133B2 (en) Trench plug hardmask for advanced integrated circuit structure fabrication
US11322601B2 (en) Gate cut and fin trim isolation for advanced integrated circuit structure fabrication
EP3493256A2 (en) Pitch-divided interconnects for advanced integrated circuit structure fabrication
US10861850B2 (en) Fin end plug structures for advanced integrated circuit structure fabrication
EP3493247A1 (en) Etch-stop layer topography for advanced integrated circuit structure fabrication
TWI835515B (zh) 用於先進積體電路結構製造的主動閘極結構上方的接觸
TWI817576B (zh) 用於先進積體電路結構製造之異質金屬線組成
TW202303845A (zh) 用於先進積體電路結構製造之雙金屬閘極結構
TW202422889A (zh) 用於先進積體電路結構製造的主動閘極結構上方的接觸
TW202333375A (zh) 用於先進積體電路結構製造的鰭切割和鰭修整隔離