TWI801975B - 半導體結構及其形成方法 - Google Patents
半導體結構及其形成方法 Download PDFInfo
- Publication number
- TWI801975B TWI801975B TW110130807A TW110130807A TWI801975B TW I801975 B TWI801975 B TW I801975B TW 110130807 A TW110130807 A TW 110130807A TW 110130807 A TW110130807 A TW 110130807A TW I801975 B TWI801975 B TW I801975B
- Authority
- TW
- Taiwan
- Prior art keywords
- layer
- metal layer
- work function
- active region
- function metal
- Prior art date
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 104
- 238000000034 method Methods 0.000 title claims abstract description 95
- 229910052751 metal Inorganic materials 0.000 claims abstract description 159
- 239000002184 metal Substances 0.000 claims abstract description 159
- 239000000758 substrate Substances 0.000 claims abstract description 24
- 239000010410 layer Substances 0.000 claims description 376
- 239000011241 protective layer Substances 0.000 claims description 31
- 238000000151 deposition Methods 0.000 claims description 14
- 230000006870 function Effects 0.000 description 105
- 230000008569 process Effects 0.000 description 49
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 27
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 27
- 229910052710 silicon Inorganic materials 0.000 description 27
- 239000010703 silicon Substances 0.000 description 27
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 23
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 20
- 125000006850 spacer group Chemical group 0.000 description 18
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 15
- 229910052581 Si3N4 Inorganic materials 0.000 description 12
- 229910052814 silicon oxide Inorganic materials 0.000 description 12
- 238000005530 etching Methods 0.000 description 11
- 239000000463 material Substances 0.000 description 11
- -1 silicon carbide nitride Chemical class 0.000 description 11
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 10
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 description 10
- 239000007789 gas Substances 0.000 description 10
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 10
- 229910021529 ammonia Inorganic materials 0.000 description 9
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 8
- 239000003989 dielectric material Substances 0.000 description 8
- 239000000203 mixture Substances 0.000 description 8
- 229910010271 silicon carbide Inorganic materials 0.000 description 8
- WGTYBPLFGIVFAS-UHFFFAOYSA-M tetramethylammonium hydroxide Chemical compound [OH-].C[N+](C)(C)C WGTYBPLFGIVFAS-UHFFFAOYSA-M 0.000 description 8
- 239000010936 titanium Substances 0.000 description 8
- 229910052782 aluminium Inorganic materials 0.000 description 7
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 7
- 239000000460 chlorine Substances 0.000 description 7
- 238000001312 dry etching Methods 0.000 description 7
- 238000002955 isolation Methods 0.000 description 7
- 238000004519 manufacturing process Methods 0.000 description 7
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 7
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 6
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 6
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 6
- 230000008901 benefit Effects 0.000 description 6
- RWRIWBAIICGTTQ-UHFFFAOYSA-N difluoromethane Chemical compound FCF RWRIWBAIICGTTQ-UHFFFAOYSA-N 0.000 description 6
- VZGDMQKNWNREIO-UHFFFAOYSA-N tetrachloromethane Chemical compound ClC(Cl)(Cl)Cl VZGDMQKNWNREIO-UHFFFAOYSA-N 0.000 description 6
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 6
- DDFHBQSCUXNBSA-UHFFFAOYSA-N 5-(5-carboxythiophen-2-yl)thiophene-2-carboxylic acid Chemical compound S1C(C(=O)O)=CC=C1C1=CC=C(C(O)=O)S1 DDFHBQSCUXNBSA-UHFFFAOYSA-N 0.000 description 5
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 5
- 230000015572 biosynthetic process Effects 0.000 description 5
- 229910052801 chlorine Inorganic materials 0.000 description 5
- 238000013461 design Methods 0.000 description 5
- 239000002019 doping agent Substances 0.000 description 5
- 238000011049 filling Methods 0.000 description 5
- 238000000059 patterning Methods 0.000 description 5
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 5
- 238000001039 wet etching Methods 0.000 description 5
- HEDRZPFGACZZDS-UHFFFAOYSA-N Chloroform Chemical compound ClC(Cl)Cl HEDRZPFGACZZDS-UHFFFAOYSA-N 0.000 description 4
- 229910018503 SF6 Inorganic materials 0.000 description 4
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 4
- 238000000231 atomic layer deposition Methods 0.000 description 4
- DIKBFYAXUHHXCS-UHFFFAOYSA-N bromoform Chemical compound BrC(Br)Br DIKBFYAXUHHXCS-UHFFFAOYSA-N 0.000 description 4
- 239000010941 cobalt Substances 0.000 description 4
- 229910017052 cobalt Inorganic materials 0.000 description 4
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 4
- 229910000449 hafnium oxide Inorganic materials 0.000 description 4
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 4
- 230000036961 partial effect Effects 0.000 description 4
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical compound FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 4
- 229960000909 sulfur hexafluoride Drugs 0.000 description 4
- 229910052719 titanium Inorganic materials 0.000 description 4
- 229910001928 zirconium oxide Inorganic materials 0.000 description 4
- QTBSBXVTEAMEQO-UHFFFAOYSA-N Acetic acid Chemical compound CC(O)=O QTBSBXVTEAMEQO-UHFFFAOYSA-N 0.000 description 3
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 3
- CPELXLSAUQHCOX-UHFFFAOYSA-N Hydrogen bromide Chemical compound Br CPELXLSAUQHCOX-UHFFFAOYSA-N 0.000 description 3
- GRYLNZFGIOXLOG-UHFFFAOYSA-N Nitric acid Chemical compound O[N+]([O-])=O GRYLNZFGIOXLOG-UHFFFAOYSA-N 0.000 description 3
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 3
- 244000208734 Pisonia aculeata Species 0.000 description 3
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 3
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 3
- 238000000137 annealing Methods 0.000 description 3
- 239000006117 anti-reflective coating Substances 0.000 description 3
- 229910052785 arsenic Inorganic materials 0.000 description 3
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 3
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 3
- 229910052796 boron Inorganic materials 0.000 description 3
- 230000008021 deposition Effects 0.000 description 3
- 230000005669 field effect Effects 0.000 description 3
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 description 3
- WMIYKQLTONQJES-UHFFFAOYSA-N hexafluoroethane Chemical compound FC(F)(F)C(F)(F)F WMIYKQLTONQJES-UHFFFAOYSA-N 0.000 description 3
- 239000001257 hydrogen Substances 0.000 description 3
- 229910052739 hydrogen Inorganic materials 0.000 description 3
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 3
- 230000000670 limiting effect Effects 0.000 description 3
- 238000001459 lithography Methods 0.000 description 3
- 229910044991 metal oxide Inorganic materials 0.000 description 3
- 150000004706 metal oxides Chemical class 0.000 description 3
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 description 3
- 229910017604 nitric acid Inorganic materials 0.000 description 3
- 229910052760 oxygen Inorganic materials 0.000 description 3
- 239000001301 oxygen Substances 0.000 description 3
- 238000002161 passivation Methods 0.000 description 3
- 229910052698 phosphorus Inorganic materials 0.000 description 3
- 239000011574 phosphorus Substances 0.000 description 3
- 238000012545 processing Methods 0.000 description 3
- 239000002356 single layer Substances 0.000 description 3
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 3
- FAQYAMRNWDIXMY-UHFFFAOYSA-N trichloroborane Chemical compound ClB(Cl)Cl FAQYAMRNWDIXMY-UHFFFAOYSA-N 0.000 description 3
- 238000000038 ultrahigh vacuum chemical vapour deposition Methods 0.000 description 3
- ZVWKZXLXHLZXLS-UHFFFAOYSA-N zirconium nitride Chemical compound [Zr]#N ZVWKZXLXHLZXLS-UHFFFAOYSA-N 0.000 description 3
- KBBKHMIEEMSXSM-JVXZTZIISA-N 6-{[(4R,5R)-4-(hydroxymethyl)thymin-5-yl]methyl}thymine Chemical compound N1C(=O)NC(=O)C(C)=C1C[C@@]1(C)C(=O)NC(=O)N[C@H]1CO KBBKHMIEEMSXSM-JVXZTZIISA-N 0.000 description 2
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 2
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 2
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 2
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 2
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 2
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 2
- 229910017855 NH 4 F Inorganic materials 0.000 description 2
- GEIAQOFPUVMAGM-UHFFFAOYSA-N Oxozirconium Chemical compound [Zr]=O GEIAQOFPUVMAGM-UHFFFAOYSA-N 0.000 description 2
- NBIIXXVUZAFLBC-UHFFFAOYSA-N Phosphoric acid Chemical compound OP(O)(O)=O NBIIXXVUZAFLBC-UHFFFAOYSA-N 0.000 description 2
- 229920002396 Polyurea Polymers 0.000 description 2
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 2
- KXNLCSXBJCPWGL-UHFFFAOYSA-N [Ga].[As].[In] Chemical compound [Ga].[As].[In] KXNLCSXBJCPWGL-UHFFFAOYSA-N 0.000 description 2
- UGACIEPFGXRWCH-UHFFFAOYSA-N [Si].[Ti] Chemical compound [Si].[Ti] UGACIEPFGXRWCH-UHFFFAOYSA-N 0.000 description 2
- RVSGESPTHDDNTH-UHFFFAOYSA-N alumane;tantalum Chemical compound [AlH3].[Ta] RVSGESPTHDDNTH-UHFFFAOYSA-N 0.000 description 2
- FTWRSWRBSVXQPI-UHFFFAOYSA-N alumanylidynearsane;gallanylidynearsane Chemical compound [As]#[Al].[As]#[Ga] FTWRSWRBSVXQPI-UHFFFAOYSA-N 0.000 description 2
- HVXCTUSYKCFNMG-UHFFFAOYSA-N aluminum oxygen(2-) zirconium(4+) Chemical compound [O-2].[Zr+4].[Al+3] HVXCTUSYKCFNMG-UHFFFAOYSA-N 0.000 description 2
- 239000000908 ammonium hydroxide Substances 0.000 description 2
- 238000004380 ashing Methods 0.000 description 2
- 229910052454 barium strontium titanate Inorganic materials 0.000 description 2
- DQBAOWPVHRWLJC-UHFFFAOYSA-N barium(2+);dioxido(oxo)zirconium Chemical compound [Ba+2].[O-][Zr]([O-])=O DQBAOWPVHRWLJC-UHFFFAOYSA-N 0.000 description 2
- 239000005380 borophosphosilicate glass Substances 0.000 description 2
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 2
- 229910052794 bromium Inorganic materials 0.000 description 2
- 229950005228 bromoform Drugs 0.000 description 2
- YCIMNLLNPGFGHC-UHFFFAOYSA-N catechol Chemical compound OC1=CC=CC=C1O YCIMNLLNPGFGHC-UHFFFAOYSA-N 0.000 description 2
- 239000010949 copper Substances 0.000 description 2
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 238000000407 epitaxy Methods 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 239000005350 fused silica glass Substances 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 2
- WHJFNYXPKGDKBB-UHFFFAOYSA-N hafnium;methane Chemical compound C.[Hf] WHJFNYXPKGDKBB-UHFFFAOYSA-N 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 239000011229 interlayer Substances 0.000 description 2
- 229910052740 iodine Inorganic materials 0.000 description 2
- 239000011630 iodine Substances 0.000 description 2
- VNWKTOKETHGBQD-UHFFFAOYSA-N methane Chemical compound C VNWKTOKETHGBQD-UHFFFAOYSA-N 0.000 description 2
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 description 2
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 2
- 239000005360 phosphosilicate glass Substances 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 239000005368 silicate glass Substances 0.000 description 2
- 229910021332 silicide Inorganic materials 0.000 description 2
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 229910003468 tantalcarbide Inorganic materials 0.000 description 2
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 2
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 229910052721 tungsten Inorganic materials 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- 238000000927 vapour-phase epitaxy Methods 0.000 description 2
- VXEGSRKPIUDPQT-UHFFFAOYSA-N 4-[4-(4-methoxyphenyl)piperazin-1-yl]aniline Chemical compound C1=CC(OC)=CC=C1N1CCN(C=2C=CC(N)=CC=2)CC1 VXEGSRKPIUDPQT-UHFFFAOYSA-N 0.000 description 1
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- PIGFYZPCRLYGLF-UHFFFAOYSA-N Aluminum nitride Chemical compound [Al]#N PIGFYZPCRLYGLF-UHFFFAOYSA-N 0.000 description 1
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- PIICEJLVQHRZGT-UHFFFAOYSA-N Ethylenediamine Chemical compound NCCN PIICEJLVQHRZGT-UHFFFAOYSA-N 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- JMASRVWKEDWRBT-UHFFFAOYSA-N Gallium nitride Chemical compound [Ga]#N JMASRVWKEDWRBT-UHFFFAOYSA-N 0.000 description 1
- 229910004129 HfSiO Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- 229910021193 La 2 O 3 Inorganic materials 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 description 1
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 229910002367 SrTiO Inorganic materials 0.000 description 1
- XLOMVQKBTHCTTD-UHFFFAOYSA-N Zinc monoxide Chemical compound [Zn]=O XLOMVQKBTHCTTD-UHFFFAOYSA-N 0.000 description 1
- 229910006501 ZrSiO Inorganic materials 0.000 description 1
- OBZUDFAHIZFVHI-UHFFFAOYSA-N [La].[Si]=O Chemical compound [La].[Si]=O OBZUDFAHIZFVHI-UHFFFAOYSA-N 0.000 description 1
- DBOSVWZVMLOAEU-UHFFFAOYSA-N [O-2].[Hf+4].[La+3] Chemical compound [O-2].[Hf+4].[La+3] DBOSVWZVMLOAEU-UHFFFAOYSA-N 0.000 description 1
- 229910000147 aluminium phosphate Inorganic materials 0.000 description 1
- AJGDITRVXRPLBY-UHFFFAOYSA-N aluminum indium Chemical compound [Al].[In] AJGDITRVXRPLBY-UHFFFAOYSA-N 0.000 description 1
- 238000000277 atomic layer chemical vapour deposition Methods 0.000 description 1
- 229910052788 barium Inorganic materials 0.000 description 1
- JRPBQTZRNDNNOP-UHFFFAOYSA-N barium titanate Chemical compound [Ba+2].[Ba+2].[O-][Ti]([O-])([O-])[O-] JRPBQTZRNDNNOP-UHFFFAOYSA-N 0.000 description 1
- 229910002113 barium titanate Inorganic materials 0.000 description 1
- ONRPGGOGHKMHDT-UHFFFAOYSA-N benzene-1,2-diol;ethane-1,2-diamine Chemical compound NCCN.OC1=CC=CC=C1O ONRPGGOGHKMHDT-UHFFFAOYSA-N 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 238000005520 cutting process Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 230000009969 flowable effect Effects 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- HZXMRANICFIONG-UHFFFAOYSA-N gallium phosphide Chemical compound [Ga]#P HZXMRANICFIONG-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- ZQXQADNTSSMHJI-UHFFFAOYSA-N hafnium(4+) oxygen(2-) tantalum(5+) Chemical compound [O-2].[Ta+5].[Hf+4] ZQXQADNTSSMHJI-UHFFFAOYSA-N 0.000 description 1
- KQHQLIAOAVMAOW-UHFFFAOYSA-N hafnium(4+) oxygen(2-) zirconium(4+) Chemical compound [O--].[O--].[O--].[O--].[Zr+4].[Hf+4] KQHQLIAOAVMAOW-UHFFFAOYSA-N 0.000 description 1
- KUVFGOLWQIXGBP-UHFFFAOYSA-N hafnium(4+);oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[O-2].[Ti+4].[Hf+4] KUVFGOLWQIXGBP-UHFFFAOYSA-N 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(iv) oxide Chemical compound O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 239000002086 nanomaterial Substances 0.000 description 1
- 239000002135 nanosheet Substances 0.000 description 1
- 239000002070 nanowire Substances 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 description 1
- 229910000069 nitrogen hydride Inorganic materials 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- 238000007254 oxidation reaction Methods 0.000 description 1
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 1
- RUDFQVOCFDJEEF-UHFFFAOYSA-N oxygen(2-);yttrium(3+) Chemical compound [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 229920002492 poly(sulfone) Polymers 0.000 description 1
- 229920000058 polyacrylate Polymers 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 239000005049 silicon tetrachloride Substances 0.000 description 1
- 229920005573 silicon-containing polymer Polymers 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
- 229910052712 strontium Inorganic materials 0.000 description 1
- VEALVRVVWBQVSL-UHFFFAOYSA-N strontium titanate Chemical compound [Sr+2].[O-][Ti]([O-])=O VEALVRVVWBQVSL-UHFFFAOYSA-N 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 150000003457 sulfones Chemical class 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- PBCFLUZVCVVTBY-UHFFFAOYSA-N tantalum pentoxide Inorganic materials O=[Ta](=O)O[Ta](=O)=O PBCFLUZVCVVTBY-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823821—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823828—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
- H01L21/823842—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42384—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
- H01L29/42392—Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823431—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823437—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823437—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
- H01L21/82345—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823807—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823828—Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/8238—Complementary field-effect transistors, e.g. CMOS
- H01L21/823878—Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0922—Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L27/00—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
- H01L27/02—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
- H01L27/04—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
- H01L27/08—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
- H01L27/085—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
- H01L27/088—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
- H01L27/092—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
- H01L27/0924—Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66787—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
- H01L29/66795—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
- H01L29/6681—Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/785—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
- H01L29/7851—Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78696—Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/77—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
- H01L21/78—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
- H01L21/82—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
- H01L21/822—Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
- H01L21/8232—Field-effect technology
- H01L21/8234—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
- H01L21/823481—MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Bipolar Transistors (AREA)
Abstract
提供了半導體結構及其形成方法。根據本揭露的半導體結構包括基板上方的至少一第一半導體元件和至少一第二半導體元件、設置在至少一第一半導體元件和至少一第二半導體元件之間的介電鰭片、包裹至少一第一半導體元件中的每一者並且從至少一第一半導體元件連續延伸到介電鰭片的頂表面的第一功函數金屬層、以及設置在至少一第二半導體元件和第一功函數金屬層上方的第二功函數金屬層。
Description
本揭露係關於一種半導體結構,特別是具有不同功函數金屬層的半導體結構。
半導體積體電路(integrated circuit;IC)工業呈指數成長。在IC材料及IC設計的技術進步產生多個IC世代,每一個IC世代比上一個IC世代有更小及更複雜的電路。在IC發展過程中,幾何尺寸(例如:製程可作出之最小部件(或線路))會下降,而功能密度(例如:每一晶片區域的相連元件數量)通常都會增加。此微縮過程藉由增加生產效率及降低相關成本提供了優勢。此微縮亦增加了IC製程及製造的複雜性。
舉例來說,隨著積體電路(IC)技術朝著更小的技術節點發展,已經引入了多閘極金屬氧化物半導體場效電晶體(多閘極MOSFET(metal-oxide-semiconductor field effect transistor)或多閘極裝置)以藉由增加閘極-通道耦合、減小關閉狀態電流(off-state current)、以及減小短通道效應(short-channel effect;SCE)來改善閘極控制。多閘極裝置通常是指具有設置在通道區的多於一側上方的閘極結構或其一部分的裝置。鰭式場效電晶體(Fin-like field effect transistor;FinFET)和多橋通道(multi-bridge-channel;MBC)電晶體是多閘極裝置的示例,其已成為高效能和低漏電應用的熱門和有望的候選。FinFET的升高通道(elevated channel)在多於一側上被閘極包裹(例如:閘極包裹從基板延伸的半導體材料的“鰭片”的頂部和側壁)。MBC電晶體具有可以部分或全部圍繞通道區延伸的閘極結構,以在兩側或更多側上對通道區提供訪問。由於MBC電晶體的閘極結構圍繞通道區,因此MBC電晶體也可以稱為圍繞閘極電晶體(surrounding gate transistor:SGT)或環繞式閘極(gate-all-around;GAA)電晶體。
隨著IC裝置的微縮持續增加晶片密度,相鄰主動區之間的間距也減小。成功微縮的限制因素之一是微影製程中的罩幕覆蓋。儘管用於製造多閘極裝置的現有方法足以滿足其預期目的,但它們並非在各個方面都令人滿意。
本揭露提供一種半導體結構。半導體結構包括至少一第一半導體元件和至少一第二半導體元件、介電鰭片、第一功函數金屬層、以及第二功函數金屬層。第一半導體元件和第二半導體元件在基板上方。介電鰭片設置在第一半導體元件和第二半導體元件之間。第一功函數金屬層包裹第一半導體元件中的每一者。第一功函數金屬層從第一半導體元件連續延伸至介電鰭片的頂表面。第二功函數金屬層設置在第二半導體元件和第一功函數金屬層上方。
本揭露提供一種半導體結構。半導體結構包括複數第一通道構件、複數第二通道構件、介電鰭片、以及閘極結構。第一通道構件設置在基板的第一裝置區上方。第二通道構件設置在基板的第二裝置區上方。介電鰭片沿著第一方向設置在第一通道構件和第二通道構件之間。閘極結構設置在介電鰭片上方,並且包裹第一通道構件中的每一者和第二通道構件中的每一者。閘極結構包括第一功函數金屬層和第二功函數金屬層。第一功函數金屬層從介電鰭片的頂表面連續延伸到第一通道構件的複數表面。第二功函數金屬層包裹第二通道構件中的每一者,並且設置在第一功函數金屬層上方。
本揭露提供一種半導體結構之形成方法。半導體結構之形成方法包括接收工件。工件包括第一主動區和第二主動區、以及介電鰭片。介電鰭片設置在第一主動區和第二主動區之間。半導體結構之形成方法更包括在第一主動區、介電鰭片和第二主動區上方形成閘極介電層;在形成閘極介電層之後,在介電鰭片、第一主動區和第二主動區上方沉積蓋層;回蝕蓋層直到介電鰭片將蓋層分成在第一主動區上方的第一部分和在第二主動區上方的第二部分;在回蝕操作之後,選擇性地移除在第一主動區上方的蓋層的第一部分;在第一主動區、介電鰭片和第二主動區上方的蓋層上方形成第一金屬層;選擇性地移除在第二主動區上方的第一金屬層和蓋層;以及在第二主動區上方和在第一主動區上方的第一金屬層上方形成第二金屬層。
本揭露提供許多不同的實施例或範例以實施本案的不同特徵。以下的揭露內容敘述各個構件及其排列方式的特定實施例,以簡化說明。當然,這些特定的範例並非用以限定。舉例來說,若是本揭露敘述了一第一特徵形成於一第二特徵之上或上方,即表示其可能包含上述第一特徵與上述第二特徵是直接接觸的實施例,亦可能包含了有附加特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與第二特徵可能未直接接觸的實施例。另外,以下本揭露不同實施例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
此外,其與空間相關用詞。例如“在…下方”、“下方”、“較低的”、“上方”、“較高的” 及類似的用詞,係為了便於描述圖示中一個元件或特徵與另一個(些)元件或特徵之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含使用中或操作中的裝置之不同方位。除此之外,設備可能被轉向不同方位(旋轉90度或其他方位),則在此使用的空間相關詞也可依此相同解釋。
此外,當用“約”、“大約”等描述數字或數字範圍時,該術語旨在涵蓋在合理範圍內的數字,如本技術領域中具有通常知識者所理解的,考慮到在製造期間固有地出現的變化,該術語旨在包括在合理範圍內的數字。舉例來說,數字的數值或範圍包含包括所述數字的一個合理的範圍內,例如在所述的數字的+/-10% 內,基於與製造具有與數字相關的特性的特徵相關的已知製造公差。舉例來說,具有“約5nm”厚度的材料層可涵蓋4.25nm至5.75nm的尺寸範圍,其中本技術領域中具有通常知識者已知與沉積材料層相關的製造公差為+/-15%。更進一步地,本揭露可以在各種示例中重複參考數字及/或字母。這種重複是為了簡單和清楚的目的,其本身並不規定所討論的各種實施例及/或配置之間的關係。
在IC設計中,複數裝置可以組合在一起作為單元或標準單元以執行某些電路功能。這樣的單元或標準單元可以執行邏輯操作,例如NAND、AND、OR、NOR、或反相器,或者用作記憶體單元,例如靜態隨機存取記憶體(static random access memory;SRAM)單元。單元的尺寸(例如單元高度)成為衡量裝置微縮的基準(bench mark)。限制單元高度微縮的因素之一是用於圖案化相鄰金屬閘極的微影製程的覆蓋窗口(overlay window)。舉例來說,具有不同閘極結構構造的裝置可以彼此相鄰放置。這種裝置的形成需要形成圖案化的硬罩幕層。不滿意的罩幕覆蓋可能導致硬罩幕層的不完全移除。殘留的硬罩幕層可能會阻礙不同功函數層的沉積,導致與設計臨界電壓準位(design threshold voltage levels)的顯著偏差。
本揭露提供了形成具有不同功函數金屬層的半導體結構的方法。本揭露的示例方法包括在不同的主動區和介電鰭片上方形成保護層、在保護層上方形成蓋層、以及在蓋層上方形成硬罩幕層。蓋層在圖案化製程中引入自我對準,而保護層用作蝕刻停止層或蝕刻延遲層(etch retardation layer)以保護主動區。因為蓋層可以被選擇性地蝕刻掉而大抵不蝕刻硬罩幕層,所以即使當硬罩幕層的圖案化由於不令人滿意的罩幕覆蓋而不精確時,也可以選擇性地暴露主動區以用於功函數金屬層沉積。蓋層也可稱為犧牲層。本揭露的方法擴大了覆蓋製程窗口並且改善了不同功函數金屬層的滿意的形成。
現在將參照圖式更詳細地描述本揭露的各個方面。第1圖顯示了形成半導體結構的方法100的流程圖。方法100僅是一個示例,並不旨在將本揭露限制於方法100中所示的內容。可以在方法100之前、期間和之後提供額外操作,並且對於方法的額外實施例,可以替換、消除或移動所述的一些操作。為了簡單起見,此處並未詳細描述所有操作。下面結合第2圖至第32圖描述方法100,第2圖至第32圖顯示了根據方法100的實施例之在不同製造站點的工件200的局部剖面圖。因為半導體結構或半導體裝置將由工件200形成,所以工件200可以根據內文需要被稱為半導體結構200或半導體裝置200。綜觀本揭露,相似的圖式標記用於表示相似的特徵。第2圖至第32圖中的X方向、Y方向和Z方向彼此垂直,並且在此統一使用。
參照第1圖、第2圖和第3圖,方法100包括接收工件200的操作102。參照第2圖,工件200包括在基板202上方的第一主動區204-1和第二主動區204-2。根據半導體裝置200的設計,第一主動區204-1和第二主動區204-2可以是FinFET或MBC電晶體的主動區。當第一主動區204-1或第二主動區204-2為用於FinFET的主動區時,其可以包括鰭片元件,例如第33圖所示的第三主動區204-3。當第一主動區204-1或第二主動區204-2為用於MBC電晶體的主動區時,其可以包括通道構件(channel member)208的垂直堆疊,例如第2圖至第32圖所示的第一主動區204-1和第二主動區204-2。通道構件208是奈米結構並且可以呈現奈米線或奈米片的形狀。通道構件208和鰭片元件(例如第三主動區204-3)中的每一者通常可以被稱為半導體元件。
基板202可以是矽(Si)基板。在一些其他實施例中,基板202可以包括其他半導體材料,例如鍺(Ge)、矽鍺(SiGe)或三五族(III-V)半導體材料。示例III-V半導體材料可以包括砷化鎵(GaAs)、磷化銦(InP)、磷化鎵(GaP)、氮化鎵(GaN)、磷砷化鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、磷化鎵銦 (GaInP) 和砷化銦鎵(InGaAs)。基板202還可包括絕緣層,例如氧化矽層,以具有絕緣體上矽(silicon-on-insulator;SOI)結構或絕緣體上鍺(germanium-on-insulator;GeOI)結構。在一些實施例中,基板202可包括一或多個井區(well region),例如摻雜有N型摻雜物(即磷(P)或砷(As))的N型井區或摻雜有P型摻雜物(即硼 (B))的P型井區,以用於形成不同類型的裝置。N型井和P型井的摻雜可以使用離子佈植或熱擴散形成。第一主動區204-1和第二主動區204-2中的半導體元件可以由半導體材料形成,例如矽(Si)、鍺(Ge)或矽鍺(SiGe)。在第2圖所示的實施例中,通道構件208包括矽(Si)。
界面層210設置在第一主動區204-1和第二主動區204-2的半導體服務(semiconductor service)上。界面層210包括氧化矽並且可以形成為預清潔製程或氧化製程的結果。示例預清潔製程可以包括使用RCA SC-1(氨、過氧化氫和水)及/或RCA SC-2(鹽酸、過氧化氫和水)。預清潔製程氧化通道構件208和基板202的暴露的半導體表面以形成界面層210。
第一主動區204-1和第二主動區204-2從基板202升高,並且延伸穿過設置在基板202上的隔離特徵203。隔離特徵203也可以稱為淺溝槽隔離(shallow trench isolation;STI)特徵203。在一些實施例中,隔離特徵203可以包括氧化矽、氮化矽、氮氧化矽、摻雜氟的矽酸鹽玻璃(fluorine-doped silicate glass;FSG)、低k介電質、其組合及/或其他合適材料。
參照第2圖,工件200包括設置在隔離特徵203上的介電鰭片214。如第2圖所示,介電鰭片214沿著Y方向設置在第一主動區204-1和第二主動區204-2之間。介電鰭片214的頂表面高於第一主動區204-1和第二主動區204-2的頂表面。介電鰭片214可以包括矽、氮化矽、碳化矽、氮碳化矽、氮碳氧化矽、氧化鋁、氮化鋁、氮氧化鋁、氧化鋯、氮化鋯、氧化鋁鋯、氧化鉿、氧化矽、氮氧化矽、氮碳氧化矽或合適介電材料。介電鰭片214可以是單層或多層。單層的介電鰭片214在第2圖中顯示,並且示例多層的介電鰭片214在第27圖中顯示。當介電鰭片214是如第27圖所示的多層時,它可以包括內層236和包裹內層236上方的外層238。外層238的介電常數大於內層236的介電常數。在一些情況下,外層238的介電常數等於或大於7,而內層236的介電常數小於7。較高介電常數的外層238用作抗蝕刻層,而較低介電常數的內層236用於降低寄生電容。在一些情況下,外層238可以由矽、氮化矽、碳化矽、氮碳化矽、氮碳氧化矽、氧化鋁、氮化鋁、氮氧化鋁、氧化鋯、氮化鋯、氧化鋯鋁或氧化鉿形成。內層236可以由氧化矽、碳化矽、氮氧化矽、氮碳氧化矽或合適介電材料形成。
仍參照第2圖,閘極介電層212設置在界面層210的表面、介電鰭片214的頂表面和介電鰭片214的側壁上。如第2圖所示,界面層210和閘極介電層212包裹第一主動區204-1和第二主動區204-2中的每一個通道構件208。閘極介電層212由具有介電常數大於二氧化矽(~3.9)的高k介電材料形成。在一個實施例中,閘極介電層212可包括氧化鉿(HfO
2)。在一些其他實施例中,閘極介電層212可以包括其他高K介電質,二氧化鈦(TiO
2)、氧化鉿鋯(HfZrO)、五氧化二鉭(Ta
2O
5)、矽酸鉿(HfSiO
4)、二氧化鋯(ZrO
2)、二氧化鋯矽(ZrSiO
2)、三氧化二鑭(La
2O
3)、三氧化二鋁(Al
2O
3)、一氧化鋯(ZrO)、三氧化二釔(Y
2O
3)、鈦酸鍶(SrTiO
3(STO))、鈦酸鋇(BaTiO
3(BTO))、氧化鋇鋯(BaZrO)、氧化鉿鑭(HfLaO)、氧化鑭矽(LaSiO)、氧化鋁矽(AlSiO)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、鈦酸鍶鋇((Ba,Sr)TiO
3(BST))、氮化矽(SiN)、氮氧化矽(SiON)、其組合或其他合適材料。
仍參照第2圖。第一主動區204-1可以設置在基板202的N型裝置區20N中,並且第二主動區204-2可以設置在P型裝置區20P中。在一些實施例中,儘管在第2圖中沒有明確顯示,基板202的N型裝置區20N可以包括摻雜有P型摻雜物的P型井(例如硼(B
2)),並且基板202的P型裝置區20P可以包括摻雜有N型摻雜物的N型井(例如磷(P) 或砷(As))。第3圖顯示了穿過第一主動區204-1的剖面I-I’和穿過第二主動區204-2的剖面II-II’的局部剖面圖。在第5圖、第7圖、第9圖、第11圖、第13圖、第15圖、第17圖、第19圖、第21圖、第23圖、第25圖中的第一主動區204-1和第二主動區204-2的可比較局部剖面圖個別在第6圖、第8圖、第10圖、第12圖、第14圖、第16圖、第18圖、第20圖、第22圖、第24圖、第26圖中顯示。
參照第3圖,N型裝置區20N和P型裝置區20P中的通道構件208與複數內部間隔物特徵217交錯(interleave)。複數內間隔件特徵217可以包括氮化矽、氮碳氧化矽、碳氮化矽、氧化矽、碳氧化矽、碳化矽、氮氧化矽或其組合。在一個實施例中,複數內部間隔物特徵217由氮化矽形成。N型裝置區20N中的通道構件208夾設在兩個N型源極/汲極特徵214N之間。在一些實施例中,兩個N型源極/汲極特徵214N包括矽(Si)和至少一N型摻雜物,例如磷(P)或砷(As)。P型裝置區20P中的通道構件208夾設在兩個P型源極/汲極特徵214P之間。在一些實施例中,兩個P型源極/汲極特徵214P包括矽鍺(SiGe)和至少一P型摻雜物,例如硼(B)。N型源極/汲極特徵214N和P型源極/汲極特徵214P使用磊晶製程形成,例如氣相磊晶(vapor-phase epitaxy;VPE)、超高真空化學氣相沉積(ultra-high vacuum chemical vapor deposition;UHV-CVD)、分子束磊晶(molecular beam epitaxy;MBE)及/或其他合適製程。為此,N型源極/汲極特徵214N也可稱為N型磊晶特徵214N,並且P型源極/汲極特徵214P也可稱為P型磊晶特徵214P。
工件200還包括設置在N型源極/汲極特徵214N和P型源極/汲極特徵214P上方的接點蝕刻停止層(contact etch stop layer;CESL)218和層間介電(an interlayer dielectric;ILD)層220。CESL 218可以包括氮化矽、氧化矽、氮氧化矽及/或本領域已知的其他材料。ILD層220包括例如四乙氧基矽烷(tetraethylorthosilicate;TEOS)氧化物、未摻雜的矽酸鹽玻璃或摻雜的氧化矽,例如硼磷矽酸鹽玻璃(borophosphosilicate glass;BPSG)、熔融石英玻璃(fused silica glass;FSG)、磷矽酸鹽玻璃(phosphosilicate glass;PSG)、硼摻雜矽玻璃(boron doped silicon glass;BSG)及/或其他合適介電材料的材料。如圖第3圖所示,CESL 218設置在N型源極/汲極特徵214N和P型源極/汲極特徵214P的頂表面以及閘極間隔物層216的側壁上。在閘極後(gate-last)或替代閘極製程中,閘極間隔物層216形成在用作功能閘極結構的佔位(placeholder)的冗餘閘極堆疊的側壁上方。在移除冗於閘極堆疊和釋放通道構件 208 之後,第3圖所示的閘極間隔物層216定義了暴露通道構件208的閘極開口。閘極間隔物層216可以是單層或多層,並且可以包括氮化矽、氮碳氧化矽、氮碳化矽、氧化矽、碳氧化矽、碳化矽、氮氧化矽及/或其組合。
參照第1圖和第4圖,方法100可以可選地包括操作104,其中保護層222沉積在工件200上方。如第4圖所示,保護層222沉積在閘極介電層212的表面上方,以包裹每一個通道構件208並且包裹介電鰭片214上方。保護層222可以包括金屬氮化物,例如氮化鈦(TiN)或氮化鉭(TaN)或氮化鈦矽(TiSiN)。在一個實施例中,保護層222由氮化鈦(TiN)形成。在一些實施例中,可以使用原子層沉積(Atomic Layer Deposition;ALD)或CVD來沉積保護層222。保護層222保護閘極介電層212和通道構件208在後續製程中不被損壞。如果可以在大抵不損壞閘極介電層212的情況下選擇性地移除蓋層224(將在下面描述),則可以省略保護層222。
參照第1圖、第5圖和第6圖,方法100包括操作106,其中在工件200上方沉積蓋層224。如第5圖和第6圖所示,蓋層224沉積在工件200上方,以填充通道構件208之間和周圍的空間,包括沿著Y方向的通道構件208和介電鰭片214之間的空間。蓋層224包括矽(Si),並且可以使用ALD、CVD或合適方法來沉積。如下面將描述的,當蓋層224在圖案化的硬罩幕層中暴露時,蓋層224可以被選擇性地移除而不損壞保護層222。如第6圖所示,保護層222和蓋層224完全填充通道構件208之間的空間(即構件到構件空間(member-to-member space)),但它們沒有完全填充閘極間隔物層216之間的空間。在第6圖中,保護層222和蓋層224沿著閘極間隔層216的側壁延伸,留下間隙225。在沉積蓋層224之後,操作106可以包括沉積後退火製程,以提高蓋層224和保護層222之間的界面的品質。在一些實施方式中,沉積後退火製程可包括在含氮環境(例如氮(N
2)環境或氨(NH
3)環境)中在約700℃與約950℃之間的退火溫度。
參照第1圖、第7圖和第8圖,方法100包括操作108,其中蓋層224被拉回。在一些實施方式中,操作108的拉回可包括選擇性濕式蝕刻製程或非等向性乾式蝕刻製程。示例選擇性濕式蝕刻製程可以包括使用氫氧化銨(NH
4OH)、過氧化氫(H
2O
2)或稀釋的氫氟酸(DHF)。當使用氧化劑(例如過氧化氫(H
2O
2)或稀釋的氫氟酸(DHF)時,可以使用數位蝕刻技術。示例乾式蝕刻製程可以包括使用氧氣、氫氣、含氟氣體(例如:四氟化碳(CF
4)、六氟化硫(SF
6)、二氟甲烷(CH
2F
2)、三氟甲烷(CHF
3)及/或六氟乙烷(C
2F
6))、含氯氣體(例如:氯氣(Cl
2)、氯仿(CHCl
3)、四氯化碳(CCl
4)及/或三氯化硼(BCl
3))、含溴氣體(例如:溴化氫(HBr)及/或三溴甲烷(CHBr
3))、含碘氣體、其他合適氣體及/或電漿及/或其組合。在一個實施例中,操作108的拉回包括選擇性濕式蝕刻製程。如第7圖所示,執行操作108的拉回以移除足夠的蓋層224,使得介電鰭片214連同閘極介電層212和保護層222一起升高到蓋層224上方。換句話說,執行操作108的拉回,直到介電鰭片214將N型裝置區20N上方的第一部分和P型裝置區20P上方的第二部分分開。參照第8圖,操作108的拉回移除了設置在閘極間隔物層216的側壁上的所有蓋層224,從而暴露了閘極間隔物層216的側壁上的保護層222。通道構件208之間的構件到構件空間保持填充有蓋層224。在第8圖所示的一些實施例中,蓋層的一小部分仍然可以設置在最頂的通道構件208上方。
參照第1圖、第9圖和第10圖,方法100包括操作110,其中在工件200上方沉積硬罩幕層226。如下面所述,硬罩幕層226用作蝕刻罩幕以選擇性地移除N型裝置區20N或P型裝置區20P上方的蓋層224。在一些實施例中,硬罩幕層226可以使用CVD、ALD或合適沉積方法來順應性地沉積。硬罩幕層226可以包括氧化鋁(AlO)、氮化鋁(AlN)、氧氮化鋁(AlON)、氧化鋯(ZrO)、氮化鋯(ZrN)、氧化鋯鋁(ZrAlO)、氧化鉿(HfO)、氧化鋅(ZnO)、氧化釔(YO)、氧化鈦(TiO)、其他金屬氧化物或合適介電材料。在一些其他實施例中,硬罩幕層226可以是多層的。如第9圖所示,硬罩幕層226順應性地沉積在蓋層224的頂表面和從蓋層224突出的介電鰭片214的部分上的保護層222的表面上。參照第10圖,硬罩幕層226沉積在CESL 218、ILD層220、閘極間隔物層216和沿著閘極間隔物層216的側壁延伸的保護層222的頂表面上。
參照第1圖、第11圖、第12圖、第13圖和第14圖,方法100包括操作112,其中硬罩幕層226被圖案化以暴露工件200的N型裝置區20N。首先參照第11圖和第12圖,第一底部抗反射塗佈(bottom anti-reflective coating;BARC)層228沉積在工件200上方,並且藉由微影技術被圖案化,以暴露N型裝置區20N上方的硬罩幕層226。第一BARC層228可以包括聚碸(polysulfone)、聚脲(polyurea)、聚脲碸(polyurea sulfone)、聚丙烯酸酯(polyacrylate)、聚(乙烯基吡啶)( poly(vinyl pyridine))或含矽聚合物。可以使用旋塗或流動式CVD(flowable CVD;FCVD)在工件200上方沉積第一BARC層228。根據本揭露,介電鰭片214上方的硬罩幕層226的至少一部分保持被圖案化的第一BARC層228覆蓋。這種佈置允許後續形成的圖案化的硬罩幕層226和介電鰭片在P型裝置區20P中形成蓋層224的保護外殼。在所示的實施例中,圖案化的第一BARC層228的一部分保持設置在N型裝置區20N上方的硬罩幕層226的一部分上方。接著參照第13圖和第14圖,圖案化的第一BARC層228被用作蝕刻罩幕,以蝕刻硬罩幕層226以形成圖案化的硬罩幕層226。在所示的實施例中,圖案化的硬罩幕層226的一部分設置在N型裝置區20N上方的蓋層224的一部分上。如第14圖所示,在選擇性移除N型裝置區20N上方的硬掩罩幕226之後,暴露N型裝置區20N中的閘極間隔物層216的側壁上的保護層222和蓋層224。
參照第1圖、第15圖和第16圖,方法100包括操作114,其中移除N型裝置區20N中的蓋層224。使用在操作112形成的圖案化的硬罩幕層226,N型裝置區20N上方的蓋層224被選擇性地移除。在一些實施例中,使用選擇性濕式蝕刻或選擇性乾式蝕刻來執行N型裝置區20N上方的蓋層224的選擇性移除,其對蓋層224是選擇性的並且以慢得多的速率蝕刻保護層222。在操作114的示例選擇性濕式蝕刻製程可以包括使用乙二胺鄰苯二酚(ethylenediamine pyrocatechol;EDP)、四甲基氫氧化銨(tetramethylammonium hydroxide;TMAH)、硝酸(HNO
3)、氫氟酸(HF)、氨(NH
3)、氟化銨(NH
4F)或合適濕式蝕刻劑。在操作114的示例選擇性乾式蝕刻製程可以包括六氟化硫(SF
6)、氫氣 (H
2)、氨氣(NH
3)、甲烷(CH
4)、溴化氫(HBr)、氫氟酸(HF)、四氟化碳(CF
4)或其混合物。如第15圖和第16圖所示, N型裝置區20N上方的蓋層224的選擇性移除暴露了N型裝置區20N上方的保護層222。在移除蓋層224之後,藉由灰化或選擇性蝕刻移除圖案化的第一BARC層228。
參照第1圖、第17圖和第18圖,方法100包括操作116,其中移除圖案化的硬罩幕層226。在選擇性移除N型裝置區20N上方的蓋層224之後,藉由選擇性蝕刻選擇性移除介電鰭片214和P型裝置區20P上方的圖案化的硬罩幕層226。因為硬罩幕層226由金屬氧化物形成,所以可以使用對金屬氧化物有選擇性的乾式蝕刻或濕式蝕刻來執行在操作116的選擇性蝕刻。示例選擇性濕式蝕刻製程可以包括使用氫氟酸、氟化銨、RCA SC-1(氨、過氧化氫和水)、RCA SC-2(鹽酸和過氧化氫)或其組合。示例選擇性乾式蝕刻製程可以包括使用氧氣、含氟氣體(例如:四氟化碳(CF
4)、六氟化硫(SF
6)、二氟甲烷(CH
2F
2)、三氟甲烷(CHF
3)及/或六氟乙烷(C
2F
6))或其組合。
參照第1圖、第19圖和第20圖,方法100包括操作118,其中移除未被蓋層224覆蓋的保護層222。如第19圖和第20圖所示,藉由選擇性乾式蝕刻或選擇性濕式蝕刻移除暴露的保護層222。移除保護層222的選擇性濕式蝕刻的示例可以包括硝酸和氫氟酸的混合物、RCA SC-1(氨、過氧化氫和水)、RCA SC-2(鹽酸和過氧化氫)或緩衝氫氟酸(buffered hydrofluoric acid)(氫氟酸和氟化銨的混合物)。移除暴露的保護層222可以暴露N型裝置區20N中的介電鰭片214和通道構件208上方的閘極介電層212。
參照第1圖、第19圖和第20圖,方法100包括操作120,其中沉積第一功函數金屬層230。在移除暴露的保護層222之後,操作120在工件200上方沉積第一功函數金屬層230。在一些實施例中,第一功函數金屬層230可以是N型功函數金屬層,並且可以包括鈦(Ti)、鋁(Al)、鈦鋁(TiAl)、碳化鈦鋁(TiAlC)、碳化鉭鋁(TaAlC)、氮化鈦鋁(TiAlN)、鉭矽鋁(TaSiAl)、碳矽化鉭(TaSiC)、矽化鉭(TaC)或碳化鉿 (HfC)。如第19圖和第20圖所示,沉積的第一功函數金屬層230直接接觸在N型裝置區20N中的介電鰭片214上和圍繞通道構件208的閘極介電層212。值得注意的是,第一功函數金屬層230被允許填充在N型裝置區20N中的介電鰭片214和通道構件208之間的空間中,如空心箭頭所示。介電鰭片214和通道構件208之間的空間可以被稱為端蓋空間(end cap space)。端蓋空間中令人滿意的金屬填充對閘極結構完整性(gate structure integrity)、臨界電壓和閘極電阻很重要。
在第19圖所示的一些實施例中,沉積在N型裝置區20N中的相鄰通道構件208之間的第一功函數金屬層230被允許合併,從而填充構件到構件空間。在第28圖所示的一些替代實施例中,第一功函數金屬層230不合併以密封(seal)構件到構件空間,並且允許後續沉積的第二功函數金屬層234(將在下面描述)進入構件到構件空間。
參照第1圖、第21圖、第22圖、第23圖和第24圖,方法100包括操作122,其中選擇性地移除P型裝置區20P上方的第一功函數金屬層230、蓋層224和保護層222。操作122可以包括形成圖案化的第二BARC層232(如第21圖和第22圖所示),並且使用圖案化的第二BARC層232作為蝕刻罩幕蝕刻第一功函數金屬層230、蓋層224(如第23圖和第24圖所示),以及移除圖案化的第二BARC層232(如第23圖和第24圖所示)。在示例製程中,第二BARC層232首先沉積在工件200上的第一功函數金屬層230上,並且接著使微影技術圖案化第二BARC層232,以形成圖案化的第二BARC層232,如第21圖和第22圖所示。在第21圖所示的一些實施例中,圖案化的第二BARC層232覆蓋並保護N型裝置區20N上方的第一功函數金屬層230,以及介電鰭片214的頂表面和側壁上的第一功函數金屬層230。如第21圖和第22圖所示,圖案化的第二BARC層232暴露P型裝置區20P上方的第一功函數金屬層230。
現在參照第23圖和第24圖。操作122還包括使用圖案化的第二BARC層232作為蝕刻罩幕蝕刻在P型裝置區20P上方的第一功函數金屬層230和蓋層224。操作122另外包括移除圖案化的第二BARC層232和移除在P型裝置區20P上方的保護層222。在一些實施例中,第一功函數金屬層230的蝕刻、蓋層224的蝕刻、保護層222的蝕刻在不同的蝕刻製程中進行。舉例來說,可以使用實施磷酸、醋酸、硝酸、RCA SC-1(氨、過氧化氫和水)或RCA SC-2(鹽酸和過氧化氫)的選擇性濕式蝕刻製程,或實施氯、四氯化碳、四氯化矽、氯化硼的選擇性乾式蝕刻製程,來蝕刻第一功函數金屬層230。可以使用實施乙二胺鄰苯二酚(EDP)、四甲基氫氧化銨(TMAH)、硝酸(HNO
3)、氫氟酸(HF)、氨(NH
3)、氟化銨(NH
4F)、氫氧化銨(NH
4OH)、過氧化氫(H
2O
2)、稀釋的氫氟酸(DHF)或合適濕式蝕刻劑的選擇性濕式蝕刻製程來執行蓋層224的選擇性蝕刻。如第23圖所示,蓋層224的濕式蝕刻是等向性的,並且可以底切(undercut)圖案化的第二BARC層232以及下方的第一功函數金屬層230。在有限空間中選擇性地移除蓋層224將自我對準元件引入方法100。即使當圖案化的第二BARC層232的圖案化由於不令人滿意的罩幕覆蓋而不太理想時,只要暴露出蓋層224的一部分,仍然可以令人滿意地移除蓋層224。
在移除P型裝置區20P上方的蓋層 224 之後,藉由灰化或選擇性蝕刻移除圖案化的第二BARC層232。在移除圖案化的第二BARC層232之後,使用選擇性濕式蝕刻製程選擇性地移除在P型裝置區20P上方的保護層222。移除保護層222的示例選擇性濕式蝕刻製程可以包括硝酸和氫氟酸的混合物、RCA SC-1(氨、過氧化氫和水)、RCA SC-2(鹽酸和過氧化氫)或緩衝氫氟酸(buffered hydrofluoric acid)(氫氟酸和氟化銨的混合物)。在操作122移除暴露的保護層222可以暴露P型裝置區20P中的介電鰭片214的側壁和通道構件208上方的閘極介電層212。
替代地,在圖案化的第二BARC層232的幫助下圖案化第一功函數金屬層230之後,可以移除圖案化的第二BARC層232。圖案化的第一功函數金屬層230可以用作移除在P型裝置區中的蓋層224的蝕刻罩幕。
參照第1圖、第25圖和第26圖,方法100包括操作124,其中在工件200上方沉積第二功函數金屬層234。在移除P型裝置區20P上方的保護層222之後,操作124在工件200上方沉積第二功函數金屬層234。在一些實施例中,第二功函數金屬層234可以是P型功函數金屬層,並且可以包括鈷(Co)、氮化鈦(TiN)、氮碳化鎢(WCN)、氮化鈦矽(TiSiN)、氮化鉭(TaN)、鉬(Mo)或其組合。如第25圖和第26圖所示,沉積的第二功函數金屬層234包裹在P型裝置區20P中的每一個通道構件208,並且設置在N型裝置區20N中的第一功函數金屬層230上。在所示的實施例中,第二功函數金屬層234填充P型裝置區20P中的通道構件208和介電鰭片214之間的端蓋空間。第二功函數金屬層234也可以延伸到N型裝置區20N中的通道構件208和介電鰭片214之間的端蓋空間中。值得注意的是,介電鰭片214的頂表面被第一功函數金屬層230覆蓋,並且藉由第一功函數金屬層230與第二功函數金屬層234間隔。在一些實施例中,介電鰭片214的頂表面可以不被第一功函數金屬層230完全覆蓋。第二功函數金屬層234直接接觸在P型裝置區20P中的介電鰭片214的側壁上和圍繞通道構件208的閘極介電層212。第25圖和第26圖顯示了第一功函數金屬層230和第二功函數金屬層234沒有被介電鰭片214或閘極切割特徵分成兩個分開的閘極結構的示例。雖然圖式未明確顯示,但在沉積第二功函數金屬層234之後,工件200可經受平坦化製程,例如化學機械研磨(chemical mechanical polishing;CMP)製程,以提供平坦的頂表面。在操作124的操作結束時,N型MBC電晶體300形成在N型裝置區20N上方,並且P型MBC電晶體400形成在P型裝置區20P上方。因為第一功函數金屬層230和第二功函數金屬層234包括在介電鰭片214上方的連接部分,它們共同形成控制N型MBC電晶體300和P型MBC電晶體400的公共閘極結構。如本揭露的其他地方所述,可以執行進一步的製程以將公共閘極結構分成不同的閘極結構(或不同的閘極片段)。
在第29圖所示的一些替代實施例中,代替第二功函數金屬層234,在操作124沉積第三功函數金屬層235。在這些替代實施例中,為了便於參考,N型裝置區20N可被稱為第一N型裝置區20N。在第29圖中,P型裝置區20P被第二N型裝置區30N代替。與第一N型裝置區20N相似,第二N型裝置區30N也可以設置在P型井上方。第三功函數金屬層235是N型功函數金屬層,但在組成方面與第一功函數金屬層230不同。舉例來說,第一功能金屬層230可以包括鈦鋁(TiAl),而第三功函數金屬層235包括鈦(Ti)。在這些替代實施例中,形成在第一N型裝置區20N中的N型MBC電晶體和形成在第二N型裝置區30N中的N型MBC電晶體可以具有不同的臨界電壓。
在第30圖所示的另外一些替代實施例中,第二功函數金屬層234僅順應性地沉積在工件200上方,但沒有完全填充介電鰭片214周圍的空間。在這些替代實施例中,方法100可以包括進一步的製程,以在第二功函數金屬層234上方沉積金屬填充層240。
參考第1圖,方法100包括操作126,其中執行進一步的製程。這種進一步的製程可以包括金屬填充層240的沉積(如第30圖所示)、第二功函數金屬層234和第一功函數金屬層230的回蝕(如第31圖所示)、或在介電鰭片214上方形成閘極切割特徵244(如第32圖所示)。首先參照第30圖,在一些替代實施例中,第二功函數金屬層234不填充定義在閘極間隔物層之間的閘極開口。在那些替代實施例中,方法100可以包括金屬填充製程,以在第二功函數金屬層234上方沉積金屬填充層240。如第30圖所示,金屬填充層240可以延伸到P型裝置區20P上方的通道構件208和介電鰭片214之間的端蓋空間中。金屬填充層240可以包括鎢(W)、釕(Ru)、鈷(Co)或銅(Cu)。在一實施例中,金屬填充層240可包括鎢(W)。雖然圖式未明確顯示,但在沉積金屬填充層240之後,工件200可經受平坦化製程,例如化學機械研磨(CMP)製程,以提供平坦的頂表面。
接著參照第31圖,當第一主動區204-1和第二主動區204-2要分開運作(activate)時,可以使用乾式蝕刻製程回蝕第二功函數金屬層234、第一功函數金屬層230和閘極介電層212,直到它們分開成在N型裝置區20N上方的第一閘極結構250-1和在P型裝置區20P上方的第二閘極結構250-2。乾式蝕刻製程可以包括使用氧氣、氫氣、含氟氣體(例如:四氟化碳(CF
4)、六氟化硫(SF
6)、二氟甲烷(CH
2F
2)、三氟甲烷(CHF
3)及/或六氟乙烷(C
2F
6))、含氯氣體(例如:氯氣(Cl
2)、氯仿(CHCl
3)、四氯化碳(CCl
4)及/或三氯化硼(BCl
3))、含溴氣體(例如:溴化氫(HBr)及/或三溴甲烷(CHBr
3))、含碘氣體、其他合適氣體及/或電漿及/或其組合。如第31圖所示,第一功函數金屬層230的回蝕可以留下作為第二閘極結構250-2的一部分的第一功函數金屬特徵2300。第一功函數金屬特徵2300接觸閘極介電層212,但藉由閘極介電層212與介電鰭片214間隔。
現在參照第32圖。當第一主動區204-1和第二主動區204-2被分開激活時,可以在介電鰭片214上方形成閘極切割特徵244。閘極切割特徵244切斷第二功函數金屬層234和第一功函數金屬層230的連接部分,並且將它們分開成第一閘極結構250-1和第二閘極結構250-2。在示例製程中,在第二功函數金屬層234的平坦化之後,可以在第二功函數金屬層234上方沉積介電層242。接著形成穿過介電層242、第二功函數金屬層234、第一功函數金屬層230和閘極介電層212的閘極切割開口以暴露介電鰭片214的頂表面。此後,介電材料被沉積到閘極切割開口中以形成閘極切割特徵244。在一些實施例中,介電層242的組成和形成製程可以與ILD層220的組成和形成製程相似。閘極切割特徵244可以包括介電材料,例如氧化矽、氮氧化矽、氮化矽、氮碳氧化矽、氧化鉿、氧化鋯或氧化鋁。
第33圖顯示了替代實施例,其中工件200包括第一主動區204-1和第三主動區204-3。與包括通道構件208的垂直堆疊的第一主動區204-1和第二主動區204-2不同,第三主動區204-3包括用作FinFET的通道區的鰭片元件2082。鰭片元件2082可以由矽形成並且可以從基板202延伸。第33圖中的半導體裝置200可以被稱為混合裝置,因為它包括至少一個N型MBC電晶體300和至少一個P型FinFET 500。除了第三只動區204-3不具有通道構件的垂直堆疊並且不具有構件到構件空間之外,方法100可用於形成第33圖所示的半導體裝置。
基於以上討論,可以看出本揭露提供優於現有製程的優點。然而,應理解其他實施例可以提供額外的優點,並且並非所有優點都必須在此處揭露,並且所有實施例都不需要特定的優點。舉例來說,本揭露中揭露的製程在主動區上方沉積保護層、在保護層上方沉積蓋層、以及在蓋層上方沉積硬罩幕層。保護層用作蝕刻延遲層。蓋層用作可以選擇性地移除而大抵不損壞保護層的犧牲層。結合設置在兩個相鄰主動區之間的介電鰭片,即使當硬罩幕層的圖案化不太令人滿意時,這種三層佈置也允許自我對準和受控地移除一個主動區上的蓋層。本揭露的製程可以減輕罩幕覆蓋要求並且提高製程良率。
在一個示例性方面,本揭露涉及一種半導體結構。半導體結構包括在基板上方的至少一個第一半導體元件和至少一個第二半導體元件、設置在至少一個第一半導體元件和至少一個第二半導體元件之間的介電鰭片、包裹至少一個第一半導體元件中的每一者的第一功函數金屬層,第一功函數金屬層從至少一個第一半導體元件連續延伸至介電鰭片的頂表面、以及設置在至少一個第二半導體元件和第一功函數金屬層上方的第二功函數金屬層。
在一些實施例中,半導體結構還可以包括設置在至少一個第一半導體元件和至少一個第二半導體元件的複數表面上方的閘極介電層。第二功函數金屬層與設置在至少一個第二半導體元件上的柵極介電層接觸,並且第二功函數金屬層藉由第一功函數金屬層與設置在至少一個第一半導體元件上的閘極介電層間隔。在一些實施例中,閘極介電層設置在介電鰭片的複數側壁和頂表面上方。在一些實施方式中,至少一個第一半導體元件包括彼此堆疊的複數第一通道構件,並且至少一個第二半導體元件包括彼此堆疊的複數第二通道構件。在一些情況下,至少一個第一半導體元件包括彼此堆疊的複數通道構件,並且至少一個第二半導體元件包括從基板升高的半導體鰭片。在一些實施方式中,第一功函數金屬層是N型功函數金屬層,並且第二功函數金屬層是P型功函數金屬層。在一些實施例中,第一功函數金屬層包括鈦(Ti)、鋁(Al)、鈦鋁(TiAl)、碳化鈦鋁(TiAlC)、碳化鉭鋁(TaAlC)或氮化鈦鋁(TiAlN),並且第二功函數金屬層包括鈷(Co)、氮化鈦(TiN)或氮碳化鎢(WCN)。在一些情況下,半導體結構還可以包括設置在介電鰭片上方的閘極切割特徵。閘極切割特徵將第一功函數金屬層和第二功函數金屬層分成設置在至少一個第一半導體元件上方的第一閘極片段和設置在至少一個第二半導體元件上方的第二閘極片段。在一些情況下,閘極切割特徵延伸穿過第一功函數金屬層,使得第二柵極段包括第一功函數金屬層的一部分。
在另一個示例性方面,本揭露涉及一種半導體結構。半導體結構包括設置在設置在基板的第一裝置區上方的複數第一通道構件、設置在基板的一第二裝置區上方的複數第二通道構件、沿著第一方向設置在第一通道構件和第二通道構件之間的介電鰭片、以及設置在介電鰭片上方並且包裹第一通道構件中的每一者和第二通道構件中的每一者的閘極結構。閘極結構包括從介電鰭片的頂表面連續延伸到第一通道構件的複數表面的第一功函數金屬層,以及包裹第二通道構件中的每一者,並且設置在第一功函數金屬層上方的第二功函數金屬層。
在一些實施例中,第一通道構件沿著垂直於第一方向的第二方向夾設在兩個N型源極/汲極特徵之間,並且第二通道構件沿著第二方向夾設在兩個P型源極/汲極特徵之間。在一些實施方式中,第一功函數金屬層不在第二功函數金屬層和第二通道構件之間延伸。在一些情況下,第二功函數金屬層在第一通道構件中的相鄰第一通道構件之間延伸。在一些實施例中,第一功函數金屬層包括鈦(Ti)、鋁(Al)、鈦鋁(TiAl)、碳化鈦鋁(TiAlC)、碳化鉭鋁(TaAlC)或氮化鈦鋁(TiAlN),並且第二功函數金屬層包括鈷(Co)、氮化鈦(TiN)或氮碳化鎢(WCN)。
在又一個示例性方面,本公開涉及一種半導體結構之形成方法。半導體結構之形成方法包括接收工件,工件包括第一主動區和一第二主動區,以及設置在第一主動區和第二主動區之間的介電鰭片;在第一主動區、介電鰭片和第二主動區上方形成閘極介電層;在形成閘極介電層之後,在介電鰭片、第一主動區和第二主動區上方沉積蓋層;回蝕蓋層直到介電鰭片將蓋層分成在第一主動區上方的第一部分和在第二主動區上方的第二部分;在回蝕操作之後,選擇性地移除在第一主動區上方的蓋層的上述第一部分;在第一主動區、介電鰭片和第二主動區上方的蓋層上方形成第一金屬層;選擇性地移除在第二主動區上方的第一金屬層和蓋層;以及在第二主動區上方和在第一主動區上方的第一金屬層上方形成第二金屬層。
在一些實施例中,蓋層包括矽。在一些實施方式中,半導體結構之形成方法還可以包括在沉積蓋層之前,在第一主動區、第二主動區和介電鰭片上方沉積保護層。在一些實施例中,保護層包括氮化鈦。在一些情況下,在選擇性地移除第二主動區上方的第一金屬層和蓋層之後,第一金屬層的一部分保留設置在介電鰭片的頂表面上方。在一些情況下,在第二主動區上方形成第二金屬層之後,介電鰭片的頂表面藉由第一金屬層與第二金屬層間隔。
前述內文概述了許多實施例的特徵,使本技術領域中具有通常知識者可以從各個方面更佳地了解本揭露。本技術領域中具有通常知識者應可理解,且可輕易地以本揭露為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本揭露的發明精神與範圍。在不背離本揭露的發明精神與範圍之前提下,可對本揭露進行各種改變、置換或修改。
100:方法
102~126:操作
200:工件、半導體結構、半導體裝置
202:基板
203:隔離特徵、淺溝槽隔離特徵
204-1:第一主動區
204-2:第二主動區
204-3:第三主動區
208:通道構件
210:界面層
212:閘極介電層
214:介電鰭片
236:內層
238:外層
20P:P型裝置區
20N:N型裝置區、第一N型裝置區
I-I’:剖面
II-II’:剖面
214P:P型源極/汲極特徵、P型磊晶特徵
214N:N型源極/汲極特徵、N型磊晶特徵
216:閘極間隔物層
217:內部間隔物特徵
218:接點蝕刻停止層
220:層間介電層
222:保護層
224:蓋層
225:間隙
226:硬罩幕層
228:第一底部抗反射塗佈層
230:第一功函數金屬層
232:第二底部抗反射塗佈層
234:第二功函數金屬層
300:N型多橋通道電晶體
400:P型多橋通道電晶體
235:第三功函數金屬層
30N:第二N型裝置區
240:金屬填充層
244:閘極切割特徵
250-1:第一閘極結構
250-2:第二閘極結構
2300:第一功函數金屬特徵
242:介電層
2082:鰭片元件
500:P型鰭式場效電晶體
本揭露實施例可透過閱讀以下之詳細說明以及範例並配合相應之圖式以更詳細地了解。需要注意的是,依照業界之標準操作,各種特徵部件並未依照比例繪製。事實上,為了清楚論述,各種特徵部件之尺寸可以任意地增加或減少。
第1圖根據本揭露的一或多個方面顯示了一種形成半導體結構的方法的流程圖。
第2圖、第3圖、第4圖、第5圖、第6圖、第7圖、第8圖、第9圖、第10圖、第11圖、第12圖、第13圖、第14圖、第15圖、第16圖、第17圖、第18圖、第19圖、第20圖、第21圖、第22圖、第23圖、第24圖、第25圖、第26圖、第27圖、第28圖、第29圖、第30圖、第31圖、以及第32圖根據本揭露的一或多個方面顯示了在第1圖的方法中的各個製造站點期間的工件的局部剖視圖。
第33圖根據本揭露的一或多個方面顯示了包括不同主動區配置的替代半導體結構。
無
100:方法
102~126:操作
Claims (8)
- 一種半導體結構,包括:至少一第一半導體元件和至少一第二半導體元件,上述第一半導體元件和上述第二半導體元件在一基板上方;一介電鰭片,設置在上述第一半導體元件和上述第二半導體元件之間;一第一功函數金屬層,包裹上述第一半導體元件中的每一者,上述第一功函數金屬層從上述第一半導體元件連續延伸至上述介電鰭片的一頂表面;一第二功函數金屬層,設置在上述第二半導體元件和上述第一功函數金屬層上方;以及一閘極切割特徵,設置在上述介電鰭片上方,其中上述閘極切割特徵將上述第一功函數金屬層和上述第二功函數金屬層分成設置在上述第一半導體元件上方的一第一閘極片段和設置在上述第二半導體元件上方的一第二閘極片段,並且上述閘極切割特徵延伸穿過上述第一功函數金屬層,使得上述第二閘極片段包括上述第一功函數金屬層的一部分。
- 如請求項1之半導體結構,更包括:一閘極介電層,設置在上述第一半導體元件和上述第二半導體元件的複數表面上方,其中上述第二功函數金屬層與設置在上述第二半導體元件上的上述閘極介電層接觸,以及其中上述第二功函數金屬層藉由上述第一功函數金屬層與設置在上述第一半導體元件上的上述閘極介電層間隔。
- 如請求項2之半導體結構,其中上述閘極介電層設置在上述介電 鰭片的複數側壁和上述頂表面上方。
- 一種半導體結構,包括:複數第一通道構件,設置在一基板的一第一裝置區上方;複數第二通道構件,設置在上述基板的一第二裝置區上方;一介電鰭片,沿著一第一方向設置在上述第一通道構件和上述第二通道構件之間;以及一閘極結構,設置在上述介電鰭片上方,並且包裹上述第一通道構件中的每一者和上述第二通道構件中的每一者,其中上述閘極結構包括:一第一功函數金屬層,從上述介電鰭片的一頂表面連續延伸到上述第一通道構件的複數表面,以及一第二功函數金屬層,包裹上述第二通道構件中的每一者,並且設置在上述第一功函數金屬層上方。
- 一種半導體結構之形成方法,包括:接收一工件,上述工件包括:一第一主動區和一第二主動區,以及一介電鰭片,設置在上述第一主動區和上述第二主動區之間;在上述第一主動區、上述介電鰭片和上述第二主動區上方形成一閘極介電層;在形成上述閘極介電層之後,在上述介電鰭片、上述第一主動區和上述第二主動區上方沉積一蓋層;回蝕上述蓋層直到上述介電鰭片將上述蓋層分成在上述第一主動區上方的一第一部分和在上述第二主動區上方的一第二部分; 在上述回蝕操作之後,選擇性地移除在上述第一主動區上方的上述蓋層的上述第一部分;在上述第一主動區、上述介電鰭片和上述第二主動區上方的上述蓋層上方形成一第一金屬層;選擇性地移除在上述第二主動區上方的上述第一金屬層和上述蓋層;以及在上述第二主動區上方和在上述第一主動區上方的上述第一金屬層上方形成一第二金屬層。
- 如請求項5之半導體結構之形成方法,更包括:在沉積上述蓋層之前,在上述第一主動區、上述第二主動區和上述介電鰭片上方沉積一保護層。
- 如請求項5之半導體結構之形成方法,其中在選擇性地移除上述第二主動區上方的上述第一金屬層和上述蓋層之後,上述第一金屬層的一部分保留設置在上述介電鰭片的一頂表面上方。
- 如請求項5之半導體結構之形成方法,其中在上述第二主動區上方形成上述第二金屬層之後,上述介電鰭片的一頂表面藉由上述第一金屬層與上述第二金屬層間隔。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US202163141764P | 2021-01-26 | 2021-01-26 | |
US63/141,764 | 2021-01-26 | ||
US17/226,891 US11735647B2 (en) | 2021-01-26 | 2021-04-09 | Method for forming semiconductor device |
US17/226,891 | 2021-04-09 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202230796A TW202230796A (zh) | 2022-08-01 |
TWI801975B true TWI801975B (zh) | 2023-05-11 |
Family
ID=82320530
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW110130807A TWI801975B (zh) | 2021-01-26 | 2021-08-20 | 半導體結構及其形成方法 |
Country Status (5)
Country | Link |
---|---|
US (3) | US11735647B2 (zh) |
KR (1) | KR102636791B1 (zh) |
CN (1) | CN114792661A (zh) |
DE (1) | DE102021109456A1 (zh) |
TW (1) | TWI801975B (zh) |
Families Citing this family (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20220125512A (ko) * | 2021-03-05 | 2022-09-14 | 에스케이하이닉스 주식회사 | 반도체 메모리 장치 |
US12087771B2 (en) * | 2021-03-31 | 2024-09-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | Multiple patterning gate scheme for nanosheet rule scaling |
KR20220149216A (ko) * | 2021-04-30 | 2022-11-08 | 에스케이하이닉스 주식회사 | 메모리 셀 및 그를 구비한 반도체 메모리 장치 |
US11974424B2 (en) * | 2021-11-30 | 2024-04-30 | Winbond Electronics Corp. | Memory device and method of forming the same |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW201903858A (zh) * | 2017-05-31 | 2019-01-16 | 台灣積體電路製造股份有限公司 | 半導體裝置的製造方法 |
CN109427774A (zh) * | 2017-08-29 | 2019-03-05 | 台湾积体电路制造股份有限公司 | 半导体元件 |
CN111863810A (zh) * | 2019-04-26 | 2020-10-30 | 台湾积体电路制造股份有限公司 | 半导体装置结构及其形成方法 |
Family Cites Families (22)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20050250258A1 (en) * | 2004-05-04 | 2005-11-10 | Metz Matthew V | Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode |
US10199502B2 (en) | 2014-08-15 | 2019-02-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure of S/D contact and method of making same |
US9818872B2 (en) | 2015-06-30 | 2017-11-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-gate device and method of fabrication thereof |
US10032627B2 (en) | 2015-11-16 | 2018-07-24 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming stacked nanowire transistors |
US9754840B2 (en) | 2015-11-16 | 2017-09-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Horizontal gate-all-around device having wrapped-around source and drain |
US9899387B2 (en) | 2015-11-16 | 2018-02-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-gate device and method of fabrication thereof |
US9887269B2 (en) | 2015-11-30 | 2018-02-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-gate device and method of fabrication thereof |
US9899269B2 (en) | 2015-12-30 | 2018-02-20 | Taiwan Semiconductor Manufacturing Company, Ltd | Multi-gate device and method of fabrication thereof |
EP3244447A1 (en) | 2016-05-11 | 2017-11-15 | IMEC vzw | Method for forming a gate structure and a semiconductor device |
US9899398B1 (en) | 2016-07-26 | 2018-02-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Non-volatile memory device having nanocrystal floating gate and method of fabricating same |
US10290546B2 (en) | 2016-11-29 | 2019-05-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | Threshold voltage adjustment for a gate-all-around semiconductor structure |
US10134915B2 (en) * | 2016-12-15 | 2018-11-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | 2-D material transistor with vertical structure |
CN108933137B (zh) | 2017-05-19 | 2021-02-09 | 中芯国际集成电路制造(上海)有限公司 | 静态随机存储器及其制造方法 |
US10475902B2 (en) | 2017-05-26 | 2019-11-12 | Taiwan Semiconductor Manufacturing Co. Ltd. | Spacers for nanowire-based integrated circuit device and method of fabricating same |
US10943830B2 (en) | 2017-08-30 | 2021-03-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-aligned structure for semiconductor devices |
US11031395B2 (en) * | 2018-07-13 | 2021-06-08 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of forming high performance MOSFETs having varying channel structures |
US11101348B2 (en) | 2018-07-25 | 2021-08-24 | Globalfoundries U.S. Inc. | Nanosheet field effect transistor with spacers between sheets |
US10510620B1 (en) * | 2018-07-27 | 2019-12-17 | GlobalFoundries, Inc. | Work function metal patterning for N-P space between active nanostructures |
US10566248B1 (en) * | 2018-07-27 | 2020-02-18 | Globalfoundries Inc. | Work function metal patterning for N-P spaces between active nanostructures using unitary isolation pillar |
US10886368B2 (en) * | 2018-08-22 | 2021-01-05 | International Business Machines Corporation | I/O device scheme for gate-all-around transistors |
US11038036B2 (en) * | 2018-09-26 | 2021-06-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Separate epitaxy layers for nanowire stack GAA device |
US10971605B2 (en) | 2018-10-22 | 2021-04-06 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dummy dielectric fin design for parasitic capacitance reduction |
-
2021
- 2021-04-09 US US17/226,891 patent/US11735647B2/en active Active
- 2021-04-15 DE DE102021109456.9A patent/DE102021109456A1/de active Pending
- 2021-06-02 KR KR1020210071427A patent/KR102636791B1/ko active IP Right Grant
- 2021-08-20 TW TW110130807A patent/TWI801975B/zh active
-
2022
- 2022-01-19 CN CN202210058376.2A patent/CN114792661A/zh active Pending
- 2022-08-10 US US17/885,058 patent/US20220384620A1/en active Pending
-
2023
- 2023-07-24 US US18/357,795 patent/US20230369466A1/en active Pending
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW201903858A (zh) * | 2017-05-31 | 2019-01-16 | 台灣積體電路製造股份有限公司 | 半導體裝置的製造方法 |
CN109427774A (zh) * | 2017-08-29 | 2019-03-05 | 台湾积体电路制造股份有限公司 | 半导体元件 |
CN111863810A (zh) * | 2019-04-26 | 2020-10-30 | 台湾积体电路制造股份有限公司 | 半导体装置结构及其形成方法 |
Also Published As
Publication number | Publication date |
---|---|
US20220238700A1 (en) | 2022-07-28 |
US20220384620A1 (en) | 2022-12-01 |
CN114792661A (zh) | 2022-07-26 |
TW202230796A (zh) | 2022-08-01 |
DE102021109456A1 (de) | 2022-07-28 |
US11735647B2 (en) | 2023-08-22 |
US20230369466A1 (en) | 2023-11-16 |
KR20220107895A (ko) | 2022-08-02 |
KR102636791B1 (ko) | 2024-02-14 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US11430892B2 (en) | Inner spacers for gate-all-around transistors | |
US12107169B2 (en) | Contact structure for stacked multi-gate device | |
US20210376093A1 (en) | Self-Aligned Backside Source Contact Structure | |
TWI801975B (zh) | 半導體結構及其形成方法 | |
KR102545983B1 (ko) | 에피택셜 피처 | |
US12107003B2 (en) | Etch profile control of gate contact opening | |
US11705491B2 (en) | Etch profile control of gate contact opening | |
US11764287B2 (en) | Multi-gate transistor structure | |
TW202228245A (zh) | 半導體結構 | |
US20220367482A1 (en) | Source/Drain Feature Separation Structure | |
US20230187518A1 (en) | Semiconductor Device With Tunable Channel Layer Usage And Methods Of Fabrication Thereof | |
US20240266218A1 (en) | Integrated circuit structure and manufacturing method thereof | |
CN114792723A (zh) | 半导体装置及其制造方法 | |
KR102436688B1 (ko) | 다중-게이트 트랜지스터 구조 | |
US11532626B2 (en) | Reduction of gate-drain capacitance | |
US20230058459A1 (en) | Multi-gate transistors having deep inner spacers | |
CN113380886A (zh) | 半导体器件和形成半导体器件的方法 | |
CN112018113A (zh) | 半导体装置及其形成方法 | |
US20230054243A1 (en) | Multi-gate transistors and methods of forming the same | |
US20230245920A1 (en) | Semiconductor structure and method for manufacturing the same | |
TW202343842A (zh) | 半導體結構及其形成方法 |