TWI785401B - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TWI785401B
TWI785401B TW109135896A TW109135896A TWI785401B TW I785401 B TWI785401 B TW I785401B TW 109135896 A TW109135896 A TW 109135896A TW 109135896 A TW109135896 A TW 109135896A TW I785401 B TWI785401 B TW I785401B
Authority
TW
Taiwan
Prior art keywords
gate
layer
trench
work function
adhesive layer
Prior art date
Application number
TW109135896A
Other languages
English (en)
Other versions
TW202117816A (zh
Inventor
連建洲
林群能
陳玠瑋
江子昂
葉明熙
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202117816A publication Critical patent/TW202117816A/zh
Application granted granted Critical
Publication of TWI785401B publication Critical patent/TWI785401B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

一種半導體裝置的形成方法,包括:以一介電材料圍繞設置於一鰭片上方的一虛置閘極;通過去除虛置閘極以及去除沿著虛置閘極的側壁設置的一第一閘極間隔物的上方部分,而在介電材料中形成一閘極溝槽,其中閘極溝槽包含位於留下的第一閘極間隔物的下方部分之間的一下溝槽,以及包含在前述下溝槽上方的一上溝槽;依序在閘極溝槽中形成一閘極介電層、一功函數層及一膠黏層;自上溝槽去除膠黏層及功函數層;在前述去除步驟後,以一閘極電極材料填充閘極溝槽;以及自上溝槽去除閘極電極材料,閘極電極材料的留下部分係形成一閘極電極。

Description

半導體裝置及其形成方法
本發明實施例內容是有關於一種半導體裝置及其形成方法,特別是有關於一種半導體裝置的取代閘極及其形成方法,以增進所製得的半導體裝置的性能。
半導體工業因各種不同的電子部件(例如,電晶體、二極體、電阻器、電容器等等)的積體密度的持續改進而已歷經了快速成長。在大多數情況下,此積體密度的改進來自於不斷縮小最小特徵部件尺寸,其容許更多的部件整合於一給定區域。
鰭式場效電晶體(Fin Field-Effect Transistor,FinFET)裝置正普遍使用於積體電路中。鰭式場效電晶體裝置具有三維結構,其包括一半導體鰭片突出於一基底上。包覆半導體鰭片的一閘極結構,則配置成用以控制位於鰭式場效電晶體裝置之導電通道內的電荷載子流。舉例來說,在三閘極鰭式場效電晶體(tri-gate FinFET)裝置中,閘極結構包覆半導體鰭片的三側,藉以形成導電通道於半導體鰭片的三側。
本發明的一些實施例提供一種半導體裝置的形成方法。此形成方法包括:以一介電材料圍繞設置於一鰭片上方的一虛置閘極(dummy gate);通過去除前述虛置閘極以及去除沿著前述虛置閘極的側壁設置的一第一閘極間隔物(first gate spacer)的上方部分(upper portions),而在前述介電材料中形成一閘極溝槽(gate trench),其中前述閘極溝槽包含位於留下的第一閘極間隔物的下方部分(remaining lower portions)之間的一下溝槽(lower trench),以及包含在前述下溝槽上方的一上溝槽(upper trench);依序在前述閘極溝槽中形成一閘極介電層(gate dielectric layer)、一功函數層(work function layer)及一膠黏層(glue layer);自前述上溝槽去除前述膠黏層及前述功函數層;在前述去除步驟後,以一閘極電極材料填充閘極溝槽;以及自前述上溝槽去除前述閘極電極材料,閘極電極材料的留下部分係形成一閘極電極(gate electrode)。
本發明的一些實施例又提供一種半導體裝置的形成方法。此形成方法包括:通過去除一虛置閘極及去除圍繞前述虛置閘極的一第一閘極間隔物的一上方部分,而在一介電材料中形成一閘極溝槽,其中前述閘極溝槽包含位於留下的前述第一閘極間隔物的下方部分之間的一下溝槽,以及包含在下溝槽上方的一上溝槽;在前述閘極溝槽中依序的形成一閘極介電層、一功函數層、一覆蓋層(capping layer)及一第一膠黏層,以襯裡式的位於前述閘極溝槽的側壁和底部;自前述上溝槽去除第一膠黏層、覆蓋層及功函數層;在去除前述第一膠黏層、前述覆蓋層及前述功函數層之後,於前述閘極溝槽中形成一第二膠黏層(second glue layer);在形成前述第二膠黏層之後,以一閘極金屬填充前述閘極溝槽;自上溝槽去除前述閘極金屬,而在下溝槽中的閘極金屬的一留下部分係形成一閘極電極(gate electrode);以及在去除前述閘極金屬之後,自上溝槽去除第二膠黏層及閘極介電層。
本發明的一些實施例提供一種半導體裝置,包括:一鰭片突出於一基底的上方;一第一金屬閘極位於該鰭片的上方;一第一閘極間隔物沿著該第一金屬閘極的側壁延伸;一第二閘極間隔物沿著該第一閘極間隔物的側壁延伸,且相較於遠離該基底的該第一閘極間隔的一第一上表面,遠離該基底的該第二閘極間隔物的一第二上表面係延伸的更遠離該基底;一介電材料係被該第二閘極間隔物所圍繞,且該介電材料自該第一閘極間隔的該第一上表面延伸至該第二閘極間隔物的該第二上表面;以及一第一閘極接觸件(first gate contact)延伸穿過該介電材料且電性耦接至該第一金屬閘極。
以下內容提供了很多不同的實施例或範例,用於實現本發明實施例的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,敘述中若提及一第一部件形成於一第二部件之上方或位於其上,可能包含第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。另外,本發明實施例可能在許多範例中重複元件符號及/或字母。這些重複是為了簡化和清楚的目的,其本身並非代表所討論各種實施例及/或配置之間有特定的關係。
此外,此處可能使用空間上的相關用語,例如「在…之下」、「在…下方」、「下方的」、「在…上方」、「上方的」及其他類似的用語可用於此,以便描述如圖所示之一元件或部件與其他元件或部件之間的關係。此空間上的相關用語除了包含圖式繪示的方位外,也包含使用或操作中的裝置的不同方位。裝置可以被轉至其他方位(旋轉90度或其他方位),則在此所使用的空間相對描述可同樣依旋轉後的方位來解讀。
在文中討論的本揭露之實施例係關於形成一鰭式場效電晶體(FinFET)裝置,特別是形成一鰭式場效電晶體裝置的一取代閘極(replacement gate)。在一些實施例中,係於一鰭片的上方形成一虛置閘極結構(dummy gate structure)。形成一第一閘極間隔物(first gate spacer)圍繞此虛置閘極結構,且一第二閘極間隔物(second gate spacer)係圍繞第一閘極間隔物。在形成一內層介電層(interlayer dielectric (ILD) layer)圍繞第二閘極間隔物後,係將虛置閘極結構去除。接著,去除第一閘極間隔物的上方部分(upper portions),而留下第一閘極間隔物的下方部分(lower portions)。在去除第一閘極間隔物的上方部分之後,係在內層介電層中形成一閘極溝槽(gate trench),其中閘極溝槽具有位於留下的第一閘極間隔物的下方部分之間的一下溝槽(lower trench),以及在下溝槽上方的一上溝槽(upper trench)。前述上溝槽比前述下溝槽更寬。接著,依序在閘極溝槽中形成一閘極介電層(gate dielectric layer)、一功函數層(work function layer)、一選擇性的覆蓋層、以及一膠黏層(glue layer)。接著,以一第一濕式蝕刻製程選擇性的自上溝槽去除膠黏層。以一第二濕式蝕刻製程自上溝槽去除選擇性形成的覆蓋層,以及以一第三濕式蝕刻製程選擇性的自上溝槽去除功函數層。在第三濕式蝕刻製程之後,閘極介電層的留下部分、功函數層的留下部分、覆蓋層的留下部分以及膠黏層的留下部分係設置在閘極溝槽中且具有彎曲的上表面(curved upper surface),前述彎曲的上表面係在低於上溝槽及下溝槽之間的一界面(interface)的下方延伸。接著,再次(例如第二次)於閘極溝槽中形成膠黏層,且以一閘極金屬填充前述閘極溝槽。接著,進行一第四濕式蝕刻製程,以自上溝槽選擇性的去除閘極金屬,且在下溝槽中的閘極金屬的留下部分係形成一閘極電極。在閘極電極形成之後,係進行一第五濕式蝕刻製程,以自上溝槽選擇性的去除膠黏層。接著,進行一蝕刻製程,例如一乾式蝕刻製程,以自上溝槽去除閘極介電層。
根據上述提出之方法可在鰭片上方形成的金屬閘極之間具有較大的距離(例如間距),因而在更小的製程節點中可減少金屬閘極漏電流(metal gate leakage)。在上述提出之方法中所使用的各種選擇性蝕刻製程可以精準的控制蝕刻製程的終點,避免損傷閘極介電層,以及降低或避免再回蝕金屬閘極的各個材料層期間的負載效應。因此,可以精準的控制金屬閘極的閘極高度。再者,根據上述提出之方法可以保留金屬閘極的臨界尺寸(critical dimension,CD)、及閘極介電層和上方遮罩層的側壁輪廓(sidewall profiles)。
第1圖是根據本發明一些實施例的鰭式場效電晶體(FinFET)30的立體圖。此鰭式場效電晶體30包括一基底50和突出於基底50的鰭片64。隔離區(isolation regions)62形成在鰭片64的相對兩側,鰭片64突出於隔離區62的上方。一閘極介電質(gate dielectric)66沿著鰭片64的側壁並位於鰭片64的頂面上方,且一閘極電極68位於閘極介電質66的上方。源極/汲極區(source/drain regions)80設置在相對於閘極介電質66和閘極電極68的鰭片64的相對兩側中。第1圖還示出了在後面的圖式中所參考的剖面。參考剖面B-B是沿著鰭式場效電晶體30之閘極電極68的縱軸。參考剖面A-A係垂直於參考剖面B-B,且沿著鰭片64的縱軸,並且在例如源極/汲極區80之間的電流流動方向上延伸。參考剖面C-C係平行於參考剖面B-B,且通過源極/汲極區80。為清楚說明,後續圖式參照這些剖面進行說明。
第2-6、7A、7B、7C和8-21圖是根據本發明一實施例的製造鰭式場效電晶體裝置100的多個中間階段的剖面示意圖。此鰭式場效電晶體裝置100是類似於第1圖中的鰭式場效電晶體30,但具有多個鰭片以及多個閘極結構。第2-5圖是沿著參考剖面B-B所繪示的鰭式場效電晶體裝置100的剖面示意圖。第6、7A和8-21圖是沿著參考剖面A-A所繪示的FinFET裝置100的剖面示意圖,而第7A和7C圖是沿著參考剖面C-C所繪示的鰭式場效電晶體裝置100的剖面示意圖。
第2圖繪示基底50的剖面示意圖。基底50可以是一半導體基底,例如一塊狀半導體(bulk semiconductor)、一絕緣層上覆半導體(Semiconductor-On-Insulator;SOI)基底、或其類似物,且基底50可以是已摻雜(如摻雜有p型或n型摻雜物)或未摻雜的基底。基底50可以是一晶圓,例如一矽晶圓。一般而言,一絕緣層上覆半導體包含形成在一絕緣層上的一層半導體材料。此絕緣層可例如為一埋入式的氧化物(BOX)層、氧化矽層或類似物。將絕緣層形成於基底上,此基底通常是一矽基底或一玻璃基底。亦可使用其他基底,例如多層基底(multi-layered substrate)或漸變基底(gradient substrate)。在一些實施例中,基底50的半導體材料可包含:矽、鍺;一化合物半導體(compound semiconductor),包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦;一合金半導體(alloy semiconductor),包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP;或上述之組合。
參照第3圖,第2圖中所示的基底50例如是使用光學微影和蝕刻技術進行圖案化。舉例來說,於基底50上形成一罩幕層,例如一墊氧化層(pad oxide layer)52及位於上方的墊氮化層(pad nitride layer)56。墊氧化層52可以是包含氧化矽的一薄膜,且例如由一熱氧化製程(thermal oxidation process)而形成墊氧化層52。墊氧化層52可作為基底50與上方的墊氮化層56之間的一黏著層。在一些實施例中,墊氮化層56係由氮化矽、氮氧化矽、氮碳化矽、類似物、或前述材料之組合所形成,並且可由例如低壓化學氣相沉積(low-pressure chemical vapor deposition,LPCVD)或電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)形成。
可採用光學微影技術來圖案化罩幕層。一般來說,光學微影技術使用光阻材料(未繪示),經過沉積、照射(曝光)及顯影而去除一部分的光阻材料,留下的光阻材料,例如此示例中的罩幕層,在後續的製程步驟例如蝕刻步驟中可以保護下方的材料。在此示例中,光阻材料係用以對墊氧化層52及墊氮化層56進行圖案化而形成一圖案化的罩幕58,如第3圖所示。
接著,以圖案化的罩幕58對基底50的露出部分進行圖案化而形成溝槽(trenches)61,藉以定義位於相鄰溝槽61之間的半導體鰭片64(例如,鰭片64A及鰭片64B),如第3圖所示。在一些實施例中,透過例如使用反應離子蝕刻(active ion etch,RIE)、中性束蝕刻(neutral beam etch,NBE)、類似方法、或前述方法之組合,在基底50內蝕刻出溝槽,而形成半導體鰭片64。上述蝕刻為非等向性。在一些實施例中,溝槽61為條狀(上視),且以彼此平行、緊密但相互隔開的方式排列。在一些實施例中,溝槽61可為連續的並環繞半導體鰭片64。半導體鰭片64於文後亦可稱為鰭片64。
可藉由任何適合的方法圖案化出鰭片64。舉例來說,可由一或多道光學微影製程,包括雙重圖案化(double-patterning)或多重圖案化製程(multi-patterning processes),對鰭片64進行圖案化。一般來說,雙重圖案化或多重圖案化製程結合光學微影及自對準製程(self-aligned processes),使待建立的圖案能夠具有小於採用單一或直接光學微影製程的間距(pitches)。舉例來說,在一實施例中,在一基底上形成一犧牲層,並利用光學微影製程進行圖案化。利用自對準製程於圖案化的犧牲層之側邊形成間隔物(spacers)。接著去除圖案化的犧牲層,而留下的間隔物或芯軸(mandrel)可接著用以對基底進行圖案化而形成鰭片。
第4圖繪示出於相鄰的半導體鰭片64之間形成一絕緣材料,以形成隔離區(isolation regions)62。絕緣材料可以是氧化物例如氧化矽、氮化物、類似物、或前述材料之組合,且可由高密度電漿化學氣相沉積(high density plasma CVD,HDP-CVD)、流動式化學氣相沉積(flowable CVD,FCVD)(例如,位於遠距電漿系統內的CVD類的材料沉積及後烘烤使其轉變成另一種材料,例如氧化物)、相似方法、或前述方法之組合而形成。也可採用其他絕緣材料及/或其他形成方法。在此示例性的實施例中,絕緣材料是由一流動式化學氣相沉積所形成的氧化矽。在形成絕緣材料後,可進行一退火製程(anneal process)。一平坦化製程,例如化學機械研磨(chemical mechanical polishing,CMP),可去除任何多餘的絕緣材料,以形成共平面的隔離區62的頂面及共平面的(未示出)半導體鰭片64的頂面。而圖案化的罩幕58(見第3圖)可以經由此平坦化製程而移除。
在一些實施例中,隔離區62包括一襯層,例如一襯裡氧化物(未示出),位於基底50/半導體鰭片64及隔離區62之間的界面處。在一些實施例中,此襯裡氧化物的形成是用以減少在基底50及隔離區62之間的界面處的結晶缺陷。類似的,此襯裡氧化物也可以用來減少在半導體鰭片64及隔離區62之間的界面處的結晶缺陷。此襯裡氧化物(例如氧化矽)可以是通過對基底50進行熱氧化所形成的一熱氧化物,當然其他合適的方法也可以用來形成襯裡氧化物。
接著,使隔離區62下凹而形成淺溝槽隔離(shallow trench isolation,STI)區62。隔離區62係下凹使得半導體鰭片64的上方部分自相鄰的淺溝槽隔離區62之間突出。淺溝槽隔離區62的頂面可以是一平坦表面(如圖所示)、一上凸(convex)表面、一下凹(concave)表面(例如,碟形凹陷)、或前述形狀之組合。淺溝槽隔離區62的頂面可透過適當的蝕刻而形成平坦、上凸及/或下凹的表面。利用可接受的蝕刻製程,例如對隔離區62的材料具有選擇性的蝕刻製程,可使隔離區62下凹。舉例來說,可採用乾蝕刻或使用稀釋氫氟酸(dilute hydrofluoric,dHF)的溼蝕刻,以使隔離區62下凹。
第2至4圖繪示出形成鰭片64的實施例,然而鰭片可通過各種不同的製程來製作。例如,基底50的頂面可用一合適材料置換,例如適合用於一待形成半導體裝置的期望類型(例如P型或N型)的一磊晶材料。之後,對於頂部具有磊晶材料的基底50進行圖案化,以形成包含磊晶材料的鰭片64。
在另一示例中,可於基底的頂面上形成一介電層;可蝕刻出穿過介電層的溝槽;可在溝槽內磊晶成長同質磊晶結構(homoepitaxial structures);以及可使介電層下凹,使得同質磊晶結構突出於介電層而形成鰭片。
在又一示例中,可於基底的頂面上形成一介電層;可蝕刻出穿過介電層的溝槽;可在溝槽內磊晶成長不同於基底之材料的異質磊晶結構(heteroepitaxial structures);以及可使介電層下凹,使得異質磊晶結構突出於介電層而形成鰭片。
在一些實施例中,係成長磊晶材料或磊晶結構(例如同質磊晶結構或異質磊晶結構),此成長的材料或結構可在成長過程中進行原位摻雜(in situ doped),此可排除先前或後續的佈植,然而原位摻雜與佈植摻雜亦可一同使用。更進一步來說,在NMOS區磊晶成長的材料不同於在PMOS區的材料是有利的。在各個不同實施例中,鰭片64可包括矽鍺(Six Ge1-x ,其中x在0與1之間)、碳化矽、純鍺或實質上純鍺、三五族化合物半導體(III-V compound semiconductor)、二六族化合物半導體(II-VI compound semiconductor)、或其類似物。舉例來說,可用於形成三五族化合物半導體的材料包括,但不限於,InAs、AlAs、GaAs、InP、GaN、InGaAs、InAlAs、GaSb、AlSb、AlP、GaP、或其類似物。
第5圖繪示出在半導體鰭片64上方形成的虛置閘極結構(dummy gate structure)75。在一些實施例中,虛置閘極結構75可包括閘極介電質(gate dielectric)66以及閘極電極68。可形成一罩幕70於虛置閘極結構75上方。為了形成虛置閘極結構75,形成一介電層於半導體鰭片64上方。此介電層可例如是氧化矽、氮化矽、前述之多層結構、或類似物,且可以是藉由沉積或熱成長方式而形成。
形成一閘極層於介電層上,且形成一罩幕層於閘極層上。閘極層可沉積於介電層上,接著可透過化學機械研磨(CMP)進行平坦化。此罩幕層可沉積於閘極層上。閘極層可由多晶矽構成,然而也可採用其他材料。此罩幕層亦可由例如氮化矽或其類似物所形成。
在形成這些層(例如,介電層、閘極層及罩幕層)之後,採用可接受的光學微影及蝕刻技術來對罩幕層進行圖案化,以形成罩幕70。接著,透過可接受的蝕刻技術將罩幕70的圖案轉移至閘極層以及介電層,以分別形成閘極電極68及閘極介電質66。閘極電極68及閘極介電質66覆蓋對應的半導體鰭片64的通道區(channel regions)。閘極電極68也可具有一縱長方向(lengthwise direction),其實質上垂直於對應的半導體鰭片64的縱長方向。
第5圖所示例的閘極介電質66是形成在鰭片64的上方(例如形成在鰭片64的頂面和側壁上)及淺溝槽隔離區62的上方。在其他實施例中,閘極介電質66可以是例如對鰭片64的材料進行熱氧化而形成,因此閘極介電質66也可能形成在鰭片64上但不在淺溝槽隔離區62之上。前述態樣和其他變化之態樣皆涵蓋於本揭露的範圍內。
第6、7A和8-21圖繪示出沿著剖面A-A(例如,沿著鰭片64的縱軸)的鰭式場效電晶體裝置100的進一步製程的剖面示意圖。第7B、7C圖是繪示在第7A圖之製程步驟的鰭式場效電晶體裝置100,但其沿著剖面C-C所繪示的剖面示意圖。在第6-8圖中,係示出三個虛置閘極結構75A、75B及75C於半導體鰭片64上方。為簡化說明,虛置閘極結構75A、75B及75C可統稱為虛置閘極結構75。本領域的技術人員當可理解,可以在半導體鰭片64上方形成多於3個或少於3個的閘極結構,而前述這些態樣及其他變化之態樣皆涵蓋於本揭露的範圍內。
如第6圖所示,輕摻雜汲極(lightly doped drain,LDD)區65形成於鰭片64內。輕摻雜汲極區65可透過電漿摻雜製程(plasma doping process)而形成。電漿摻雜製程可包含形成罩幕層例如光阻層以及對罩幕層進行圖案化,以覆蓋鰭式場效電晶體之欲保護而免於電漿摻雜製程的區域。電漿摻雜製程可植入N型摻質或P型摻質於鰭片64內而形成輕摻雜汲極區65。舉例來說,P型摻質,例如硼,可植入於鰭片64內,以形成用於P型裝置的輕摻雜汲極區65。於另一示例中,N型摻質,例如磷,可植入於鰭片64內,以形成用於N型裝置的輕摻雜汲極區65。在一些實施例中,輕摻雜汲極區65鄰接於鰭式場效電晶體裝置100的通道區。部分的輕摻雜汲極區65可延伸於閘極電極68的下方並進入鰭式場效電晶體裝置100的通道區。第6圖繪示出輕摻雜汲極區65的一種非限定示例。輕摻雜汲極區65也可能具有其他配置、形狀以及形成方法,且完全涵蓋於本揭露的範圍內。舉例來說,可以在形成閘極間隙壁(gate spacers)87/85之後才形成輕摻雜汲極區65。在一些實施例中,可省略輕摻雜汲極區65。
請再參照第6圖,在形成輕摻雜汲極區 65之後,形成第一閘極間隔物85於虛置閘極結構75的周圍(例如沿著和接觸虛置閘極結構75的側壁),以及形成第二閘極間隔物87於第一閘極間隔物85的周圍(例如沿著和接觸第一閘極間隔物85的側壁)。舉例而言,第一閘極間隔物85可以形成在虛置閘極結構75的相對兩側壁上。第二閘極間隔物87形成於第一閘極間隔物85上。第一閘極間隔物85可以是一低介電常數之間隔物(low-k spacer),且可由合適的介電材料,例如氧化矽、氧碳氮化矽、或類似物而形成。第二閘極間隔物87可由合適的介電材料,例如氮化矽、氮氧化矽、氮碳化矽、類似物、或前述材料之組合而形成。且可使用適合的沉積製程,例如熱氧化、化學氣相沉積(CVD)、或其他類似的沉積製程而形成第一閘極間隔物85及第二閘極間隔物87。在此示出之實施例中,可以用不同材料形成第一閘極間隔物85及第二閘極間隔物87,以在後續製程中提供蝕刻選擇性(etching selectivity)。文中的第一閘極間隔物85及第二閘極間隔物87也可統稱為閘極間隔物85/87。
如第6圖中所示的閘極間隔物(例如第一閘極間隔物85及第二閘極間隔物87)的形狀和形成方法僅是非限制性的示例,其他形狀和形成方法也可能可以應用。這些和其他變化示例皆完全包含在本揭露的範圍內。
接著,如第7A圖所示,在鰭片64中形成凹陷,且此些凹陷係相鄰於虛置閘極結構75,例如位於相鄰的虛置閘極結構75之間以及/或鄰近於虛置閘極結構75,並且在凹陷內形成源極/汲極區80。在一些實施例中,通過例如以虛置閘極結構75為一蝕刻遮罩而進行非等向性蝕刻製程,可以形成這些凹陷。當然,也可以使用任何其他合適的蝕刻製程以形成這些凹陷。
可以使用合適的方法,例如金屬有機化學氣相沉積(metal-organic CVD,MOCVD)、分子束磊晶(molecular beam epitaxy,MBE)、液相磊晶(liquid phase epitaxy,LPE)、氣相磊晶(vapor phase epitaxy,VPE)、選擇性磊晶成長(selective epitaxial growth,SEG)、類似方法或前述之組合,而形成源極/汲極區80。
如第7A圖所示,磊晶的源極/汲極區80可具有超過鰭片64之相關表面的表面(例如超過鰭片64之未下凹部分的表面),而且可具有刻面(facet)。在具有多個平行且鄰近設置的鰭片64的實施例中,相鄰鰭片64的源極/汲極區80可以合併而成為一個連續的源極/汲極區80(見第7B圖)。在一些實施例中,相鄰鰭片64的源極/汲極區80則不合併,而維持是分開的源極/汲極區80(見第7C圖)。在一些實施例中,所製得的鰭式場效電晶體是一n型鰭式場效電晶體,且源極/汲極區80包含碳化矽(SiC)、磷化矽(SiP)、磷摻雜碳化矽(SiCP)、或類似物。在一些實施例中,所製得的鰭式場效電晶體為p型鰭式場效電晶體,且源極/汲極區80包括矽鍺(SiGe),而p型摻質可為硼或銦。
磊晶的源極/汲極區80可植入摻雜物,並接著進行退火製程,以形成源極/汲極區80。此植入製程可包含形成罩幕例如光阻以及對罩幕進行圖案化,以覆蓋鰭式場效電晶體100之欲保護而免於植入製程的區域。源極/汲極區80可具有約1E19cm-3 至約1E21cm-3 範圍的一摻質(例如,摻雜物)濃度。P型摻質,例如硼或銦,可植入於P型電晶體的源極/汲極區80。N型摻質,例如磷或砷,可植入於N型電晶體的源極/汲極區80。在一些實施例中,磊晶的源極/汲極區可於磊晶成長過程期間進行原位摻雜(in situ doped)。
接著,如第8圖所示,在如第7A圖所示之結構上形成接觸蝕刻停止層(contact etch stop layer,CESL)83。此接觸蝕刻停止層83係作為後續蝕刻製程中的一蝕刻停止層,且接觸蝕刻停止層83可包含合適的材料,例如氧化矽、氮化矽、氮氧化矽、前述材料之組合、或類似物。並且可以使用合適的形成方法例如化學氣相沉積法、物理氣相沉積法、前述方法之組合、或類似方法而形成接觸蝕刻停止層83。
接著,在接觸蝕刻停止層83及虛置閘極結構75(例如虛置閘極結構75A、75B及75C)的上方形成一內層介電質(ILD)90。在一些實施例中,此內層介電質90可由介電材料例如氧化矽、磷矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)、硼磷矽酸鹽玻璃(BPSG)、未摻雜矽酸鹽玻璃(USG)、或類似物所製成,且可透過任何適合的方法,例如化學氣相沉積(CVD)、電漿輔助化學氣相沉積(PECVD)或流動式化學氣相沉積(FCVD)進行沉積。在形成內層介電質90後,可在內層介電質90上方形成另一介電層91。介電層91可作為一保護層,以避免或減少內層介電質90在後續蝕刻製程中的損失。介電層91可包含合適的材料,例如氮化矽、氮碳化矽、或類似物,並且可以使用合適的形成方法例如化學氣相沉積法(CVD)、(PECVD)或流動式化學氣相沉積(FCVD)進行沉積。在形成介電層91後,可進行一平坦化製程,例如化學機械研磨(CMP)製程,以使介電層91具有共平面的上表面。此化學機械研磨製程亦可去除罩幕70和位於閘極電極68上方之部分的接觸蝕刻停止層83。根據一些實施例,在平坦化製程之後,介電層91的上表面係與於閘極電極68的上表面共平面。
接著,在一實施例中,進行後閘極製程(也稱為取代閘極製程),以主動閘極(也稱為取代閘極或金屬閘極)及主動閘極介電材料取代虛置閘極結構75的閘極電極68及閘極介電質66。
接著,如第9圖所示,去除虛置閘極結構75A、75B及75C(第7A圖),以分別形成閘極溝槽89A、89B及89C。接著,通過去除第一閘極間隔物85的上方部分而擴展閘極溝槽89A、89B及89C的上方部分,使得閘極溝槽89A、89B及89C各具有一上溝槽(upper trench)89U及下溝槽(lower trench)89L,其中上溝槽89U比下溝槽89L寬。形成閘極溝槽89A、89B及89C的細節係討論如下。為簡化說明,閘極溝槽89A、89B及89C亦可統稱為閘極溝槽89。
在一些實施例中,為了去除虛置閘極結構75,係進行一或多個蝕刻步驟,以去除閘極電極68及位於閘極電極68正下方的閘極介電質66,而在相應的第一閘極間隔物85之間形成閘極溝槽(亦可稱為凹部)89。各個閘極溝槽89係暴露出相應的鰭片64的通道區。在去除虛置閘極結構的期間,當對閘極電極68進行蝕刻時,閘極介電質66可做為一蝕刻停止層。在去除閘極電極68之後,可接著去除閘極介電質66。
接著,進行一非等向性蝕刻製程,例如一乾式蝕刻製程,以去除第一閘極間隔物85的上方部分。在一些實施例中,係使用對第一閘極間隔物85的材料具有選擇性(例如,具有較高的蝕刻速率)的蝕刻劑,以進行此非等向性蝕刻製程,使得第一閘極間隔物85在沒有實質上損傷到第二閘極間隙壁87和介電層91的情況下向下凹陷(例如,去除上方部分)。在去除第一閘極間隔物85的上方部分之後,係暴露出第二閘極間隔物87的上方側壁87SU。
如第9圖所示,在去除第一閘極間隔物85的上方部分之後,各個閘極溝槽89具有一上溝槽89U及下溝槽89L。下溝槽89L位於留下的第一閘極間隔物85的下方部分之間。上溝槽89U位於下溝槽89L的上方,並且由第二閘極間隔物87的上方側壁(upper sidewalls)87SU所定義(例如以上方側壁87SU作為上溝槽89U的邊界)。第9圖示出了在上溝槽89U及下溝槽89L之間的界面(interface)86,且此界面86與留下的第一閘極間隔物85的下方部分的上表面85U共平面。各個閘極溝槽89具有一較寬的上溝槽89U及一較窄的下溝槽89L,其類似於字母“Y”,因此閘極溝槽89也可視為Y形閘極溝槽。
在一些實施例中,上溝槽89U具有一寬度W1(例如,是指在相應的相對兩上方側壁87SU之間的距離)在約20nm及約30nm之間,以及具有一深度H1(例如,是指在第二閘極間隔物87的上表面與界面86之間的距離)在約40nm及約80nm之間。下溝槽89L具有一寬度W2(例如,在相應的第一閘極間隔物85所留下的下方部分的相對側壁之間的距離)在約10nm及約20nm之間,以及具有一深度H2(例如,在閘極溝槽89的底部與界面86之間的距離)在約20nm及約40nm之間。如將在後續製程中描述的,在下溝槽89L中形成金屬閘極97(例如參照第21圖)。舉例來說,一閘極電極材料(例如,第21圖中的101),例如鎢,可用於填充下溝槽89L以形成金屬閘極的閘極電極。因此,下溝槽89L的尺寸決定了金屬閘極的尺寸和閘極電極的尺寸。
接著,如第10圖所示,於閘極溝槽89中形成一閘極介電層92、一功函數層(work function layer)94、一選擇性的覆蓋層96及一膠黏層98係依序的形成於閘極溝槽89中。閘極介電層92係順應性的沉積在閘極溝槽89中,例如位於鰭片64的頂面和側壁上、位於閘極間隙壁85/87的頂面和側壁上、以及位於介電層91的頂面上。根據一些實施例,閘極介電層92包括氧化矽、氮化矽或其多層結構。在示範的實施例中,閘極介電層92包括高介電常數(high-k)之介電材料,且在這些實施例中,閘極介電層92的介電常數k值可大於約7.0,且可包括金屬氧化物或Hf、Al、Zr、La、Mg、Ba、Ti、Pb的矽酸鹽及其組合。閘極介電層92的形成方法包括分子束沉積(MBD)、原子層沉積(ALD)、電漿輔助化學氣相沉積(PECVD)、及類似方法。在一示例中,閘極介電層92的厚度可在約8埃(angstroms)至約20埃的範圍之間。在一些實施例中,在形成閘極介電層92之前,於閘極溝槽89中先形成一界面層(interfacial layer,IL)。
接著,功函數層94係形成(例如順應性地形成)於閘極介電層92上。在一些實施例中,功函數層94可以是一P型功函數層、一N型功函數層、多層的前述型態之功函數層、或前述之組合。如第10圖所示之例子,功函數層94係為一N型功函數層。在文中所討論的,功函數層也可稱為功函數金屬。可包含於閘極結構內的例示性的P型功函數金屬可包括TiN、TaN、Ru、Mo、Al、WN、ZrSi2 、MoSi2 、TaSi2 、NiSi2 、WN、其他適合的P型功函數材料、或前述材料之組合。可包含於閘極結構內的例示性的N型功函數金屬包括Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他適合的N型功函數材料、或前述材料之組合。功函數數值與功函數層的材料組成有關,因此選擇功函數層的材料以調整功函數數值,而在欲形成的裝置中得到一目標臨界電壓Vt(target threshold voltage)。功函數層可透過化學氣相沉積製程(CVD)、物理氣相沉積製程(PVD)、原子層沉積(ALD)、及/或其他適合的製程而形成。在一些示例中,P型功函數層的厚度可在約8埃(angstroms)至約15埃的範圍之間,而N型功函數層的厚度可在約15埃(angstroms)至約30埃的範圍之間。
接著,選擇性的形成(例如順應性的形成) 覆蓋層96於功函數層94的上方。若選擇性的形成了覆蓋層96,則可保護下方的功函數層94以避免功函數層被氧化。在一些實施例中,覆蓋層96係為一含矽層,例如一矽層、一氧化矽層、或一氮化矽層,且可通過合適的方法例如原子層沉積(ALD)、分子束沉積(MBD)、化學氣相沉積(CVD)、或類似方法而形成覆蓋層96。覆蓋層96的厚度可以在約8埃(angstroms)至約15埃的範圍之間。在一些實施例中,可省略覆蓋層96。
接著,形成(例如順應性的形成)膠黏層98於覆蓋層96的上方,或者若省略覆蓋層96則膠黏層98形成於功函數層94的上方。膠黏層98可作為下方材料層(例如覆蓋層96)與隨後在膠黏層98上形成的閘極電極材料的一黏著層。可以選用合適的材料及使用合適的沉積方法,例如化學氣相沉積製程(CVD)、物理氣相沉積製程(PVD)、原子層沉積(ALD)或類似方法,以形成膠黏層98。根據下溝槽89L的寬度W2和先前於閘極溝槽中形成的材料層(例如,閘極介電層92、功函數層94、覆蓋層96)的厚度的情況而定,膠黏層98可以填充下溝槽89L的留下部分,如第10圖所示。
第11-20圖示出了形成鰭式場效電晶體裝置100的金屬閘極的其他製程步驟。為簡化圖式和說明,第11-20圖僅各自示出了鰭式場效電晶體裝置100的一部分。特別是,第11-20圖各自示出了第10圖的區域88的放大圖。例如,第11圖示出了在形成膠黏層98之後的第10圖的區域88。
接著參照第12圖,通過一膠層回拉製程(glue layer pull-back process)以自閘極溝槽89的上溝槽89U去除膠黏層98。在一些實施例中,進行濕式蝕刻製程以作為此膠層回拉製程,以從上溝槽89U選擇性的去除膠黏層98,而不會實質性的侵蝕(例如,損壞、去除)下方的材料層(例如,覆蓋層96)。在一些實施例中,使用包括酸和氧化劑的化學物質來進行濕式蝕刻製程。例如,所使用的化學物質可以是鹽酸(HCl)和過氧化氫(H2 O2 )的混合物,其中HCl係作為酸,H2 O2 係作為氧化劑。在一些實施例中,對於濕式蝕刻製程,HCl與H2 O2 之間的混合比(例如,體積比)在大約1:1至1:20之間。此濕式蝕刻製程可以在約40℃至約70℃之間的溫度下進行約1分鐘至約5分鐘的時間。如第12圖所示,在膠層回拉製程之後,覆蓋層96係暴露在上溝槽89U中,且膠黏層98的留下部分仍然填充下溝槽89L。
接著,在第13圖中,通過一覆蓋層穿透製程而從上溝槽89U去除覆蓋層96。在一些實施例中,進行一濕式蝕刻製程以作為前述之覆蓋層穿透製程,而從上溝槽89U去除覆蓋層96。在一些實施例中,係使用含氟化物的化學物質進行從上溝槽89U去除覆蓋層96的濕式蝕刻製程。例如,含氟化物的化學物質可以是包含氫氟酸(HF)和水(例如H2 O或去離子水(DIW)的混合物。在一些實施例中,對於濕式蝕刻製程,HF與H2 O之間的混合比(例如,體積比)係在約1:100與1:2000之間。濕式蝕刻製程可以在約20℃至約40℃的溫度下進行約3分鐘至約6分鐘的時間。如第13圖所示,在進行覆蓋層穿透製程之後,功函數層94係暴露在上溝槽89U中。在一些實施例中,含氟化物的化學物質的蝕刻選擇性(etching selectivity)可能不高,因此,濕式蝕刻製程(覆蓋層穿透製程)係以時間模式進行。換句話說,對濕式蝕刻製程進行定時(例如,進行預定的時間段),以便完全去除上部溝槽中的覆蓋層96,而基本上不損傷功函數層94及/或閘極電介質。如第13圖所示,覆蓋層穿透製程還使下溝槽中部分的材料層94/96/98下凹,使得下溝槽中的材料層94/96/98具有彎曲的(例如,凹形的)上表面,且此上表面係在上溝槽89U和下溝槽89L之間的界面86的下方延伸。
接著,在第14圖中,功函數層94係自上溝槽89U處去除。在一些實施例中,進行一濕式蝕刻製程以選擇性的自上溝槽89U去除功函數層94,而實質上不損傷下方的閘極介電層92。在一些實施例中,係使用包括鹼和氧化劑的化學物質來進行濕式蝕刻製程。舉例來說,所使用的化學物質可以是氫氧化氨(NH4 OH)和過氧化氫(H2 O2 )的混合物,其中氫氧化氨具有鹼的作用,H2 O2 具有氧化劑的作用。在一些實施例中,對於濕式蝕刻製程,NH4 OH與H2 O2 之間的混合比(例如,體積比)在大約1:1至1:2001之間。此濕式蝕刻製程可以在約40℃至約70℃之間的溫度下進行約1分鐘至約5分鐘的時間。如第14圖所示,在濕式蝕刻製程之後,閘極介電層92係暴露在上溝槽89U中。第14圖還示出高度H3,此高度H3是從位於下方溝槽中的材料層94/96/98的彎曲上表面的一最低位置(例如,最接近基底50的位置)到在上溝槽89U及下溝槽89L之間的界面86所量測得到的高度。一些實施例中,高度H3的厚度可在約3 nm至約12 nm的範圍之間。
接著,在第15圖中,再次形成(例如順應性的形成)膠黏層98於閘極溝槽89中,且形成一填充金屬101(亦可稱為閘極金屬、或閘極電極材料)以填充閘極溝槽89的留下部分。如第15圖所示,膠黏層98(例如,TiN)係順應性的沿著閘極介電層92而形成,且沿著下溝槽89中的功函數層94/覆蓋層96/膠黏層98之彎曲的上表面而形成。此新形成的膠黏層98可以與下溝槽89L中的膠黏層98的留下部分合併。在形成膠黏層98之後,係形成填充金屬101以填充閘極溝槽89。此填充金屬101可以是例如鎢(W)的合適金屬,且可通過例如物理氣相沉積製程(PVD)、化學氣相沉積製程(CVD)、電鍍、化學鍍、或類似方法而形成。除了鎢,其他合適的材料例如銅、金、鈷、前述材料之組合,多層的前述材料、前述材料之合金、或類似材料,亦可以作為填充金屬101。
接著,在第16圖中,係以一濕式蝕刻製程回蝕填充金屬101(例如,使填充金屬101下凹),其為選擇性的去除填充金屬101而實質上不會損傷到下方的膠黏層98。在一些實施例中,係使用包括酸和氧化劑的化學物質來進行濕式蝕刻製程,以回蝕填充金屬101。例如,所使用的化學物質可以是鹽酸(HCl)和過氧化氫(H2 O2 )的混合物,其中HCl係作為酸,H2 O2 係作為氧化劑。在一些實施例中,對於濕式蝕刻製程,HCl與H2 O2 之間的混合比(例如,體積比)在大約1:1至1:20之間。此濕式蝕刻製程可以在約30℃至約70℃之間的溫度下持續進行約5分鐘至約10分鐘的時間。在一些實施例中,HCl與H2 O2 之間的混合比例係調整至可實現填充金屬101及膠黏層98之間的一目標蝕刻選擇性(target etching selectivity)。例如,通過調整HCl與H2 O2 之間的混合比例,填充金屬101(例如,鎢)及膠黏層98(例如,TiN)之間的蝕刻選擇性(例如,蝕刻速率的比例)可在約20至約60的範圍之間。如第16圖所示,在濕式蝕刻製程之後,填充金屬101的留下部分係填充下溝槽89L,且填充金屬101的留下部分的上表面係稍微高於第一閘極間隔物85的上表面。
接著,在第17圖中,進行第二膠層回拉製程,以自上溝槽89U去除膠黏層98。在一些實施例中,進行濕式蝕刻製程以作為此第二膠層回拉製程,以從上溝槽89U選擇性的去除膠黏層98,而不會實質性的損傷填充金屬101。在一些實施例中,係使用一化學物質(例如,蝕刻劑)進行此濕式蝕刻製程,前述化學物質包括含氟化物的化學物質、抑制劑和氧化劑。例如,含氟化物的化學物質可以是氟化銨(NH4F)、四正丁基氟化銨(tetra-n-butylammonium fluoride,TBAF)、或四甲基氟化銨(tetramethylammonium fluoride,TMAF)。而抑制劑可以是苯並咪唑(benzimidazole,C7 H6 N2 )、甲基苯並三氮唑(tolyltriazole,TTA)或5-氯苯並三氮唑(5-Chloro-1H-benzotriazole)。抑制劑可以保護填充金屬101不被濕式蝕刻製程蝕刻。氧化劑可以是過氧化氫(H2 O2 )、硝酸(HNO3 )、或兩者的混合物。在一些實施例中,含氟化物的化學物質、抑制劑和氧化劑之間的混合比(例如,體積比)係調整至可實現填充金屬101及膠黏層98之間的一目標蝕刻選擇性(target etching selectivity)。例如,蝕刻選擇性係調整至約20至約60的範圍之間,以去除膠黏層98而實質上不會損傷到填充金屬101。在一些實施例中,此濕式蝕刻製程可以在約30℃至約60℃之間的溫度下持續進行約1分鐘至約5分鐘的時間。如第17圖所示,在濕式蝕刻製程之後,閘極介電層92係暴露於上溝槽89U中,且填充金屬101的留下部分的上表面係與第一閘極間隔物85的上表面共平面、或是與上溝槽89U及下溝槽89L之間的界面86共平面。
接著,在第18圖中,係去除位於上溝槽89U中的部分的閘極介電層92,例如去除沿著第二閘極間隔物87的內側壁設置的閘極介電層92的部分。在一些實施例中,係進行一乾式蝕刻製程以去除部分的閘極介電層92。填充金屬101的留下部分係形成一閘極電極101。如第18圖所示,在下溝槽89L中的多個材料層的留下部分,例如閘極介電層92、功函數層94、覆蓋層96、膠黏層98及填充金屬101的留下部分,係形成一金屬閘極97。
在第18圖中,金屬閘極97的上表面係與第一閘極間隔物85的上表面實質上共平面。第18圖中的閘極介電層92、功函數層94及覆蓋層96皆具有U形剖面。膠黏層98則垂直設置於閘極電極101及覆蓋層96之間,且膠黏層98的一下方部分係延伸至並填充被U形的覆蓋層96所圍繞的一中心區域內。再者,此膠黏層98係水平設置於U形的功函數層94的兩個相對內側壁之間。
接著,在第19圖中,透過適合的形成方法,例如物理氣相沉積製程(PVD)、化學氣相沉積製程(CVD)、或類似方法,而在閘極溝槽89中形成半導體材料111,例如矽。接著,在半導體材料111中形成一閘極接觸件(gate contact)102電性耦接至閘極電極101。為了形成閘極接觸件102(亦可稱為接觸插塞(contact plugs)), 係在半導體材料111中使用例如光學微影及蝕刻而形成一接觸開口(contact opening)並暴露出閘極電極101。一旦形成接觸開口,一阻障層104、一晶種層109及一填充金屬110係依序的形成於接觸開口中,而形成閘極接觸件102。
在一些實施例中,阻障層104可包括一導電材料,例如氮化鈦,然而也可採用其他材料,例如氮化鉭、鈦、鉭或其類似物。阻障層104可利用化學氣相沉積製程(CVD)例如電漿輔助化學氣相沉積(PECVD)而形成。然而,也可採用其他製程,例如濺鍍、金屬有機化學氣相沉積製程(MOCVD)或原子層沉積(ALD)。
接著,於阻障層104上形成晶種層109。晶種層109可包括銅(Cu)、鈦(titanium)、鉭(tantalum)、氮化鈦(titanium nitride)、氮化鉭(tantalum nitride)、類似物、或前述之組合,且可由原子層沉積(ALD)、濺鍍、物理氣相沉積(PVD)或類似方法沉積而成。在一些實施例中,晶種層109為金屬層,其為單層、或是包括不同材料之子層的一複合層。在一些實施例中,晶種層109可包括一鈦層及位於鈦層上的一銅層。
接著,沉積一填充金屬110於晶種層109上,並填滿接觸開口的留下部分。填充金屬110可由含金屬材料(metal-containing material)構成,例如Cu、Al、W、其類似物、前述之組合或前述之多層結構,且可由電鍍、無電式電鍍、或其他適合的方法而形成。在形成填充金屬110之後,進行一平坦化製程,例如化學機械研磨(CMP),以去除在介電層91之上表面上方(參照第21圖)以及在第二閘極間隔物87上方的阻障層104、晶種層109及填充金屬110的多餘部分。 而阻障層 104、一晶種層109及一填充金屬110的留下部分則因此形成閘極接觸件102。
接著,在第20圖中,係以一介電材料113取代半導體材料111。可以使用蝕刻劑及通過一蝕刻製程而去除半導體材料111,此蝕刻劑係對於半導體材料111具有選擇性。在去除半導體材料111之後,係形成介電材料113(例如,氧化矽、氮化矽、低介電常數之介電材料、或類似物)以填充先前被半導體材料111占據的空間。
第21圖繪示以介電材料113取代半導體材料111取代之後的鰭式場效電晶體裝置100的剖面示意圖。如第21圖所示,金屬閘極 97A、97B及97C,其分別取代虛置閘極結構75A、75B及75C,係形成於鰭片64上方。本領域技術人員可理解的是,可以進行額外的製程以完成鰭式場效電晶體裝置100的製造,例如形成源極/汲極接觸件(source/drain contacts)以及在介電層91上方形成金屬層。為簡化說明,這些細節不在本文中進行討論。
隨著半導體製造製程的不斷發展,相鄰的金屬閘極97之間的距離(例如,間距)越來越近。對於例如5nm或更進一步的製程節點,金屬閘極97之間的小間距可能導致金屬閘極漏電流,這降低了所形成的裝置的可靠性(reliability)。與在第二閘極間隔物87之間形成金屬閘極97(例如,完全去除第一閘極間隔物85且金屬閘極97填充第二閘極間隔物87之間的空間)的參考設計相比,目前的揭露內容係通過形成在凹陷的第一閘極間隔物85之間的金屬閘極97而增加了金屬閘極97之間的間距,從而減少了金屬閘極漏電流,並提升了裝置的可靠性。相鄰的金屬閘極97之間的間距增加也可以增加相鄰閘極接觸件102之間的間距,此與閘極接觸件102被第二閘極間隔物87圍繞的事實結合,可避免或減少了相鄰閘極接觸件102之間的電性短路的可能性。
在第21圖的示例中,所有的金屬閘極97具有相同的結構(例如,金屬閘極具有相同的膜層結構)。在其他實施例中,金屬閘極97可以具有不同的結構。舉例來說,每個金屬閘極97可以具有不同的功函數層,以實現不同的臨界電壓,及/或在鰭式場效電晶體裝置100的不同區域(例如,N型裝置區域或P型裝置區域)中形成金屬閘極。第22圖中示出了一個例子。
第22圖繪示一實施例中,一鰭式場效電晶體裝置100A的部分的剖面示意圖。此鰭式場效電晶體裝置100A類似於第21圖所示之鰭式場效電晶體裝置100,但各個金屬閘極具有不同的功函數層。為簡化圖式,第22圖僅示出鰭式場效電晶體裝置100A中與金屬閘極97A、97B及97C相鄰的部分,例如鰭式場效電晶體裝置100A的區域88中的部分(參照第10圖)。金屬閘極97A、97B及97C係以分隔件(dividers)121分隔開來,其中,分隔件121表示金屬閘極97A、97B和97C之間的附加部件(例如,參見第21圖),為簡單起見而省略了這些附加部件。
在第22圖中,金屬閘極97A與第21圖中的金屬閘極97A相同,且具有一N型功函數層94。金屬閘極97B具有兩層功函數層。特別是金屬閘極97B具有一P型功函數層94A接觸(例如,物理性的接觸)和沿著閘極介電層92延伸,及一N型功函數層94(例如,物理性的接觸)和沿著P型功函數層94A延伸。值得注意的是,金屬閘極97A的覆蓋層96具有一U形剖面,金屬閘極97B的覆蓋層96具有一長方形剖面,此可能是由於金屬閘極97B的雙重功函數層結構而留給覆蓋層96較少的空間所致。因此,當金屬閘極97A的膠黏層98的下方部分突出進到被U形的覆蓋層96所圍繞的一中心區域,金屬閘極97B的膠黏層98係設置在長方形的覆蓋層96的上方且不具有如金屬閘極97A的膠黏層98的下方部分。金屬閘極97C係與金屬閘極97B相似,但具有不同的一P型功函數層94B。
此揭露提供了用於形成具有不同膜層設計(例如,不同的功函數層)的金屬閘極97A、97B及97C的鰭式場效電晶體裝置的許多優點。此處的膜層設計是指金屬閘極97的堆疊層(例如92、94/94A/94B、96和98)的材料和結構。為了理解這些優點,參照如下一種方法,其通過乾式蝕刻製程,例如非等向性電漿蝕刻製程,而從上溝槽89U去除膠黏層98、覆蓋層96及功函數層94/94A/94B(參照第12-14圖中的製程)。由於在閘極溝槽89A、89B及89C中的金屬閘極採用不同的膜層設計(例如,不同的功函數層),閘極溝槽89A、89B及89C中的材料層的不同組合的蝕刻速率不同的,這導致在去除閘極溝槽中的材料層時產生負載效應(例如,產生不一致性)。換句話說,對閘極溝槽89A、89B及89C中的材料層的去除量不同。這可能導致隨後形成的金屬閘極97A、97B及97C的閘極高度不一致(non-uniform)。另外,乾式蝕刻製程可能損傷閘極介電層92、介電層91及/或內層介電質90,並且可能改變所形成的部件的臨界尺寸(critical dimension,CD)(例如,金屬閘極的臨界尺寸)。
相反的,本文揭露的方法使用濕式蝕刻製程從上溝槽89U去除膠黏層98、覆蓋層96及功函數層94/94A/94B。濕式蝕刻製程的終點可以經由例如使用對欲去除的材料層具有選擇性的一蝕刻劑而可精準的控制。藉由此濕式蝕刻製程可降低或避免負載效應。因此,隨後形成的金屬閘極97A、97B及97C具有良好控制的、一致的閘極高度。再者,此濕式蝕刻製程也可以避免損傷閘極介電層92,減少介電層91及/或內層介電質90的損失,以及保留有金屬閘極的臨界尺寸。
第23圖繪示一實施例中,一鰭式場效電晶體裝置100B的部分的剖面示意圖。此鰭式場效電晶體裝置100B類似於第22圖所示之鰭式場效電晶體裝置100B,但不具有覆蓋層96。在第23圖中,閘極介電層92及功函數層(例如,功函數層94、94A及94B)皆具有U形剖面。因此,金屬閘極97A的膠黏層98係設置在被U形的功函數層94(例如,一N型功函數層)所圍繞的中心區域。金屬閘極97B的膠黏層98具有一上方部分係設置在U形的功函數層94(例如,一N型功函數層)的上方,以及具有一下方部分突出進到被U形的功函數層94所圍繞的中心區域。再者,金屬閘極97B的膠黏層98的上方部分係設置在被P型功函數層94A所圍繞的中心區域內,且閘極電極101被膠黏層98所圍繞。金屬閘極97C的結構係類似於金屬閘極97B的結構,因此其細節不再重覆敘述。
可以對所公開的實施例做改變或潤飾,這些和其他變化示例皆完全包含在本揭露的範圍內。例如,除了形成鰭式場效電晶體裝置的金屬閘極之外,所公開的實施例還可以用於需要精確控制閘極高度的其他的金屬閘極曝光製程(metal gate exposure processes)。另外,可以改變修飾用於從上溝槽去除各個材料層的濕式蝕刻製程的條件及/或參數,例如蝕刻溫度、持續時間及/或蝕刻劑的化學組成,以微調蝕刻速率,而達到目標閘極高度。在另一示例中,所公開的實施例可以用於控制金屬島狀物或金屬閘極的高度。
此揭露提供的實施例可以實現許多優點。例如,所揭露的實施例增加了相鄰金屬閘極之間的間距,從而減少了金屬閘極漏電流並增加了所形成的裝置的可靠性(reliability)。閘極間距的增加還減少了相鄰閘極接觸件(gate contacts)之間發生電性短路(electrical short)的可能性。使用濕法刻蝕製程從上溝槽89U去除各個材料層(例如功函數層94、覆蓋層96、膠黏層98)係降低了負載效應,並有助於精確地控制所形成的金屬閘極的閘極高度。另外,此揭露提供的實施例還可防止或減少對閘極介電層92的損壞和內層介電質90/介電層91的損失。此外,實施例還可保留有所形成的特徵部件的臨界尺寸(critical dimension,CD)(例如,金屬閘極的臨界尺寸)。
第24圖繪示根據本揭露一些實施例之一半導體裝置的製造方法的流程圖。當可理解的是,如第24圖所示之實施例僅為眾多可能實施方法的其中一個示例。所屬技術領域中具有通常知識者能夠辨識出許多的變化差異、替換選擇及改變潤飾。舉例來說,可在第24圖所示的各個步驟中可加入步驟、刪除步驟、取代步驟、重新安排步驟或是重複步驟。
請參照第24圖,方法1000的步驟1010中,係以一介電材料圍繞設置於一鰭片上方的一虛置閘極。步驟1020中,通過去除虛置閘極以及去除沿著虛置閘極的側壁設置的第一閘極間隔物的上方部分,而在介電材料中形成閘極溝槽(gate trench),其中閘極溝槽包含位在留下的第一閘極間隔物的下方部分之間的一下溝槽(lower trench),以及包含在下溝槽上方的一上溝槽(upper trench)。步驟1030中,依序在閘極溝槽中形成一閘極介電層、一功函數層及一膠黏層。步驟1040中,自上溝槽去除膠黏層及功函數層。步驟1050中,在去除步驟後,以一閘極電極材料填充閘極溝槽。步驟1060中,自上溝槽去除閘極電極材料,閘極電極材料的留下部分係形成一閘極電極。
在一實施例中,一種半導體裝置的形成方法包括:以一介電材料圍繞設置於一鰭片上方的一虛置閘極(dummy gate);通過去除前述虛置閘極以及去除沿著前述虛置閘極的側壁設置的一第一閘極間隔物(first gate spacer)的上方部分(upper portions),而在前述介電材料中形成一閘極溝槽(gate trench),其中前述閘極溝槽包含位於留下的第一閘極間隔物的下方部分(remaining lower portions)之間的一下溝槽(lower trench),以及包含在前述下溝槽上方的一上溝槽(upper trench);依序在前述閘極溝槽中形成一閘極介電層(gate dielectric layer)、一功函數層(work function layer)及一膠黏層(glue layer);自前述上溝槽去除前述膠黏層及前述功函數層;在前述去除步驟後,以一閘極電極材料填充閘極溝槽;以及自前述上溝槽去除前述閘極電極材料,閘極電極材料的留下部分係形成一閘極電極(gate electrode)。在一實施例中,於前述半導體裝置的形成方法中,在去除膠黏層及功函數層之後,前述膠黏層及前述功函數層的留下部分係具有一彎曲的上表面(curved upper surface)在低於上溝槽及下溝槽之間的一界面(interface)的下方延伸。在一實施例中,於前述半導體裝置的形成方法中,形成前述閘極溝槽包括進行一個或多個蝕刻步驟,以去除前述虛置閘極;以及在去除前述虛置閘極之後,進行另一個蝕刻步驟,以去除前述第一閘極間隔物的此些上方部分。在一實施例中,於前述半導體裝置的形成方法中,一第二閘極間隔物(second gate spacer)係圍繞前述第一閘極間隔物,其中在去除前述第一閘極間隔物的此些上方部分之後,前述第二閘極間隔物之側壁係位於前述第一閘極間隔物之留下的此些下方部分的上方,且前述第二閘極間隔物之此些側壁係定義出前述上溝槽。在一實施例中,上溝槽比下溝槽更寬。在一實施例中,於前述半導體裝置的形成方法中,自前述上溝槽去除前述膠黏層及前述功函數層係包括:進行一第一蝕刻製程,以自上溝槽選擇性的去除膠黏層;以及在前述第一蝕刻製程之後,進行一第二蝕刻製程,以自上溝槽選擇性的去除前述功函數層。在一實施例中,半導體裝置的形成方法更包括:在前述功函數層和前述膠黏層之間形成一含矽之覆蓋層(silicon-containing capping layer);以及在以前述閘極電極材料填充前述閘極溝槽之前,係自上溝槽去除前述含矽之覆蓋層。在一實施例中,去除前述含矽之覆蓋層包括:在前述第一蝕刻製程之後及第二蝕刻製程之前,進行一第三蝕刻製程,前述第三蝕刻製程係去除部分的含矽之覆蓋層、部分的膠黏層及部分的功函數層。在一實施例中,自上溝槽去除膠黏層及功函數層係暴露出設置於上溝槽中的閘極介電層的上方部分,其中前述形成方法更包括:在自上溝槽去除膠黏層及功函數層之後以及填充閘極溝槽之前,第二次於前述閘極溝槽中形成膠黏層,且此膠黏層位於閘極介電層暴露出的此些上方部分之上。在一實施例中,於前述半導體裝置的形成方法中,自上溝槽去除閘極電極材料係包括:進行一第一濕式蝕刻製程,以選擇性的去除前述閘極電極材料,其中自上溝槽去除閘極電極材料係暴露出設置在上溝槽中的膠黏層的上方部分。在一實施例中,半導體裝置的形成方法更包括:自上溝槽去除前述閘極電極材料之後,進行一第二濕式蝕刻製程,以選擇性的去除設置在上溝槽中的膠黏層的此些上方部分;以及在第二濕式蝕刻製程之後,進行一乾式蝕刻製程,以去除設置在上溝槽中的前述閘極介電層的此些上方部分。
在一實施例中,一種半導體裝置的形成方法,包括:通過去除一虛置閘極(dummy gate)及去除圍繞前述虛置閘極的一第一閘極間隔物(first gate spacer)的一上方部分,而在一介電材料中形成一閘極溝槽(gate trench),其中前述閘極溝槽包含位於留下的前述第一閘極間隔物的下方部分(remaining lower portions)之間的一下溝槽(lower trench),以及包含在下溝槽上方的一上溝槽(upper trench);在前述閘極溝槽中依序的形成一閘極介電層(gate dielectric layer)、一功函數層(work function layer)、一覆蓋層(capping layer)及一第一膠黏層(first glue layer),以襯裡式的位於前述閘極溝槽的側壁和底部;自前述上溝槽去除第一膠黏層、覆蓋層及功函數層;在去除前述第一膠黏層、前述覆蓋層及前述功函數層之後,於前述閘極溝槽中形成一第二膠黏層(second glue layer);在形成前述第二膠黏層之後,以一閘極金屬填充前述閘極溝槽;自上溝槽去除前述閘極金屬,而在下溝槽中的閘極金屬的一留下部分係形成一閘極電極(gate electrode);以及在去除前述閘極金屬之後,自上溝槽去除第二膠黏層及閘極介電層。在一實施例中,前述上溝槽的一第一寬度(first width)係大於前述下溝槽的一第二寬度(second width)。在一實施例中,於前述形成方法中,自上溝槽去除前述第一膠黏層、前述覆蓋層及前述功函數層係包括:使用包含一酸(acid)和一第一氧化劑(first oxidizer)的一第一混合物進行一第一濕式蝕刻製程,以選擇性的去除前述第一膠黏層;在第一濕式蝕刻製程之後,使用進行一含氟化物的化學物質進行一第二濕式蝕刻製程,以去除前述覆蓋層;以及在第二濕式蝕刻製程之後,使用包含一鹼(base)和一第二氧化劑(second oxidizer)的一第二混合物進行一第三濕式蝕刻製程,以選擇性的去除前述功函數層。在一實施例中,於前述形成方法中,自上溝槽去除前述第二膠黏層及前述閘極介電層係包括:使用包含一含氟化物的化學物質、一金屬抑制劑(metal inhibitor)及一氧化劑(oxidizer)的一混合物進行一濕式蝕刻製程, 以選擇性的去除前述第二膠黏層;以及在此濕式蝕刻製程之後,進行乾式蝕刻製程,以去除前述閘極介電層。
在一實施例中,一種半導體裝置包括:一鰭片突出於一基底的上方;一第一金屬閘極位於該鰭片的上方;一第一閘極間隔物(first gate spacer)沿著該第一金屬閘極的側壁延伸;一第二閘極間隔物(second gate spacer)沿著該第一閘極間隔物的側壁延伸,且相較於遠離該基底的該第一閘極間隔的一第一上表面,遠離該基底的該第二閘極間隔物的一第二上表面係延伸的更遠離該基底;一介電材料係被該第二閘極間隔物所圍繞,且該介電材料自該第一閘極間隔的該第一上表面延伸至該第二閘極間隔物的該第二上表面;以及一第一閘極接觸件(first gate contact)延伸穿過該介電材料且電性耦接至該第一金屬閘極。在一實施例中,該第一閘極間隔的該第一上表面係與該第一金屬閘極的一上表面實質上共平面。在一實施例中,該第一金屬閘極包括一閘極介電層(gate dielectric layer),其中該第一閘極間隔的側壁係接觸該第一金屬閘極的該閘極介電層,且該第二閘極間隔的側壁係接觸該第一閘極間隔的該些側壁。在一實施例中,該第一金屬閘極更包括一功函數層於該閘極介電層的上方、一含矽之覆蓋層(silicon-containing capping layer)於該功函數層的上方、一膠黏層於該含矽之覆蓋層的上方以及一閘極電極於該膠黏層的上方。在一實施例中,前述含矽之覆蓋層具有一U形剖面(U-shaped cross-section)。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
30:鰭式場效電晶體 50:基底 52:墊氧化層 56:墊氮化層 58:圖案化的罩幕 61:溝槽 62:(淺溝槽)隔離區 64,64A,64B:(半導體)鰭片 65:輕摻雜汲極區 66:閘極介電質 68:閘極電極 70:罩幕 75,75A,75B,75C:虛置閘極結構 80:源極/汲極區 83:接觸蝕刻停止層 85:第一閘極間隙壁 85U:第一閘極間隔物的下方部分的上表面 86:界面 87:第二閘極間隔物 87SU:第二閘極間隔物的上方側壁 88:區域 89,89A,89B,89C:閘極溝槽 89U:上溝槽 89L:下溝槽 90:內層介電質 91:介電層 92:閘極介電層 94:(N型)功函數層 94A,94B:P型功函數層 96:覆蓋層 98:膠黏層 97,97A,97B,97C:金屬閘極 101,110:(閘極電極)填充金屬 102:閘極接觸件 104:阻障層 109:晶種層 111:半導體材料 113:介電材料 121:分隔件 W1,W2:寬度 H1,H2,H3:深度 100,100A,100B:鰭式場效電晶體裝置 A-A,B-B,C-C:參考剖面 1000:方法 1010,1020,1030,1040,1050,1060:步驟
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。 第1圖是根據本發明一些實施例的鰭式場效電晶體(FinFET)的立體圖。 第2、3、4、5、6、7A、7B、7C、8、9、10、11、12、13、14、15、16、17、18、19、20和21圖是根據本發明一實施例的製造鰭式場效電晶體裝置的多個中間階段的剖面示意圖。 第22圖繪示一實施例中,另一鰭式場效電晶體裝置的部分的剖面示意圖。 第23圖繪示一實施例中,再一鰭式場效電晶體裝置的部分的剖面示意圖。 第24圖繪示根據本揭露一些實施例之一半導體裝置的製造方法的流程圖。
1000:方法
1010,1020,1030,1040,1050,1060:步驟

Claims (15)

  1. 一種半導體裝置的形成方法,包括:以一介電材料圍繞設置於一鰭片上方的一虛置閘極(dummy gate);通過去除該虛置閘極以及去除沿著該虛置閘極的側壁設置的一第一閘極間隔物(first gate spacer)的上方部分(upper portions),而在該介電材料中形成一閘極溝槽(gate trench),其中該閘極溝槽包含位於留下的該第一閘極間隔物的下方部分(remaining lower portions)之間的一下溝槽(lower trench),以及包含在該下溝槽上方的一上溝槽(upper trench);依序在該閘極溝槽中形成一閘極介電層(gate dielectric layer)、一功函數層(work function layer)及一膠黏層(glue layer);自該上溝槽去除該膠黏層及該功函數層;於該閘極溝槽中形成另一膠黏層,且所述另一膠黏層係位於該閘極介電層暴露出的部分之上;在形成所述另一膠黏層後,以一閘極電極材料填充該閘極溝槽;以及自該上溝槽去除該閘極電極材料,該閘極電極材料的留下部分係形成一閘極電極(gate electrode)。
  2. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中在去除該膠黏層及該功函數層之後,該膠黏層及該功函數層的留下部分係具有一彎曲的上表面(curved upper surface)在低於該上溝槽及該下溝槽之間的一界面(interface)的下方延伸。
  3. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中形成該閘極溝槽包括:進行一個或多個蝕刻步驟,以去除該虛置閘極;以及在去除該虛置閘極之後,進行另一個蝕刻步驟,以去除該第一閘極間隔物的該 些上方部分,其中一第二閘極間隔物(second gate spacer)係圍繞該第一閘極間隔物,其中在去除該第一閘極間隔物的該些上方部分之後,該第二閘極間隔物之側壁係位於該第一閘極間隔物之留下的該些下方部分的上方,且該第二閘極間隔物之該些側壁係定義該上溝槽。
  4. 如申請專利範圍第1-3項任一項所述之半導體裝置的形成方法,其中該上溝槽比該下溝槽更寬。
  5. 如申請專利範圍第1-3項任一項所述之半導體裝置的形成方法,其中自該上溝槽去除該膠黏層及該功函數層包括:進行一第一蝕刻製程,以自該上溝槽選擇性的去除該膠黏層;以及在該第一蝕刻製程之後,進行一第二蝕刻製程,以自該上溝槽選擇性的去除該功函數層。
  6. 如申請專利範圍第5項所述之半導體裝置的形成方法,更包括:在該功函數層和該膠黏層之間形成一含矽之覆蓋層(silicon-containing capping layer);以及在以該閘極電極材料填充該閘極溝槽之前,係自該上溝槽去除該含矽之覆蓋層,其中,去除該含矽之覆蓋層包括:在該第一蝕刻製程之後及該第二蝕刻製程之前,進行一第三蝕刻製程,該第三蝕刻製程係去除部分的該含矽之覆蓋層、部分的該膠黏層及部分的該功函數層。
  7. 如申請專利範圍第1項所述之半導體裝置的形成方法,其中自該上溝槽去除該膠黏層及該功函數層之後,係暴露出設置於該上溝槽中的該閘極介電層的上方部分,且所述另一膠黏層係位於該閘極介電層暴露出的該些上方部分之上。
  8. 如申請專利範圍第7項所述之半導體裝置的形成方法,其中自該上溝槽去除該閘極電極材料係包括: 進行一第一濕式蝕刻製程,以選擇性的去除該閘極電極材料,其中自該上溝槽去除該閘極電極材料係暴露出設置在該上溝槽中的該膠黏層的上方部分,其中該形成方法更包括:自該上溝槽去除該閘極電極材料之後,進行一第二濕式蝕刻製程,以選擇性的去除設置在該上溝槽中的該膠黏層的該些上方部分;以及在該第二濕式蝕刻製程之後,進行一乾式蝕刻製程,以去除設置在該上溝槽中的該閘極介電層的該些上方部分。
  9. 一種半導體裝置的形成方法,包括:通過去除一虛置閘極(dummy gate)及去除圍繞該虛置閘極的一第一閘極間隔物(first gate spacer)的一上方部分,而在一介電材料中形成一閘極溝槽(gate trench),其中該閘極溝槽包含位於留下的該第一閘極間隔物的下方部分(remaining lower portions)之間的一下溝槽(lower trench),以及包含在該下溝槽上方的一上溝槽(upper trench);在該閘極溝槽中依序的形成一閘極介電層(gate dielectric layer)、一功函數層(work function layer)、一覆蓋層(capping layer)及一第一膠黏層(first glue layer),以襯裡式的位於該閘極溝槽的側壁和底部;自該上溝槽去除該第一膠黏層、該覆蓋層及該功函數層;在去除該第一膠黏層、該覆蓋層及該功函數層之後,於該閘極溝槽中形成一第二膠黏層(second glue layer);在形成該第二膠黏層之後,以一閘極金屬填充該閘極溝槽;自該上溝槽去除該閘極金屬,而在該下溝槽中的該閘極金屬的一留下部分係形成一閘極電極(gate electrode);以及在去除該閘極金屬之後,自該上溝槽去除該第二膠黏層及該閘極介電層。
  10. 如申請專利範圍第9項所述之半導體裝置的形成方法,其中該上 溝槽的一第一寬度(first width)係大於該下溝槽的一第二寬度(second width),其中自該上溝槽去除該第一膠黏層、該覆蓋層及該功函數層係包括:使用包含一酸(acid)和一第一氧化劑(first oxidizer)的一第一混合物進行一第一濕式蝕刻製程,以選擇性的去除該第一膠黏層;在該第一濕式蝕刻製程之後,使用進行一含氟化物的化學物質進行一第二濕式蝕刻製程,以去除該覆蓋層;以及在該第二濕式蝕刻製程之後,使用包含一鹼(base)和一第二氧化劑(second oxidizer)的一第二混合物進行一第三濕式蝕刻製程,以選擇性的去除該功函數層。
  11. 如申請專利範圍第9或10項所述之半導體裝置的形成方法,其中自該上溝槽去除該第二膠黏層及該閘極介電層係包括:使用包含一含氟化物的化學物質、一金屬抑制劑(metal inhibitor)及一氧化劑(oxidizer)的一混合物進行一濕式蝕刻製程,以選擇性的去除該第二膠黏層;以及在該濕式蝕刻製程之後,進行乾式蝕刻製程,以去除該閘極介電層。
  12. 一種半導體裝置,包括:一鰭片突出於一基底的上方;一第一金屬閘極位於該鰭片的上方,其中該第一金屬閘極包括一功函數層於一閘極介電層的上方、一覆蓋層於該功函數層的上方、一膠黏層於該覆蓋層的上方以及一閘極電極於該膠黏層的上方;一第一閘極間隔物(first gate spacer)沿著該第一金屬閘極的側壁延伸;一第二閘極間隔物(second gate spacer)沿著該第一閘極間隔物的側壁延伸,且相較於遠離該基底的該第一閘極間隔物的一第一上表面,遠離該基底的該第二閘極間隔物的一第二上表面係延伸的更遠離該基底; 一介電材料係被該第二閘極間隔物所圍繞,且該介電材料自該第一閘極間隔物的該第一上表面延伸至該第二閘極間隔物的該第二上表面;以及一第一閘極接觸件(first gate contact)延伸穿過該介電材料且電性耦接至該第一金屬閘極。
  13. 如申請專利範圍第12項所述之半導體裝置,其中該第一閘極間隔物的該第一上表面係與該第一金屬閘極的一上表面實質上共平面。
  14. 如申請專利範圍第12或13項所述之半導體裝置,其中該第一金屬閘極包括該閘極介電層(gate dielectric layer),其中該第一閘極間隔物的側壁係接觸該第一金屬閘極的該閘極介電層,且該第二閘極間隔物的側壁係接觸該第一閘極間隔物的該些側壁。
  15. 如申請專利範圍第14項所述之半導體裝置,其中該覆蓋層係為一含矽之覆蓋層,且該含矽之覆蓋層具有一U形剖面(U-shaped cross-section)。
TW109135896A 2019-10-18 2020-10-16 半導體裝置及其形成方法 TWI785401B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/657,480 US11522083B2 (en) 2019-10-18 2019-10-18 Fin field-effect transistor device and method of forming the same
US16/657,480 2019-10-18

Publications (2)

Publication Number Publication Date
TW202117816A TW202117816A (zh) 2021-05-01
TWI785401B true TWI785401B (zh) 2022-12-01

Family

ID=75268573

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109135896A TWI785401B (zh) 2019-10-18 2020-10-16 半導體裝置及其形成方法

Country Status (5)

Country Link
US (2) US11522083B2 (zh)
KR (1) KR102291841B1 (zh)
CN (1) CN112687544A (zh)
DE (1) DE102019128469B4 (zh)
TW (1) TWI785401B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230009031A1 (en) * 2021-07-08 2023-01-12 Taiwan Semiconductor Manufacturing Co., Ltd. End Point Control in Etching Processes

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110073214A (ko) * 2009-12-21 2011-06-29 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 전계 효과 트랜지스터의 금속 게이트 구조
US20150311206A1 (en) * 2013-09-04 2015-10-29 Global Foundries Inc. Methods of forming gate structures for transistor devices for cmos applications and the resulting products
KR101595932B1 (ko) * 2013-02-21 2016-02-19 글로벌파운드리즈 인크. 집적 회로들 및 금속 게이트 전극들을 갖는 집적 회로들을 제조하기 위한 방법들
KR20170078514A (ko) * 2015-12-29 2017-07-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 반도체 디바이스를 제조하는 방법

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US9368603B2 (en) * 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9257348B2 (en) * 2013-08-06 2016-02-09 Globalfoundries Inc. Methods of forming replacement gate structures for transistors and the resulting devices
CN104377132A (zh) * 2013-08-13 2015-02-25 中国科学院微电子研究所 半导体器件及其制造方法
US10411113B2 (en) * 2015-05-22 2019-09-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure
CN106531776B (zh) * 2015-09-11 2021-06-29 联华电子股份有限公司 半导体结构
US10867852B2 (en) * 2015-12-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
KR102497251B1 (ko) * 2015-12-29 2023-02-08 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10361200B1 (en) * 2018-03-07 2019-07-23 International Business Machines Corporation Vertical fin field effect transistor with integral U-shaped electrical gate connection

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110073214A (ko) * 2009-12-21 2011-06-29 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 전계 효과 트랜지스터의 금속 게이트 구조
KR101595932B1 (ko) * 2013-02-21 2016-02-19 글로벌파운드리즈 인크. 집적 회로들 및 금속 게이트 전극들을 갖는 집적 회로들을 제조하기 위한 방법들
US20150311206A1 (en) * 2013-09-04 2015-10-29 Global Foundries Inc. Methods of forming gate structures for transistor devices for cmos applications and the resulting products
KR20170078514A (ko) * 2015-12-29 2017-07-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 반도체 디바이스를 제조하는 방법

Also Published As

Publication number Publication date
DE102019128469A1 (de) 2021-04-22
US11522083B2 (en) 2022-12-06
US20210119034A1 (en) 2021-04-22
DE102019128469B4 (de) 2021-09-16
US20220359741A1 (en) 2022-11-10
KR102291841B1 (ko) 2021-08-24
US11978801B2 (en) 2024-05-07
TW202117816A (zh) 2021-05-01
KR20210047219A (ko) 2021-04-29
CN112687544A (zh) 2021-04-20

Similar Documents

Publication Publication Date Title
US11450772B2 (en) Fin field-effect transistor device and method
TWI786077B (zh) 半導體裝置的製造方法及鰭式場效電晶體裝置的製造方法
US20180145131A1 (en) Semiconductor Device and Method
TWI765321B (zh) 半導體裝置及其形成方法
KR102261355B1 (ko) 핀 전계 효과 트랜지스터 디바이스 및 이의 형성 방법
KR102301290B1 (ko) 핀 전계효과 트랜지스터 디바이스 및 그 형성 방법
US10665513B2 (en) Fin field-effect transistor device and method
CN113889532A (zh) 半导体器件及其形成方法
US11901441B2 (en) Fin field-effect transistor and method of forming the same
TWI785401B (zh) 半導體裝置及其形成方法
TW202349470A (zh) 半導體裝置及其製造方法
TWI806103B (zh) 形成半導體裝置的方法
US11735425B2 (en) Fin field-effect transistor and method of forming the same
US11227940B2 (en) Fin field-effect transistor device and method of forming the same