TWI777225B - Integrated chip and method of forming the same - Google Patents

Integrated chip and method of forming the same Download PDF

Info

Publication number
TWI777225B
TWI777225B TW109128767A TW109128767A TWI777225B TW I777225 B TWI777225 B TW I777225B TW 109128767 A TW109128767 A TW 109128767A TW 109128767 A TW109128767 A TW 109128767A TW I777225 B TWI777225 B TW I777225B
Authority
TW
Taiwan
Prior art keywords
gate
region
dielectric
substrate
isolation structures
Prior art date
Application number
TW109128767A
Other languages
Chinese (zh)
Other versions
TW202109894A (en
Inventor
陳志彬
劉銘棋
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/921,075 external-priority patent/US11329128B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202109894A publication Critical patent/TW202109894A/en
Application granted granted Critical
Publication of TWI777225B publication Critical patent/TWI777225B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/7825Lateral DMOS transistors, i.e. LDMOS transistors with trench gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0873Drain regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • H01L29/42368Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity the thickness being non-uniform
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66659Lateral single gate silicon transistors with asymmetry in the channel direction, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/66704Lateral DMOS transistors, i.e. LDMOS transistors with a step of recessing the gate electrode, e.g. to form a trench gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7835Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with asymmetrical source and drain regions, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region

Abstract

The present disclosure relates to an integrated chip. The integrated chip includes a source region disposed within a substrate and a drain region disposed within the substrate. The drain region is separated from the source region along a first direction. A drift region is disposed within the substrate between the source region and the drain region, and a plurality of isolation structures are disposed within the drift region. A gate electrode is disposed within the substrate. The gate electrode has a base region disposed between the source region and the drift region and a plurality of gate extensions extending outward from a sidewall of the base region to over the plurality of isolation structures.

Description

積體晶片及其形成方法 Integrated wafer and method of forming the same

本發明實施例是關於積體晶片及其形成方法。 Embodiments of the present invention relate to integrated wafers and methods of forming the same.

現今的積體晶片(integrated chip,IC)包括數百萬或數十億個形成在半導體基底(例如,矽)上的半導體裝置。視積體晶片(IC)的應用而定,積體晶片可使用許多不同類型的電晶體裝置。近年來,手機裝置(cellular device)及射頻(radio frequency,RF)裝置市場的日益增大已使得對高電壓電晶體(high voltage transistor)裝置的使用顯著增加。舉例來說,由於高電壓電晶體裝置能夠應對高擊穿電壓(例如,大於約50V)及高頻,因此它們常常用在RF發射/接收鏈的功率放大器中。 Today's integrated chips (ICs) include millions or billions of semiconductor devices formed on a semiconductor substrate (eg, silicon). Depending on the application of the integrated chip (IC), many different types of transistor devices can be used in an integrated chip. In recent years, the growing market for cellular devices and radio frequency (RF) devices has led to a significant increase in the use of high voltage transistor devices. For example, high voltage transistor devices are often used in power amplifiers in RF transmit/receive chains due to their ability to handle high breakdown voltages (eg, greater than about 50V) and high frequencies.

在一些實施例中,本公開關於一種積體晶片。所述積體晶片包括:源極區,設置在基底內;汲極區,設置在所述基底內且沿第一方向與所述源極區隔開;漂移區,在所述源極區與所述汲極區之間設置在所述基底內;多個隔離結構,設置在所述漂移區內;以及閘極電極,設置在所述基底內,所述閘極電極具有基礎區及多個閘極延伸部,所述基礎區設置在所述源極區與所述漂 移區之間,所述多個閘極延伸部從所述基礎區的側壁向外延伸到所述多個隔離結構之上。 In some embodiments, the present disclosure pertains to an integrated wafer. The integrated wafer includes: a source region disposed in a substrate; a drain region disposed in the substrate and spaced apart from the source region along a first direction; a drift region, in the source region and the source region The drain regions are arranged in the substrate; a plurality of isolation structures are arranged in the drift region; and a gate electrode is arranged in the substrate, the gate electrode has a base region and a plurality of a gate extension part, the base region is disposed between the source region and the drift Between the transition regions, the plurality of gate extensions extend outward from the sidewalls of the base region onto the plurality of isolation structures.

在其他實施例中,本公開關於一種積體晶片。所述積體晶片包括:源極區,設置在基底內;汲極區,設置在所述基底內;閘極介電質,對所述基底的內表面加襯;閘極電極,設置在所述源極區與所述汲極區之間且具有基礎區及多個閘極延伸部,所述基礎區位於所述閘極介電質之上,所述多個閘極延伸部從所述閘極電極的所述基礎區的側壁向外朝所述汲極區突出;以及多個隔離結構,在所述閘極介電質與所述汲極區之間連續地延伸,所述多個隔離結構分別環繞所述多個閘極延伸部中的一者。 In other embodiments, the present disclosure relates to an integrated wafer. The integrated wafer includes: a source region, arranged in a substrate; a drain region, arranged in the substrate; a gate dielectric, lining the inner surface of the substrate; and a gate electrode, arranged in the substrate There is a base region and a plurality of gate extensions between the source region and the drain region, the base region is located on the gate dielectric, and the plurality of gate extensions extend from the gate sidewalls of the base region of the gate electrode protrude outward toward the drain region; and a plurality of isolation structures extending continuously between the gate dielectric and the drain region, the plurality of isolation structures An isolation structure surrounds one of the plurality of gate extensions, respectively.

在再一些其他實施例中,本公開關於一種形成積體晶片的方法。所述方法包括:在基底內形成多個隔離結構;對所述基底選擇性地進行蝕刻,以在所述基底內形成閘極基礎凹槽;對所述多個隔離結構選擇性地進行蝕刻,以形成從所述閘極基礎凹槽向外延伸的多個閘極延伸溝渠;在所述閘極基礎凹槽及所述多個閘極延伸溝渠內形成導電材料,以形成閘極電極;以及在所述閘極電極的相對側上形成源極區及汲極區。 In still other embodiments, the present disclosure relates to a method of forming an integrated wafer. The method includes: forming a plurality of isolation structures in a substrate; selectively etching the substrate to form gate base grooves in the substrate; selectively etching the plurality of isolation structures, forming a plurality of gate extension trenches extending outward from the gate base groove; forming a conductive material in the gate base groove and the plurality of gate extension trenches to form gate electrodes; and Source and drain regions are formed on opposite sides of the gate electrode.

100、300、400、700、800:積體晶片 100, 300, 400, 700, 800: Integrated wafers

102:基底 102: Substrate

102i、112i:內表面 102i, 112i: inner surface

102u:上表面 102u: upper surface

104、104a、104b、714:源極區 104, 104a, 104b, 714: source regions

105:閘極介電質 105: Gate dielectric

106、106a、106b、712:閘極結構 106, 106a, 106b, 712: gate structure

107、722:閘極電極 107, 722: gate electrode

107b:基礎區 107b: Base Area

107e:閘極延伸部 107e: Gate extension

107e1:第一閘極延伸部 107e 1 : first gate extension

107e2:第二閘極延伸部 107e 2 : the second gate extension

108、716:汲極區 108, 716: drain region

109:井區 109: Well District

110:漂移區 110: Drift Zone

112:隔離結構 112: Isolation Structure

112a:第一隔離結構 112a: first isolation structure

112b:第二隔離結構 112b: Second isolation structure

112c:第三隔離結構 112c: Third isolation structure

114:第一方向 114: First Direction

116:第二方向 116: Second direction

200、216、222、500、600、900、1000、1100、1200、1300、1400、1500、1600、1700、1800、1900、2000、2100、2200、2300、2400:剖視圖 200, 216, 222, 500, 600, 900, 1000, 1100, 1200, 1300, 1400, 1500, 1600, 1700, 1800, 1900, 2000, 2100, 2200, 2300, 2400: Cutaway view

202、502、602、910、1012、1102、1212、1306、1402、1510、1604、1704:俯視圖 202, 502, 602, 910, 1012, 1102, 1212, 1306, 1402, 1510, 1604, 1704: Top View

204:第一厚度 204: first thickness

206:第二厚度 206: Second thickness

208:層間介電(ILD)結構 208: Interlayer Dielectric (ILD) Structure

208a:第一ILD層 208a: First ILD layer

208b:第二ILD層 208b: Second ILD layer

210:導電接觸件 210: Conductive Contacts

212:內連線 212: Inline

214:空乏區 214: Depletion Zone

218:溝渠 218: Ditch

220:附加溝渠 220: Additional Ditch

224:負電荷 224: Negative Charge

226:正電荷 226: positive charge

302、310:非零距離 302, 310: non-zero distance

304:突起 304: Protrusion

306:介電結構 306: Dielectric Structure

308:矽化物 308: Silicide

312:接觸蝕刻終止層(CESL) 312: Contact Etch Stop Layer (CESL)

402:間距 402: Spacing

404:距離 404: Distance

702:高電壓電晶體裝置區 702: High Voltage Transistor Device Area

704:週邊邏輯區 704: Peripheral logic area

706:第一介電材料 706: First Dielectric Material

708:第二介電材料 708: Second Dielectric Material

710:第三介電材料 710: Third Dielectric Material

717:閘極介電結構 717: Gate Dielectric Structure

718:第一閘極介電材料 718: First gate dielectric material

720:第二閘極介電材料 720: Second gate dielectric material

724、726:上覆的介電層 724, 726: Overlying dielectric layer

728、1904:側壁間隔件 728, 1904: Sidewall Spacers

802a、802b:本體區 802a, 802b: body area

804、1702、2102:線 804, 1702, 2102: Line

902、1208:第一深度 902, 1208: first depth

904:厚度 904: Thickness

906:附加隔離結構 906: Additional isolation structure

908:第二深度 908: Second Depth

1002:隔離溝渠 1002: Isolation Ditch

1004:第一蝕刻劑 1004: First Etchant

1006:第一遮蔽層 1006: First masking layer

1008:第一硬罩幕層 1008: First hard mask layer

1010:第二硬罩幕層 1010: Second hard mask layer

1202:閘極基礎凹槽 1202: Gate base groove

1204:第二蝕刻劑 1204: Second Etchant

1202h1、1202h2:水平延伸表面 1202h 1 , 1202h 2 : Horizontally extending surfaces

1202s1、1202s2:側壁 1202s 1 , 1202s 2 : side walls

1206:第二遮蔽層 1206: Second masking layer

1210:第二深度 1210: Second Depth

1302:第二摻雜劑物種 1302: Second Dopant Species

1304:第三遮蔽層 1304: Third masking layer

1502:閘極延伸溝渠 1502: Gate extension trench

1504:第三深度 1504: Third Depth

1506:第三蝕刻劑 1506: Third Etchant

1508:第四遮蔽層 1508: Fourth Masking Layer

1512:三維視圖 1512: 3D View

1602:閘極材料 1602: Gate Material

1802:閘極堆疊 1802: Gate Stacking

1804:閘極電極材料 1804: Gate Electrode Materials

1806:第三介電材料 1806: The third dielectric material

1808:第四介電材料 1808: Fourth dielectric material

1902:圖案化閘極堆疊 1902: Patterned gate stacks

1906:源極區域 1906: Source Region

1908:汲極區域 1908: Drain region

2002:摻雜劑物種 2002: Dopant species

2104:介電堆疊 2104: Dielectric Stacking

2202:第五遮蔽層 2202: Fifth Shading Layer

2204:開口 2204: Opening

2206:蝕刻劑 2206: Etchant

2500:方法 2500: Method

2502、2504、2506、2508、2510、2512、2514、2516、2518、2520、2522、2524、2526:動作 2502, 2504, 2506, 2508, 2510, 2512, 2514, 2516, 2518, 2520, 2522, 2524, 2526: Actions

A-A′:剖面線 A-A′: hatch line

B-B′:剖面線 B-B': hatch line

d:距離 d : distance

結合附圖閱讀以下詳細說明,會最好地理解本公開的各個方面。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為使論述清晰起見,可任意增大或減小各種特徵的尺寸。 Various aspects of the present disclosure are best understood when the following detailed description is read in conjunction with the accompanying drawings. It should be noted that, in accordance with standard practice in the industry, the various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or decreased for clarity of discussion.

圖1示出具有高電壓電晶體裝置的積體晶片的一些實施例的 三維視圖,所述高電壓電晶體裝置包括具有閘極延伸部的閘極電極。 Figure 1 illustrates some embodiments of an integrated wafer with high voltage transistor devices Three-dimensional view of the high voltage transistor device including a gate electrode with a gate extension.

圖2A到圖2D示出具有高電壓電晶體裝置的積體晶片的一些附加實施例,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷式(recessed)閘極電極。 2A-2D illustrate some additional embodiments of integrated wafers having high voltage transistor devices including recessed gate electrodes with gate extensions.

圖3示出具有高電壓電晶體裝置的積體晶片的一些附加實施例的剖視圖,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷式閘極電極。 3 illustrates cross-sectional views of some additional embodiments of integrated wafers having high voltage transistor devices including recessed gate electrodes with gate extensions.

圖4示出具有高電壓電晶體裝置的積體晶片的一些附加實施例的俯視圖,所述高電壓電晶體裝置包括具有閘極延伸部的閘極電極。 4 shows a top view of some additional embodiments of an integrated wafer having a high voltage transistor device including a gate electrode with a gate extension.

圖5A到圖5B示出具有高電壓電晶體裝置的積體晶片的一些附加實施例,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷式閘極電極。 5A-5B illustrate some additional embodiments of integrated wafers having high voltage transistor devices including recessed gate electrodes with gate extensions.

圖6A到圖6B示出具有高電壓電晶體裝置的積體晶片的一些附加實施例,所述高電壓電晶體裝置包括具有閘極延伸部的局部地凹陷式閘極電極。 6A-6B illustrate some additional embodiments of integrated wafers having high voltage transistor devices including partially recessed gate electrodes with gate extensions.

圖7示出具有高電壓電晶體裝置區及週邊邏輯區的積體晶片的一些實施例的剖視圖。 7 illustrates a cross-sectional view of some embodiments of an integrated wafer having high voltage transistor device regions and surrounding logic regions.

圖8示出具有高電壓電晶體裝置的積體晶片的一些附加實施例的俯視圖,所述高電壓電晶體裝置包括具有閘極延伸部的閘極電極。 8 shows top views of some additional embodiments of integrated wafers with high voltage transistor devices including gate electrodes with gate extensions.

圖9A到圖9B示出具有高電壓電晶體裝置的積體晶片的一些附加實施例,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷 式閘極電極。 9A-9B illustrate some additional embodiments of integrated wafers with high voltage transistor devices including recesses with gate extensions gate electrode.

圖10A到圖24示出形成具有高電壓電晶體裝置的積體晶片的方法的一些實施例的剖視圖,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷式閘極電極。 10A-24 illustrate cross-sectional views of some embodiments of methods of forming an integrated wafer with high voltage transistor devices including recessed gate electrodes with gate extensions.

圖25示出形成具有高電壓電晶體裝置的積體晶片的方法的一些實施例的流程圖,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷式閘極電極。 25 shows a flowchart of some embodiments of a method of forming an integrated wafer having a high voltage transistor device including a recessed gate electrode with a gate extension.

以下公開內容提供用於實施所提供主題的不同特徵的許多不同的實施例或實例。以下闡述元件及排列的具體實例以簡化本公開。當然,這些僅為實例而非旨在進行限制。舉例來說,以下說明中將第一特徵形成在第二特徵之上或第二特徵上可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且也可包括其中第一特徵與第二特徵之間可形成有附加特徵從而使得所述第一特徵與所述第二特徵可不直接接觸的實施例。另外,本公開可能在各種實例中重複使用參考編號和/或字母。這種重複使用是出於簡潔及清晰的目的,而不是自身指示所論述的各種實施例和/或配置之間的關係。 The following disclosure provides many different embodiments or examples for implementing different features of the presented subject matter. Specific examples of elements and arrangements are set forth below to simplify the present disclosure. Of course, these are examples only and are not intended to be limiting. For example, forming a first feature over or on a second feature in the following description may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which the first feature is formed Embodiments in which additional features may be formed between and second features such that the first and second features may not be in direct contact. Additionally, the present disclosure may reuse reference numbers and/or letters in various instances. This re-use is for the purpose of brevity and clarity and is not itself indicative of the relationship between the various embodiments and/or configurations discussed.

此外,為易於說明,本文中可能使用例如“在...之下(beneath)”、“在...下方(below)”、“下部的(lower)”、“在...上方(above)”、“上部的(upper)”等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的取向外還囊括裝 置在使用或操作中的不同取向。設備可具有其他取向(旋轉90度或處於其他取向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。 Also, for ease of description, for example, "beneath", "below", "lower", "above" may be used herein. )", "upper" and other spatially relative terms are used to describe the relationship of one element or feature to another (other) element or feature shown in the figures. The spatially relative terms are intended to encompass installations in addition to the orientation depicted in the figures. different orientations in use or operation. The device may have other orientations (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.

積體晶片常常包括被設計成在多種不同電壓下進行操作的電晶體。高電壓電晶體被設計成在高擊穿電壓(例如,大於近似20V、大於近似50V、或其他合適值的擊穿電壓)下進行操作。一種常用類型的高電壓電晶體是在側向上擴散的金屬氧化物半導體場效應電晶體(laterally diffused MOSFET,LDMOS)裝置。LDMOS裝置具有在源極區與汲極區之間設置在基底之上的閘極結構。閘極結構通過漂移區而與汲極區隔開。漂移區包括基底的輕摻雜區(例如,基底的摻雜濃度小於源極區的摻雜濃度和/或汲極區的摻雜濃度的區)。 Integrated wafers often include transistors designed to operate at a variety of different voltages. High voltage transistors are designed to operate at high breakdown voltages (eg, breakdown voltages greater than approximately 20V, greater than approximately 50V, or other suitable values). One common type of high voltage transistor is a laterally diffused metal oxide semiconductor field effect transistor (LDMOS) device. The LDMOS device has a gate structure disposed over a substrate between source and drain regions. The gate structure is separated from the drain region by the drift region. The drift region includes a lightly doped region of the substrate (eg, a region where the doping concentration of the substrate is less than the doping concentration of the source region and/or the doping concentration of the drain region).

在操作期間,可向閘極結構施加偏置電壓以形成電場,所述電場使得溝道區在閘極結構下方延伸且穿過漂移區。LDMOS裝置的擊穿電壓通常與漂移區的大小及摻雜濃度成比例(例如,較大的漂移區將帶來較大的擊穿電壓)。然而,如果裝置內的電場不均勻,則電晶體裝置的擊穿電壓可能受到負面影響。舉例來說,由於在漂移區與基底之間的p-n接面處可能出現的電場中的尖峰(spike),因此LDMOS的擊穿電壓可能受到負面影響。 During operation, a bias voltage may be applied to the gate structure to form an electric field that causes the channel region to extend under the gate structure and through the drift region. The breakdown voltage of an LDMOS device is generally proportional to the size and doping concentration of the drift region (eg, a larger drift region will result in a larger breakdown voltage). However, if the electric field within the device is not uniform, the breakdown voltage of the transistor device may be negatively affected. For example, the breakdown voltage of an LDMOS may be negatively affected due to spikes in the electric field that may occur at the p-n junction between the drift region and the substrate.

在一些實施例中,本公開關於一種包括電晶體裝置的積體晶片,所述電晶體裝置具有閘極電極,所述閘極電極具有被配置成向電晶體裝置提供高擊穿電壓的多個閘極延伸部。閘極電極在源極區與汲極區之間設置在基底內。漂移區位於閘極電極與汲極區之間。所述多個閘極延伸部從閘極電極的側壁在側向上向外 突出且越過漂移區。所述多個閘極延伸部被配置成在漂移區內產生電場,這可沿裝置的p-n接面在側向上使電荷擴展(spread)。通過在側向上使電荷擴展,可使沿基底的表面的電場擴展,從而減少電場中的尖峰且增大電晶體裝置的擊穿電壓。 In some embodiments, the present disclosure relates to an integrated wafer including a transistor device having a gate electrode having a plurality of gate electrodes configured to provide a high breakdown voltage to the transistor device gate extension. The gate electrode is disposed in the substrate between the source region and the drain region. The drift region is located between the gate electrode and the drain region. The plurality of gate extensions laterally outward from the sidewall of the gate electrode Protrudes and crosses the drift zone. The plurality of gate extensions are configured to generate an electric field within the drift region, which can spread charge laterally along the p-n junction of the device. By spreading the charge in the lateral direction, the electric field along the surface of the substrate can be spread, reducing spikes in the electric field and increasing the breakdown voltage of the transistor device.

圖1示出具有高電壓電晶體裝置的積體晶片100的一些實施例的三維視圖,所述高電壓電晶體裝置包括具有閘極延伸部的閘極電極。 FIG. 1 shows a three-dimensional view of some embodiments of an integrated wafer 100 having a high voltage transistor device including a gate electrode with a gate extension.

積體晶片100包括設置在基底102內的閘極結構106。在一些實施例中,閘極結構106凹陷在基底102內。在一些此種實施例中,閘極結構106從基底102的上表面102u下方延伸到基底102的上表面102u。在閘極結構106的第一側上設置有源極區104且在閘極結構106的與第一側相對的第二側上設置有汲極區108。源極區104與汲極區108沿第一方向114通過閘極結構106隔開。 The integrated wafer 100 includes a gate structure 106 disposed within a substrate 102 . In some embodiments, gate structure 106 is recessed within substrate 102 . In some such embodiments, the gate structure 106 extends from below the upper surface 102u of the substrate 102 to the upper surface 102u of the substrate 102 . A source region 104 is provided on a first side of the gate structure 106 and a drain region 108 is provided on a second side of the gate structure 106 opposite the first side. The source region 104 and the drain region 108 are separated along the first direction 114 by the gate structure 106 .

沿第一方向114在閘極結構106與汲極區108之間排列有漂移區110。在一些實施例中,在閘極結構106下方在基底102內可設置有井區109且井區109在側向上接觸漂移區110。在漂移區110內設置有一個或多個隔離結構112。所述一個或多個隔離結構112沿基底102的上表面在閘極結構106與汲極區108之間在第一方向114上延伸。所述一個或多個隔離結構112沿與第一方向114垂直的第二方向116通過漂移區110而彼此隔開。在一些實施例中,所述一個或多個隔離結構112的側壁沿第一方向114彼此平行地延伸。在一些實施例中,所述一個或多個隔離結構112包含設置在基底102中的溝渠內的一種或多種介電材料。在一些 實施例中,所述一個或多個隔離結構112可包括淺溝渠隔離(shallow trench isolation,STI)結構。 A drift region 110 is arranged between the gate structure 106 and the drain region 108 along the first direction 114 . In some embodiments, a well region 109 may be provided within the substrate 102 below the gate structure 106 and the well region 109 laterally contacts the drift region 110 . One or more isolation structures 112 are disposed within the drift region 110 . The one or more isolation structures 112 extend in the first direction 114 along the upper surface of the substrate 102 between the gate structures 106 and the drain regions 108 . The one or more isolation structures 112 are separated from each other by the drift region 110 along a second direction 116 perpendicular to the first direction 114 . In some embodiments, the sidewalls of the one or more isolation structures 112 extend parallel to each other along the first direction 114 . In some embodiments, the one or more isolation structures 112 include one or more dielectric materials disposed within trenches in the substrate 102 . in some In an embodiment, the one or more isolation structures 112 may include shallow trench isolation (STI) structures.

閘極結構106包括閘極介電質105及位於閘極介電質105之上的閘極電極107。閘極電極107包括基礎區(base region)107b及一個或多個閘極延伸部(gate extensions)107e。基礎區107b通過閘極介電質105而與漂移區110隔開。在一些實施例中,閘極介電質105從基礎區107b的第一側連續地延伸到基礎區107b的相對的第二側。所述一個或多個閘極延伸部107e從閘極電極107的基礎區107b的側壁在側向上向外突出到所述一個或多個隔離結構112內。所述一個或多個隔離結構112在側向上及在垂直方向上將所述一個或多個閘極延伸部107e與漂移區110隔開。在一些實施例中,所述一個或多個閘極延伸部107e延伸穿過閘極介電質105的側壁。 The gate structure 106 includes a gate dielectric 105 and a gate electrode 107 on the gate dielectric 105 . The gate electrode 107 includes a base region 107b and one or more gate extensions 107e. Base region 107b is separated from drift region 110 by gate dielectric 105 . In some embodiments, gate dielectric 105 extends continuously from a first side of base region 107b to an opposite second side of base region 107b. The one or more gate extensions 107e protrude laterally outward from the sidewall of the base region 107b of the gate electrode 107 into the one or more isolation structures 112 . The one or more isolation structures 112 separate the one or more gate extensions 107e from the drift region 110 laterally and vertically. In some embodiments, the one or more gate extensions 107e extend through the sidewalls of the gate dielectric 105 .

在操作期間,可向閘極電極107施加偏置電壓。偏置電壓使得閘極電極107內的電荷(例如,正電荷或負電荷)在下伏的基底102中形成電場。通常,由於漂移區110與井區109的結處的表面場擁擠,因此電晶體裝置的最大擊穿電壓可能受到結邊緣擊穿效應(junction edge breakdown effect)的限制。然而,由所述一個或多個閘極延伸部107e產生的電場沿基底102的表面(例如,沿第二方向116)在側向上使電場擴展。通過使電場擴展,所述一個或多個閘極延伸部107e會降低沿基底102表面的電場強度,從而使得電晶體裝置實現更高的擊穿電壓。 During operation, a bias voltage may be applied to the gate electrode 107 . The bias voltage causes the charge (eg, positive or negative) within the gate electrode 107 to create an electric field in the underlying substrate 102 . Typically, due to the crowding of the surface field at the junction of the drift region 110 and the well region 109, the maximum breakdown voltage of the transistor device may be limited by the junction edge breakdown effect. However, the electric field generated by the one or more gate extensions 107e laterally spreads the electric field along the surface of the substrate 102 (eg, in the second direction 116). By spreading the electric field, the one or more gate extensions 107e reduce the electric field strength along the surface of the substrate 102, thereby enabling the transistor device to achieve a higher breakdown voltage.

圖2A到圖2C示出具有高電壓電晶體裝置的積體晶片的一些附加實施例,所述高電壓電晶體裝置包括具有閘極延伸部 的凹陷式閘極電極。 2A-2C illustrate some additional embodiments of integrated wafers with high voltage transistor devices including gate extensions with gate extensions the recessed gate electrode.

如圖2A的剖視圖200中所示,積體晶片包括設置在基底102內的源極區104及汲極區108。在源極區104與汲極區108之間排列有漂移區110。在一些實施例中,井區109可環繞源極區104、汲極區108及漂移區110。在一些實施例中,基底102及井區109可具有第一摻雜類型(例如,p型),而源極區104、汲極區108及漂移區110可具有第二摻雜類型(例如,n型)。在一些實施例中,漂移區110可具有第二摻雜類型(例如,n型),但摻雜濃度低於源極區104和/或汲極區108。 As shown in cross-sectional view 200 of FIG. 2A , the integrated wafer includes source regions 104 and drain regions 108 disposed within substrate 102 . A drift region 110 is arranged between the source region 104 and the drain region 108 . In some embodiments, well region 109 may surround source region 104 , drain region 108 , and drift region 110 . In some embodiments, substrate 102 and well region 109 may have a first doping type (eg, p-type), while source region 104, drain region 108, and drift region 110 may have a second doping type (eg, p-type) n-type). In some embodiments, drift region 110 may have a second doping type (eg, n-type), but with a lower doping concentration than source region 104 and/or drain region 108 .

在源極區104與汲極區108之間在基底102內設置有閘極電極107。閘極電極107通過漂移區110而與汲極區108隔開。閘極電極107包括基礎區107b及一個或多個閘極延伸部107e。所述一個或多個閘極延伸部107e沿第一方向114從基礎區107b向外延伸到漂移區110的正上方。基礎區107b被閘極介電質105環繞。所述一個或多個閘極延伸部107e被排列在漂移區110內的一個或多個隔離結構112環繞。在一些實施例中,所述一個或多個閘極延伸部107e可在所述一個或多個隔離結構112及閘極介電質105的上表面的正上方延伸。在一些實施例中,所述一個或多個閘極延伸部107e可具有與閘極介電質105的上表面及所述一個或多個隔離結構112的上表面二者接觸的底表面。 A gate electrode 107 is provided in the substrate 102 between the source region 104 and the drain region 108 . The gate electrode 107 is separated from the drain region 108 by the drift region 110 . The gate electrode 107 includes a base region 107b and one or more gate extensions 107e. The one or more gate extensions 107e extend outward from the base region 107b to just above the drift region 110 along the first direction 114 . The base region 107b is surrounded by the gate dielectric 105 . The one or more gate extensions 107e are surrounded by one or more isolation structures 112 arranged within the drift region 110 . In some embodiments, the one or more gate extensions 107e may extend directly above the upper surface of the one or more isolation structures 112 and gate dielectric 105 . In some embodiments, the one or more gate extensions 107e may have a bottom surface in contact with both the upper surface of the gate dielectric 105 and the upper surface of the one or more isolation structures 112 .

在一些實施例中,閘極電極107可包含導電材料,例如金屬(例如,鎢、鋁等)、摻雜的多晶矽等。在一些實施例中,閘極介電質105及所述一個或多個隔離結構112可包含氧化物(例如,氧化矽)、氮化物(例如,氮化矽)等。 In some embodiments, the gate electrode 107 may comprise a conductive material, such as a metal (eg, tungsten, aluminum, etc.), doped polysilicon, and the like. In some embodiments, the gate dielectric 105 and the one or more isolation structures 112 may comprise oxides (eg, silicon oxide), nitrides (eg, silicon nitride), and the like.

在一些實施例中,基礎區107b可具有第一厚度204且所述一個或多個閘極延伸部107e可具有第二厚度206。在一些實施例中,第二厚度206可小於第一厚度204。舉例來說,在一些實施例中,第二厚度206可處於第一厚度204的50%與近似90%之間。在一些實施例中,第一厚度204可介於近似900埃(Å)與近似600Å之間、近似650Å與近似750Å之間、或者其他類似的值的範圍內。在其他實施例(未示出)中,第二厚度206可近似等於第一厚度204。 In some embodiments, the base region 107b can have a first thickness 204 and the one or more gate extensions 107e can have a second thickness 206 . In some embodiments, the second thickness 206 may be smaller than the first thickness 204 . For example, in some embodiments, the second thickness 206 may be between 50% and approximately 90% of the first thickness 204 . In some embodiments, the first thickness 204 may range between approximately 900 angstroms (Å) and approximately 600 Å, between approximately 650 Å and approximately 750 Å, or other similar values. In other embodiments (not shown), the second thickness 206 may be approximately equal to the first thickness 204 .

在基底102之上在層間介電(inter-level dielectric,ILD)結構208內設置有多個導電內連件(導電接觸件210到內連線212)。在一些實施例中,所述多個導電內連件(導電接觸件210到內連線212)可包括耦合到內連線212的一個或多個導電接觸件210。在一些實施例中,所述一個或多個導電接觸件210電耦合到源極區104、汲極區108及閘極電極107。在一些實施例中,所述多個導電內連件(導電接觸件210到內連線212)可包含銅、鎢、鋁等中的一者或多者。在一些實施例中,ILD結構208可包含二氧化矽、摻雜的二氧化矽(例如,碳摻雜的二氧化矽)、氮氧化矽、硼矽酸鹽玻璃(borosilicate glass,BSG)、磷矽酸鹽玻璃(phosphoric silicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、氟化矽酸鹽玻璃(fluorinated silicate glass,FSG)等中的一者或多者。 A plurality of conductive interconnects (conductive contacts 210 to interconnects 212 ) are disposed within an inter-level dielectric (ILD) structure 208 over the substrate 102 . In some embodiments, the plurality of conductive interconnects (conductive contact 210 to interconnect 212 ) may include one or more conductive contacts 210 coupled to interconnect 212 . In some embodiments, the one or more conductive contacts 210 are electrically coupled to the source region 104 , the drain region 108 , and the gate electrode 107 . In some embodiments, the plurality of conductive interconnects (conductive contact 210 to interconnect 212) may comprise one or more of copper, tungsten, aluminum, and the like. In some embodiments, the ILD structure 208 may comprise silicon dioxide, doped silicon dioxide (eg, carbon-doped silicon dioxide), silicon oxynitride, borosilicate glass (BSG), phosphorous One or more of phosphoric silicate glass (PSG), borophosphosilicate glass (BPSG), fluorinated silicate glass (FSG), and the like.

圖2B示出圖2A的積體晶片的俯視圖202。圖2A的剖視圖200是沿圖2B的剖面線A-A'截取的。 FIG. 2B shows a top view 202 of the integrated wafer of FIG. 2A. The cross-sectional view 200 of FIG. 2A is taken along section line AA ' of FIG. 2B.

如圖2B的俯視圖202中所示,所述一個或多個閘極延 伸部107e沿第一方向114從基礎區107b的側壁向外突出,而基礎區107b在第二方向116上延伸超出所述一個或多個閘極延伸部107e。所述一個或多個閘極延伸部107e中的相鄰的閘極延伸部107e沿第二方向116通過漂移區110及所述一個或多個隔離結構112中的至少兩者的部分二者而隔開。 As shown in the top view 202 of FIG. 2B, the one or more gates extend The extension 107e protrudes outward from the sidewall of the base region 107b in the first direction 114 , and the base region 107b extends beyond the one or more gate extensions 107e in the second direction 116 . Adjacent ones of the one or more gate extensions 107e are separated in the second direction 116 by both the drift region 110 and portions of at least two of the one or more isolation structures 112 . separated.

在一些實施例中,所述一個或多個隔離結構112沿第一方向114從接觸閘極介電質105的第一端連續地延伸到接觸汲極區108的第二端。在一些實施例中,所述一個或多個閘極延伸部107e通過所述一個或多個隔離結構112而與汲極區108隔開。在此種實施例中,所述一個或多個閘極延伸部107e與所述一個或多個隔離結構112的一端隔開非零距離d。在各種實施例中,非零距離d可介於近似400μm與近似1,000μm之間、近似400μm與近似750μm之間、近似250μm與近似500μm之間、或者其他合適的值的範圍內。 In some embodiments, the one or more isolation structures 112 extend continuously in the first direction 114 from a first end contacting the gate dielectric 105 to a second end contacting the drain region 108 . In some embodiments, the one or more gate extensions 107e are separated from the drain region 108 by the one or more isolation structures 112 . In such an embodiment, the one or more gate extensions 107e are separated from one end of the one or more isolation structures 112 by a non-zero distance d . In various embodiments, the non-zero distance d may be within a range of between approximately 400 μm and approximately 1,000 μm, between approximately 400 μm and approximately 750 μm, between approximately 250 μm and approximately 500 μm, or other suitable values.

圖2C示出沿圖2B的剖面線B-B'截取的積體晶片的剖視圖216。 Figure 2C shows a cross-sectional view 216 of the integrated wafer taken along section line BB ' of Figure 2B.

如剖視圖216中所示,所述一個或多個隔離結構112設置在由基底102的內表面102i形成的溝渠218內。閘極延伸部107e設置在由所述一個或多個隔離結構112的內表面112i形成的附加溝渠220內。這使得所述一個或多個閘極延伸部107e沿第二方向116通過漂移區110及所述一個或多個隔離結構112而彼此隔開。 As shown in cross-sectional view 216 , the one or more isolation structures 112 are disposed within trenches 218 formed by the inner surface 102 i of the substrate 102 . The gate extensions 107e are disposed within additional trenches 220 formed by the inner surfaces 112i of the one or more isolation structures 112 . This causes the one or more gate extensions 107e to be separated from each other in the second direction 116 by the drift region 110 and the one or more isolation structures 112 .

如圖2A的剖視圖200及圖2C的剖視圖216中所示,沿漂移區110與井區109和/或基底102之間的p-n接面存在空乏區214。空乏區214使得沿p-n接面形成電場。由於施加到源極區 104、汲極區108和/或閘極電極107的偏置電壓,因此在電晶體裝置的操作期間電場增加。然而,所述一個或多個閘極延伸部107e能夠產生沿p-n接面使電荷擴展的電場。 As shown in cross-sectional view 200 of FIG. 2A and cross-sectional view 216 of FIG. 2C , depletion regions 214 exist along the p-n junction between drift region 110 and well region 109 and/or substrate 102 . The depletion region 214 allows the formation of an electric field along the p-n junction. applied to the source region 104, the bias voltage of the drain region 108 and/or the gate electrode 107, and thus the electric field increases during operation of the transistor device. However, the one or more gate extensions 107e can generate an electric field that spreads charge along the p-n junction.

舉例來說,圖2D示出在高電壓電晶體裝置的操作期間,沿圖2B的剖面線B-B'截取的積體晶片的剖視圖222。 For example, Figure 2D shows a cross-sectional view 222 of the integrated wafer taken along section line BB ' of Figure 2B during operation of the high voltage transistor device.

如圖2D的剖視圖222中所示,在操作期間,可向所述一個或多個閘極延伸部107e施加偏置電壓。偏置電壓使得所述一個或多個閘極延伸部107e形成延伸到井區109及漂移區110中的電場。由於井區109的摻雜類型及漂移區110的摻雜類型,因此電場使得在井區109內及漂移區110內累積具有相反極性的電荷224及226。舉例來說,在一些實施例中,可在井區109內累積負電荷224且可在漂移區110內累積正電荷226。所述一個或多個閘極延伸部107e可沿第二方向116且超出所述一個或多個閘極延伸部107e中的最外一者來使電荷224及226擴展。使電荷224及226擴展可增大空乏區214沿第二方向116的寬度且減輕沿基底102表面的電場中的尖峰(例如,使得p-n接面上方的表面電場小於與裝置的擊穿電壓對應的臨界電場)。通過減小沿基底102表面的電場中的尖峰,高電壓電晶體裝置的擊穿電壓會增大。 As shown in cross-sectional view 222 of FIG. 2D, during operation, a bias voltage may be applied to the one or more gate extensions 107e. The bias voltage causes the one or more gate extensions 107e to form an electric field that extends into the well region 109 and the drift region 110 . Due to the doping type of well region 109 and the doping type of drift region 110 , the electric field causes charges 224 and 226 of opposite polarities to accumulate within well region 109 and within drift region 110 . For example, in some embodiments, negative charge 224 may be accumulated within well region 109 and positive charge 226 may be accumulated within drift region 110 . The one or more gate extensions 107e may spread charges 224 and 226 along the second direction 116 and beyond the outermost one of the one or more gate extensions 107e. Extending the charges 224 and 226 may increase the width of the depletion region 214 along the second direction 116 and alleviate spikes in the electric field along the surface of the substrate 102 (eg, making the surface electric field over the p-n junction less than that corresponding to the breakdown voltage of the device) critical electric field). By reducing the spikes in the electric field along the surface of the substrate 102, the breakdown voltage of the high voltage transistor device can be increased.

圖3示出具有高電壓電晶體裝置的積體晶片300的一些附加實施例的剖視圖,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷式閘極電極。 3 shows a cross-sectional view of some additional embodiments of an integrated wafer 300 with high voltage transistor devices including recessed gate electrodes with gate extensions.

積體晶片300包括在基底102的上表面下方凹陷式閘極電極107。閘極電極107通過閘極介電質105且通過一個或多個隔離結構112而與基底102隔開。閘極電極107包括基礎區107b及 一個或多個閘極延伸部107e,基礎區107b設置在閘極介電質105之上,所述一個或多個閘極延伸部107e從基礎區107b向外突出到所述一個或多個隔離結構112之上。閘極介電質105沿基礎區107b的側壁及下表面延伸。所述一個或多個隔離結構112沿所述一個或多個閘極延伸部107e的側壁及下表面延伸。 The integrated wafer 300 includes recessed gate electrodes 107 below the upper surface of the substrate 102 . Gate electrode 107 is separated from substrate 102 by gate dielectric 105 and by one or more isolation structures 112 . The gate electrode 107 includes the base region 107b and One or more gate extensions 107e, the base region 107b disposed over the gate dielectric 105, the one or more gate extensions 107e projecting outward from the base region 107b to the one or more isolations above structure 112 . The gate dielectric 105 extends along the sidewalls and the lower surface of the base region 107b. The one or more isolation structures 112 extend along sidewalls and a lower surface of the one or more gate extensions 107e.

在一些實施例中,所述一個或多個隔離結構112沿所述一個或多個閘極延伸部107e的底部可具有與沿所述一個或多個閘極延伸部107e的側壁不同的厚度(例如,更大的厚度)。在一些實施例中,所述一個或多個隔離結構112可從所述一個或多個閘極延伸部107e的底部在垂直方向上延伸到閘極介電質105的最底表面下方。在一些附加實施例中,所述一個或多個隔離結構112可從沿閘極介電質105的頂部延伸的水平面在垂直方向上延伸到閘極介電質105的最底表面下方。 In some embodiments, the one or more isolation structures 112 may have a different thickness ( e.g. greater thickness). In some embodiments, the one or more isolation structures 112 may extend in a vertical direction from the bottom of the one or more gate extensions 107e to below the bottommost surface of the gate dielectric 105 . In some additional embodiments, the one or more isolation structures 112 may extend in a vertical direction from a horizontal plane extending along the top of the gate dielectric 105 to below the bottommost surface of the gate dielectric 105 .

在一些實施例中,閘極介電質105可在所述一個或多個隔離結構112的部分而不是全部正上方在側向上延伸。在一些此種實施例中,閘極介電質105可對所述一個或多個隔離結構112的上表面及內側壁加襯(line)。在一些附加實施例中,閘極介電質105可延伸到所述一個或多個隔離結構112的上表面下方非零距離302。在此種實施例中,閘極介電質105也可對所述一個或多個隔離結構112的最外側壁加襯。 In some embodiments, gate dielectric 105 may extend laterally directly over portions, but not all, of the one or more isolation structures 112 . In some such embodiments, the gate dielectric 105 may line the upper surface and inner sidewalls of the one or more isolation structures 112 . In some additional embodiments, the gate dielectric 105 may extend a non-zero distance 302 below the upper surface of the one or more isolation structures 112 . In such an embodiment, the gate dielectric 105 may also line the outermost sidewalls of the one or more isolation structures 112 .

在一些實施例中,閘極介電質105可包括在基礎區107b與所述一個或多個閘極延伸部107e之間從閘極介電質105的上表面向外延伸的突起304。在一些實施例中,突起304延伸到所述一個或多個閘極延伸部107e的底表面上方。在一些實施例中,突起 304可具有使得突起304的寬度隨上表面之上的高度增加而減小的錐形側壁。突起304可為用於形成所述一個或多個閘極延伸部107e的蝕刻製程的結果。舉例來說,在製作期間,可沿所述一個或多個隔離結構112的傾斜側壁形成閘極介電質105。隨後可對所述一個或多個隔離結構112進行蝕刻以形成從所述一個或多個隔離結構112內延伸到傾斜側壁的閘極延伸溝渠。閘極介電質105的過蝕刻將使得閘極介電質105在傾斜側壁的頂部下方凹陷,從而產生突起304。在其他實施例(未示出)中,蝕刻製程可對閘極介電質105進行蝕刻超出傾斜側壁,使得傾斜側壁上的閘極介電質105被完全移除,且所得的閘極介電質105具有與隔離結構112的側壁隔開非零距離的外側壁,所述隔離結構112的側壁位於所述一個或多個隔離結構112的上表面之上。 In some embodiments, gate dielectric 105 may include protrusions 304 extending outwardly from the upper surface of gate dielectric 105 between base region 107b and the one or more gate extensions 107e. In some embodiments, protrusions 304 extend above the bottom surface of the one or more gate extensions 107e. In some embodiments, the protrusions 304 may have tapered sidewalls such that the width of protrusion 304 decreases with increasing height above the upper surface. The protrusions 304 may be the result of the etching process used to form the one or more gate extensions 107e. For example, during fabrication, gate dielectric 105 may be formed along the sloped sidewalls of the one or more isolation structures 112 . The one or more isolation structures 112 may then be etched to form gate extension trenches extending from within the one or more isolation structures 112 to the sloped sidewalls. Over-etching of gate dielectric 105 will cause gate dielectric 105 to recess under the tops of the sloped sidewalls, creating protrusions 304 . In other embodiments (not shown), the etch process may etch the gate dielectric 105 beyond the sloped sidewalls such that the gate dielectric 105 on the sloped sidewalls is completely removed and the resulting gate dielectric The mass 105 has outer sidewalls that are spaced a non-zero distance from the sidewalls of the isolation structures 112 overlying the upper surfaces of the one or more isolation structures 112 .

在一些實施例中,在閘極電極107的相對的外邊緣之上設置有一個或多個介電結構306。在一些實施例中,所述一個或多個介電結構306從位於基礎區107b的正上方的第一外邊緣連續地延伸到位於源極區104的正上方的第二外邊緣。在一些實施例中,所述一個或多個介電結構306從位於閘極電極107的所述一個或多個閘極延伸部107e的正上方的第三外邊緣連續地延伸到位於汲極區108的正上方的第四外邊緣。在一些實施例中,所述一個或多個介電結構306可在閘極電極107的相對的邊緣之上延伸非零距離310。在一些實施例中,非零距離310可介於近似200Å與近似600Å之間、近似350Å與近似500Å之間、或者其他合適的值的範圍內。在一些實施例中,所述一個或多個介電結構306可包含一種或多種介電材料,例如氧化物、氮化物等。 In some embodiments, one or more dielectric structures 306 are disposed over opposing outer edges of gate electrode 107 . In some embodiments, the one or more dielectric structures 306 extend continuously from a first outer edge directly above the base region 107b to a second outer edge directly above the source region 104 . In some embodiments, the one or more dielectric structures 306 extend continuously from a third outer edge directly above the one or more gate extensions 107e of the gate electrode 107 to the drain region The fourth outer edge just above the 108. In some embodiments, the one or more dielectric structures 306 may extend a non-zero distance 310 over opposing edges of the gate electrode 107 . In some embodiments, the non-zero distance 310 may range between approximately 200 Å and approximately 600 Å, between approximately 350 Å and approximately 500 Å, or other suitable values. In some embodiments, the one or more dielectric structures 306 may include one or more dielectric materials, such as oxides, nitrides, and the like.

沿源極區104的上表面、汲極區108的上表面及閘極電極107的上表面排列有矽化物(silicide)308。矽化物308被配置成提供與導電內連件(導電接觸件210到內連線212)的低電阻連接。在各種實施例中,矽化物308可包含矽化鎳、矽化鈦等。在一些實施例中,矽化物308的外邊緣與源極區104的外邊緣、汲極區108的外邊緣及閘極電極107的外邊緣在側向上隔開,使得源極區104、汲極區108及閘極電極107的位於所述一個或多個介電結構306的正下方的部分可不含矽化物308。 A silicide 308 is arranged along the upper surface of the source region 104 , the upper surface of the drain region 108 and the upper surface of the gate electrode 107 . The silicide 308 is configured to provide a low resistance connection to the conductive interconnects (conductive contacts 210 to interconnects 212). In various embodiments, the silicide 308 may include nickel silicide, titanium silicide, or the like. In some embodiments, the outer edge of silicide 308 is laterally spaced from the outer edge of source region 104, the outer edge of drain region 108, and the outer edge of gate electrode 107, such that source region 104, drain The portion of region 108 and gate electrode 107 directly below the one or more dielectric structures 306 may be free of silicide 308 .

接觸蝕刻終止層(contact etch stop layer,CESL)312在垂直方向上將基底102及所述一個或多個介電結構306與第一層間介電(ILD)層208a隔開。在一些實施例中,CESL 312和/或第一ILD層208a從所述一個或多個介電結構306的正上方延伸到所述一個或多個介電結構306的側壁。在第一ILD層208a上設置有第二ILD層208b。 A contact etch stop layer (CESL) 312 vertically separates the substrate 102 and the one or more dielectric structures 306 from the first interlayer dielectric (ILD) layer 208a. In some embodiments, the CESL 312 and/or the first ILD layer 208a extend from directly above the one or more dielectric structures 306 to the sidewalls of the one or more dielectric structures 306 . A second ILD layer 208b is provided on the first ILD layer 208a.

圖4示出具有高電壓電晶體裝置的積體晶片400的一些附加實施例的俯視圖,所述高電壓電晶體裝置包括具有閘極延伸部的閘極電極。 4 shows a top view of some additional embodiments of an integrated wafer 400 having a high voltage transistor device including a gate electrode with a gate extension.

積體晶片400包括具有基礎區107b及一個或多個閘極延伸部107e的閘極電極107。所述一個或多個閘極延伸部107e沿第一方向114從基礎區107b向外突出到所述一個或多個隔離結構112內。所述一個或多個閘極延伸部107e沿與第一方向114垂直的第二方向116彼此隔開。 The integrated wafer 400 includes a gate electrode 107 having a base region 107b and one or more gate extensions 107e. The one or more gate extensions 107e protrude outwardly from the base region 107b into the one or more isolation structures 112 along the first direction 114 . The one or more gate extensions 107e are spaced apart from each other along a second direction 116 perpendicular to the first direction 114 .

在一些實施例中,所述一個或多個隔離結構112可以間距402沿第二方向116排列,而所述一個或多個閘極延伸部107e 中的最接近的閘極延伸部107e被隔開大於間距402的距離404。在此種實施例中,所述一個或多個閘極延伸部107e中的最接近的閘極延伸部107e被不包括閘極延伸部的隔離結構隔開。舉例來說,在一些實施例中,所述一個或多個閘極延伸部107e可包括第一閘極延伸部107e1及第二閘極延伸部107e2,第二閘極延伸部107e2是最靠近第一閘極延伸部107e1的閘極延伸部。第一閘極延伸部107e1設置在第一隔離結構112a內且第二閘極延伸部107e2設置在第二隔離結構112b內。不環繞閘極延伸部的第三隔離結構112c將第一閘極延伸部107e1與第二閘極延伸部107e2隔開。 In some embodiments, the one or more isolation structures 112 may be aligned along the second direction 116 at a pitch 402 with the closest gate extension 107e of the one or more gate extensions 107e being spaced apart The distance 404 is greater than the spacing 402 . In such an embodiment, the closest gate extension 107e of the one or more gate extensions 107e is separated by an isolation structure that does not include a gate extension. For example, in some embodiments, the one or more gate extensions 107e may include a first gate extension 107e 1 and a second gate extension 107e 2 that are The gate extension closest to the first gate extension 107e1. The first gate extension 107e 1 is disposed in the first isolation structure 112a and the second gate extension 107e 2 is disposed in the second isolation structure 112b. A third isolation structure 112c that does not surround the gate extension separates the first gate extension 107e 1 from the second gate extension 107e 2 .

圖5A到圖5B示出具有高電壓電晶體裝置的積體晶片的一些附加實施例,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷式閘極電極。 5A-5B illustrate some additional embodiments of integrated wafers having high voltage transistor devices including recessed gate electrodes with gate extensions.

如圖5A的剖視圖500中所示(沿圖5B的剖面線A-A'截取),積體晶片包括設置在基底102之上的閘極電極107。閘極電極107包括基礎區107b及一個或多個閘極延伸部107e,所述一個或多個閘極延伸部107e從基礎區107b向外突出到一個或多個隔離結構112之上。閘極介電質105沿基礎區107b的側壁及下表面及所述一個或多個閘極延伸部107e的側壁及下表面連續地延伸。閘極介電質105在垂直方向上及在側向上將所述一個或多個閘極延伸部107e與所述一個或多個隔離結構112隔開。 As shown in cross-sectional view 500 of FIG. 5A (taken along section line AA of FIG. 5B ), the integrated wafer includes gate electrodes 107 disposed over substrate 102 . Gate electrode 107 includes a base region 107b and one or more gate extensions 107e that protrude outwardly from base region 107b over one or more isolation structures 112 . The gate dielectric 105 extends continuously along the sidewalls and lower surface of the base region 107b and the sidewalls and lower surface of the one or more gate extensions 107e. Gate dielectric 105 separates the one or more gate extensions 107e from the one or more isolation structures 112 vertically and laterally.

如圖5B的俯視圖502中所示,閘極介電質105以閉合且不間斷的環圍繞閘極電極107的外周延伸。通過利用閘極介電質105環繞基礎區107b及所述一個或多個閘極延伸部107二者,可從用於形成電晶體裝置的製作過程消除一個或多個處理步驟 (例如,一個或多個微影和/或蝕刻製程)。通過從用於形成電晶體裝置的製作過程消除一個或多個處理步驟,可降低形成積體晶片的成本。 As shown in the top view 502 of FIG. 5B , the gate dielectric 105 extends around the periphery of the gate electrode 107 in a closed and unbroken loop. By surrounding both the base region 107b and the one or more gate extensions 107 with the gate dielectric 105, one or more processing steps may be eliminated from the fabrication process used to form the transistor device (eg, one or more lithography and/or etching processes). By eliminating one or more processing steps from the fabrication process used to form the transistor device, the cost of forming an integrated wafer may be reduced.

圖6A到圖6B示出具有高電壓電晶體裝置的積體晶片的一些附加實施例,所述高電壓電晶體裝置包括具有閘極延伸部的閘極電極。 6A-6B illustrate some additional embodiments of integrated wafers having high voltage transistor devices including gate electrodes with gate extensions.

如圖6A的剖視圖600中所示(沿圖6B的剖面線A-A'截取),積體晶片包括具有基礎區107b及一個或多個閘極延伸部107e的閘極電極107。閘極介電質105沿基礎區107b的側壁及下表面延伸。基礎區107b從基底102的上表面102u向外突出。所述一個或多個閘極延伸部107e從位於基底102的上表面102u之上的基礎區107b的側壁向外突出到一個或多個隔離結構112的正上方。 As shown in cross-sectional view 600 of FIG. 6A (taken along section line AA ' of FIG. 6B ), the integrated wafer includes a gate electrode 107 having a base region 107b and one or more gate extensions 107e. The gate dielectric 105 extends along the sidewalls and the lower surface of the base region 107b. The base region 107b protrudes outward from the upper surface 102u of the substrate 102 . The one or more gate extensions 107e protrude outwardly from the sidewall of the base region 107b above the upper surface 102u of the substrate 102 to just above the one or more isolation structures 112 .

如圖6B的俯視圖602中所示(沿圖6A的剖面線B-B'截取),閘極介電質105以閉合且不間斷的環圍繞基礎區107b的外周延伸。通過使所述一個或多個閘極延伸部107e從位於基底102的上表面102u之上的基礎區107b的側壁向外突出,可從用於形成電晶體裝置的製作過程消除一個或多個處理步驟(例如,一個或多個微影和/或蝕刻製程)。通過從用於形成電晶體裝置的製作過程消除一個或多個處理步驟,可降低形成積體晶片的成本。 As shown in top view 602 of FIG. 6B (taken along section line BB ' of FIG. 6A ), gate dielectric 105 extends around the periphery of base region 107b in a closed and uninterrupted ring. By having the one or more gate extensions 107e protrude outward from the sidewalls of the base region 107b located above the upper surface 102u of the substrate 102, one or more processes may be eliminated from the fabrication process used to form the transistor device steps (eg, one or more lithography and/or etching processes). By eliminating one or more processing steps from the fabrication process used to form the transistor device, the cost of forming an integrated wafer may be reduced.

圖7示出具有高電壓電晶體裝置區及週邊邏輯區的積體晶片700的一些實施例的剖視圖。 7 illustrates a cross-sectional view of some embodiments of an integrated wafer 700 having high voltage transistor device regions and surrounding logic regions.

高電壓電晶體裝置區702包括高電壓電晶體裝置,所述高電壓電晶體裝置包括設置在源極區104與汲極區108之間的閘 極電極107。閘極電極107具有基礎區107b及從基礎區107b向外延伸的一個或多個閘極延伸部107e。 High voltage transistor device region 702 includes a high voltage transistor device including a gate disposed between source region 104 and drain region 108 Pole electrode 107 . The gate electrode 107 has a base region 107b and one or more gate extensions 107e extending outward from the base region 107b.

在閘極電極107的相對的邊緣之上設置有一個或多個介電結構306。所述一個或多個介電結構306分別包含第一介電材料706及位於第一介電材料706之上的第二介電材料708。在一些實施例中,第三介電材料710可沿第一介電材料706的最外側壁及第二介電材料708的最外側壁延伸。在一些實施例中,第一介電材料706與第二介電材料708可包含不同的介電材料,而第三介電材料710可為與第一介電材料706或第二介電材料708相同的介電材料。在各種實施例中,第一介電材料706、第二介電材料708及第三介電材料710可包含氧化物(例如,二氧化矽)、氮化物(例如,氮化矽)、碳化物(例如,碳化矽)等中的一者或多者。 One or more dielectric structures 306 are disposed over opposing edges of the gate electrode 107 . The one or more dielectric structures 306 include a first dielectric material 706 and a second dielectric material 708 overlying the first dielectric material 706, respectively. In some embodiments, the third dielectric material 710 may extend along the outermost sidewalls of the first dielectric material 706 and the outermost sidewalls of the second dielectric material 708 . In some embodiments, the first dielectric material 706 and the second dielectric material 708 may comprise different dielectric materials, and the third dielectric material 710 may be the same as the first dielectric material 706 or the second dielectric material 708 the same dielectric material. In various embodiments, the first dielectric material 706, the second dielectric material 708, and the third dielectric material 710 may include oxides (eg, silicon dioxide), nitrides (eg, silicon nitride), carbides (eg, silicon carbide), and the like.

週邊邏輯區704包括一個或多個附加電晶體裝置。所述一個或多個附加電晶體裝置包括閘極結構712,所述閘極結構712排列在源極區714與汲極區716之間且在側向上被一個或多個側壁間隔件728環繞。閘極結構712包括將閘極電極722與基底102隔開的閘極介電結構717。在閘極電極722之上可設置有一個或多個上覆的介電層724到726。在一些實施例中,閘極介電結構717可包含第一閘極介電材料718及位於第一閘極介電材料718之上的第二閘極介電材料720。在一些實施例中,第一閘極介電材料718可為與第一介電材料706相同的材料,第二閘極介電材料720可為與第二介電材料708相同的材料,且所述一個或多個側壁間隔件728可為與第三介電材料710相同的材料。在一些實施例中,第一閘極介電材料718可具有與第一介電材料706實質上相同的 厚度且第二閘極介電材料720可具有與第二介電材料708實質上相同的厚度。 Peripheral logic region 704 includes one or more additional transistor devices. The one or more additional transistor devices include gate structures 712 arranged between source regions 714 and drain regions 716 and laterally surrounded by one or more sidewall spacers 728 . The gate structure 712 includes a gate dielectric structure 717 separating the gate electrode 722 from the substrate 102 . One or more overlying dielectric layers 724 - 726 may be disposed over the gate electrode 722 . In some embodiments, the gate dielectric structure 717 may include a first gate dielectric material 718 and a second gate dielectric material 720 overlying the first gate dielectric material 718 . In some embodiments, the first gate dielectric material 718 may be the same material as the first dielectric material 706, the second gate dielectric material 720 may be the same material as the second dielectric material 708, and all The one or more sidewall spacers 728 may be the same material as the third dielectric material 710 . In some embodiments, the first gate dielectric material 718 may have substantially the same value as the first dielectric material 706 thickness and the second gate dielectric material 720 may have substantially the same thickness as the second dielectric material 708 .

圖8示出具有高電壓電晶體裝置的積體晶片800的一些附加實施例的俯視圖,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷式閘極電極。 8 shows a top view of some additional embodiments of an integrated wafer 800 with high voltage transistor devices including recessed gate electrodes with gate extensions.

積體晶片800包括在相對側上被源極區104a到104b環繞的汲極區108。閘極結構106a到106b也沿汲極區108的相對側設置且分別將汲極區108與源極區104a到104b隔開。閘極結構106a到106b分別包括基礎區107及從基礎區107b向外朝汲極區108延伸的一個或多個閘極延伸部107e。在一些實施例中,本體區802a到802b可通過源極區104a到104b而與閘極結構106a到106b隔開。 Integrated wafer 800 includes drain region 108 surrounded on opposite sides by source regions 104a-b. Gate structures 106a-106b are also disposed along opposite sides of drain region 108 and separate drain region 108 from source regions 104a-104b, respectively. Gate structures 106a-106b include a base region 107 and one or more gate extensions 107e extending outwardly from base region 107b toward drain region 108, respectively. In some embodiments, the body regions 802a-802b may be separated from the gate structures 106a-106b by the source regions 104a-104b.

在一些實施例中,源極區104a到104b電耦合在一起且閘極結構106a到106b電耦合在一起。在一些附加實施例中,閘極結構106a到106b、源極區104a到104b、及本體區802a到802b關於平分汲極區108的線804實質上對稱。 In some embodiments, the source regions 104a-104b are electrically coupled together and the gate structures 106a-106b are electrically coupled together. In some additional embodiments, gate structures 106a-106b, source regions 104a-104b, and body regions 802a-802b are substantially symmetrical about line 804 that bisects drain region 108.

在操作期間,通過閘極介電質105及所述一個或多個STI區112二者將漂移區110內的電荷與閘極電極107內的電荷隔開。由於閘極電極延伸部107e在側向上使漂移區110內的電荷擴展,因此閘極電極延伸部107e會增大漂移區110與閘極電極107之間的電容。 During operation, the charge within the drift region 110 is separated from the charge within the gate electrode 107 by both the gate dielectric 105 and the one or more STI regions 112 . Since the gate electrode extension 107e expands the charges in the drift region 110 in the lateral direction, the gate electrode extension 107e increases the capacitance between the drift region 110 and the gate electrode 107 .

圖9A到圖9B示出具有高電壓電晶體裝置的積體晶片的一些附加實施例,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷式閘極電極。 9A-9B illustrate some additional embodiments of integrated wafers having high voltage transistor devices including recessed gate electrodes with gate extensions.

如圖9A的剖視圖900中所示,在源極區104與汲極區108之間在基底102內設置有閘極電極107。閘極電極107包括被閘極介電質105環繞的基礎區107b及被一個或多個隔離結構112環繞的一個或多個閘極延伸部107e。在一些實施例中,閘極電極107延伸到基底102中達第一深度902。在一些實施例中,第一深度902可介於近似200Å與近似800Å之間、近似500Å與近似700Å之間、或者其他合適的值的範圍內。在一些實施例中,閘極介電質105可具有介於近似700Å與近似1,000Å之間、近似800Å與近似900Å之間、或者其他合適的值的範圍內的厚度904。 As shown in the cross-sectional view 900 of FIG. 9A , a gate electrode 107 is disposed within the substrate 102 between the source region 104 and the drain region 108 . Gate electrode 107 includes a base region 107b surrounded by gate dielectric 105 and one or more gate extensions 107e surrounded by one or more isolation structures 112 . In some embodiments, gate electrode 107 extends into substrate 102 to first depth 902 . In some embodiments, the first depth 902 may range between approximately 200 Å and approximately 800 Å, between approximately 500 Å and approximately 700 Å, or other suitable values. In some embodiments, the gate dielectric 105 may have a thickness 904 in a range between approximately 700 Å and approximately 1,000 Å, between approximately 800 Å and approximately 900 Å, or other suitable values.

在一些實施例中,源極區104及汲極區108在側向上被一個或多個附加隔離結構906環繞。所述一個或多個附加隔離結構906通過源極區104及汲極區108而與所述一個或多個隔離結構112隔開。在一些實施例中,延伸到基底102中達第二深度908的所述一個或多個隔離結構112與所述一個或多個附加隔離結構906實質上相同。在一些實施例中,第二深度908可介於近似2,000Å與近似3,000Å之間、近似2,000Å與近似2,500Å之間、或者其他合適的值的範圍內。如圖9B的俯視圖910中所示,在一些實施例中,所述一個或多個附加隔離結構906可以閉環方式包繞在電晶體裝置周圍。 In some embodiments, source region 104 and drain region 108 are laterally surrounded by one or more additional isolation structures 906 . The one or more additional isolation structures 906 are separated from the one or more isolation structures 112 by source regions 104 and drain regions 108 . In some embodiments, the one or more isolation structures 112 extending into the substrate 102 to the second depth 908 are substantially the same as the one or more additional isolation structures 906 . In some embodiments, the second depth 908 may range between approximately 2,000 Å and approximately 3,000 Å, between approximately 2,000 Å and approximately 2,500 Å, or other suitable values. As shown in the top view 910 of FIG. 9B, in some embodiments, the one or more additional isolation structures 906 may be wrapped around the transistor device in a closed-loop fashion.

圖10A到圖24示出形成具有高電壓電晶體裝置的積體晶片的方法的一些實施例,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷式閘極電極。儘管圖10A到圖24是針對一種方法進行闡述,但是應理解,圖10A到圖24中公開的結構並不僅限於這種方法,而是可作為獨立於所述方法的結構而單獨存在。 10A-24 illustrate some embodiments of a method of forming an integrated wafer having a high voltage transistor device including a recessed gate electrode with a gate extension. Although FIGS. 10A-24 are illustrated with respect to one method, it should be understood that the structures disclosed in FIGS. 10A-24 are not limited to this method, but may exist independently of the method described.

如圖10A的剖視圖1000中所示,將基底102圖案化以形成一個或多個隔離溝渠1002。在各種實施例中,基底102可為任何類型的半導體本體(例如,矽、SiGe、絕緣體上矽(silicon-on-insulator,SOI)等),例如半導體晶圓和/或位於晶圓上的一個或多個晶粒、以及與晶圓相關聯的任何其他類型的半導體和/或磊晶層。所述一個或多個隔離溝渠1002由基底102的側壁及水平延伸表面形成。如圖10B的俯視圖1012中所示,在一些實施例中,所述一個或多個隔離溝渠1002包括矩形形狀的溝渠,所述矩形形狀的溝渠沿第一方向114彼此平行延伸且沿與第一方向114垂直的第二方向116彼此隔開。 As shown in cross-sectional view 1000 of FIG. 10A , substrate 102 is patterned to form one or more isolation trenches 1002 . In various embodiments, the substrate 102 may be any type of semiconductor body (eg, silicon, SiGe, silicon-on-insulator (SOI), etc.), such as a semiconductor wafer and/or one on a wafer or multiple dies, and any other types of semiconductor and/or epitaxial layers associated with the wafer. The one or more isolation trenches 1002 are formed by sidewalls and horizontally extending surfaces of the substrate 102 . As shown in the top view 1012 of FIG. 10B , in some embodiments, the one or more isolation trenches 1002 include rectangular-shaped trenches that extend parallel to each other in the first direction 114 and along the first direction 114 . The second directions 116, which are perpendicular to the direction 114, are spaced apart from each other.

在一些實施例中,可通過根據第一遮蔽層1006選擇性地將基底102暴露到第一蝕刻劑1004來形成所述一個或多個隔離溝渠1002。在一些實施例中,第一遮蔽層1006可包含硬罩幕,所述硬罩幕包括第一硬罩幕層1008及位於第一硬罩幕層1008之上的第二硬罩幕層1010。在一些實施例中,第一硬罩幕層1008包含第一介電材料(例如,氧化物、氮化物等)且第二硬罩幕層1010包含與第一介電材料不同的第二介電材料(例如,氧化物、氮化物等)。在一些實施例中,第一蝕刻劑1004可包括乾蝕刻劑。舉例來說,在一些實施例中,第一蝕刻劑1004可包括氧蝕刻劑。 In some embodiments, the one or more isolation trenches 1002 may be formed by selectively exposing the substrate 102 to the first etchant 1004 in accordance with the first masking layer 1006 . In some embodiments, the first masking layer 1006 may comprise a hard mask including a first hard mask layer 1008 and a second hard mask layer 1010 overlying the first hard mask layer 1008 . In some embodiments, the first hard mask layer 1008 includes a first dielectric material (eg, oxide, nitride, etc.) and the second hard mask layer 1010 includes a second dielectric that is different from the first dielectric material Materials (eg, oxides, nitrides, etc.). In some embodiments, the first etchant 1004 may comprise a dry etchant. For example, in some embodiments, the first etchant 1004 may comprise an oxygen etchant.

如圖11A的剖視圖1100中所示,在所述一個或多個隔離溝渠1002內形成隔離結構112。如圖11B的俯視圖1102中所示,所述一個或多個隔離結構112沿第二方向116彼此隔開。在一些實施例中,可通過在所述一個或多個隔離溝渠1002內形成一種或多種介電材料來形成所述一個或多個隔離結構112。在一些實 施例中,所述一種或多種介電材料可包含氧化物、氮化物等。在一些實施例中,所述一種或多種介電材料可通過沉積製程(例如,化學氣相沉積(chemical vapor deposition,CVD)製程、電漿增強型CVD製程等)形成。在一些實施例中,可在移除整個第一遮蔽層(圖10A的1006)之前,在所述一個或多個隔離溝渠1002內形成所述一種或多種介電材料。隨後可執行平坦化製程(例如,化學機械平坦化製程),以在側向上從所述一個或多個隔離溝渠1002之外移除多餘的介電材料。在一些實施例中,所述一個或多個隔離結構112可與在相鄰的電晶體裝置之間提供隔離的附加隔離結構(未示出)的形成(例如,如圖9A到圖9B中所示)同時形成。 As shown in cross-sectional view 1100 of FIG. 11A , isolation structures 112 are formed within the one or more isolation trenches 1002 . As shown in the top view 1102 of FIG. 11B , the one or more isolation structures 112 are spaced apart from each other along the second direction 116 . In some embodiments, the one or more isolation structures 112 may be formed by forming one or more dielectric materials within the one or more isolation trenches 1002 . in some real In embodiments, the one or more dielectric materials may include oxides, nitrides, and the like. In some embodiments, the one or more dielectric materials may be formed by a deposition process (eg, chemical vapor deposition (CVD) process, plasma enhanced CVD process, etc.). In some embodiments, the one or more dielectric materials may be formed within the one or more isolation trenches 1002 prior to removing the entire first masking layer (1006 of FIG. 10A). A planarization process (eg, a chemical mechanical planarization process) may then be performed to laterally remove excess dielectric material from outside the one or more isolation trenches 1002 . In some embodiments, the one or more isolation structures 112 may be formed with additional isolation structures (not shown) that provide isolation between adjacent transistor devices (eg, as shown in FIGS. 9A-9B ). shown) formed at the same time.

如圖12A的剖視圖1200中所示,在基底102內形成閘極基礎凹槽1202。在一些實施例中,閘極基礎凹槽1202也可延伸到所述一個或多個隔離結構112內。在一些實施例中,閘極基礎凹槽1202延伸到基底102中達第一深度1208,第一深度1208小於所述一個或多個隔離結構112的第二深度1210。閘極基礎凹槽1202由基底102的一個或多個側壁1202s1及水平延伸表面1202h1形成。在一些實施例中,閘極基礎凹槽1202可進一步由所述一個或多個隔離結構112的一個或多個側壁1202s2及水平延伸表面1202h2形成。如圖12B的俯視圖1212中所示,閘極基礎凹槽1202在第二方向116上連續地延伸超出所述一個或多個隔離結構112的相對側壁。 As shown in cross-sectional view 1200 of FIG. 12A , gate base grooves 1202 are formed within substrate 102 . In some embodiments, the gate base recess 1202 may also extend into the one or more isolation structures 112 . In some embodiments, the gate base recess 1202 extends into the substrate 102 to a first depth 1208 that is less than the second depth 1210 of the one or more isolation structures 112 . Gate base recess 1202 is formed by one or more sidewalls 1202s 1 and horizontally extending surface 1202h 1 of substrate 102 . In some embodiments, gate base recess 1202 may be further formed by one or more sidewalls 1202s 2 and horizontally extending surface 1202h 2 of the one or more isolation structures 112 . As shown in the top view 1212 of FIG. 12B , the gate base grooves 1202 extend continuously in the second direction 116 beyond opposing sidewalls of the one or more isolation structures 112 .

在一些實施例中,可通過根據第二遮蔽層1206選擇性地將基底102暴露到第二蝕刻劑1204來形成閘極基礎凹槽1202。 在各種實施例中,第二遮蔽層1206可包含硬罩幕層、光敏材料(例如,微影膠)等。在一些實施例中,第二蝕刻劑1204可包括乾蝕刻劑。舉例來說,在一些實施例中,第二蝕刻劑1204可包括氧電漿蝕刻劑。 In some embodiments, the gate base recess 1202 may be formed by selectively exposing the substrate 102 to the second etchant 1204 according to the second masking layer 1206 . In various embodiments, the second masking layer 1206 may include a hard mask layer, a photosensitive material (eg, lithography glue), and the like. In some embodiments, the second etchant 1204 may comprise a dry etchant. For example, in some embodiments, the second etchant 1204 may comprise an oxygen plasma etchant.

如圖13A的剖視圖1300及圖13B的俯視圖1306中所示,在基底102內形成井區109及漂移區110。漂移區110在側向上環繞所述一個或多個隔離結構112且在垂直方向上延伸到所述一個或多個隔離結構112下方。井區109在垂直方向上和/或在側向上鄰接漂移區110。在一些實施例中,可通過向基底102中注入第一摻雜劑物種(dopant species)來形成井區109且隨後可通過根據第三遮蔽層1304向基底102中注入第二摻雜劑物種1302來形成漂移區110。在各種實施例中,第一摻雜劑物種可包括第一摻雜類型(例如,由例如硼、鋁等p型摻雜劑形成)且第二摻雜劑物種1302可包括第二摻雜類型(例如,由例如磷、砷等n型摻雜劑形成)。在一些實施例中,第三遮蔽層1304可包含光敏材料(例如,微影膠)。在一些替代實施例中,可在形成所述一個或多個隔離結構112之前形成井區109和/或漂移區110。 As shown in cross-sectional view 1300 of FIG. 13A and top view 1306 of FIG. 13B , well region 109 and drift region 110 are formed within substrate 102 . The drift region 110 laterally surrounds the one or more isolation structures 112 and extends vertically below the one or more isolation structures 112 . The well region 109 adjoins the drift region 110 vertically and/or laterally. In some embodiments, well region 109 may be formed by implanting a first dopant species into substrate 102 and then may be formed by implanting a second dopant species 1302 into substrate 102 according to third shielding layer 1304 to form the drift region 110 . In various embodiments, the first dopant species may include a first doping type (eg, formed from p-type dopants such as boron, aluminum, etc.) and the second dopant species 1302 may include a second doping type (For example, formed from n-type dopants such as phosphorous, arsenic, etc.). In some embodiments, the third shielding layer 1304 may include a photosensitive material (eg, lithographic glue). In some alternative embodiments, the well region 109 and/or the drift region 110 may be formed prior to forming the one or more isolation structures 112 .

如圖14A的剖視圖1400及圖14B的俯視圖1402中所示,在基底102之上形成閘極介電質105。在一些實施例中,閘極介電質105形成在閘極基礎凹槽1202內、以及基底102及所述一個或多個隔離結構112之上。在一些實施例中,閘極介電質105可包含氧化物、氮化物等。在一些實施例中,閘極介電質105可通過沉積製程(例如,CVD製程、PE-CVD製程等)形成。 As shown in cross-sectional view 1400 of FIG. 14A and top view 1402 of FIG. 14B , gate dielectric 105 is formed over substrate 102 . In some embodiments, gate dielectric 105 is formed within gate base recess 1202 and over substrate 102 and the one or more isolation structures 112 . In some embodiments, gate dielectric 105 may include oxides, nitrides, or the like. In some embodiments, the gate dielectric 105 may be formed by a deposition process (eg, a CVD process, a PE-CVD process, etc.).

如圖15A的剖視圖1500中所示,在所述一個或多個隔 離結構112內形成一個或多個閘極延伸溝渠1502。所述一個或多個閘極延伸溝渠1502延伸到所述一個或多個隔離結構112中達小於第二深度1210的第三深度1504。在一些實施例中,第三深度1504也可小於閘極基礎凹槽1202的第一深度1208。在一些實施例中,所述一個或多個隔離結構112延伸超出所述一個或多個閘極延伸溝渠1502距離d,使得所述一個或多個閘極延伸溝渠1502由所述一個或多個隔離結構112的側壁及水平延伸表面形成。圖15B示出圖15A的剖視圖1500的俯視圖1510。如俯視圖1510中所示,所述一個或多個閘極延伸溝渠1502從閘極基礎凹槽1202的不同位置向外延伸。 As shown in the cross-sectional view 1500 of FIG. 15A , one or more gate extension trenches 1502 are formed within the one or more isolation structures 112 . The one or more gate extension trenches 1502 extend into the one or more isolation structures 112 to a third depth 1504 that is less than the second depth 1210 . In some embodiments, the third depth 1504 may also be less than the first depth 1208 of the gate base recess 1202 . In some embodiments, the one or more isolation structures 112 extend beyond the one or more gate extension trenches 1502 by a distance d such that the one or more gate extension trenches 1502 are separated by the one or more gate extension trenches 1502 Sidewalls and horizontally extending surfaces of the isolation structures 112 are formed. Figure 15B shows a top view 1510 of the cross-sectional view 1500 of Figure 15A. As shown in top view 1510 , the one or more gate extension trenches 1502 extend outward from various locations of gate base recess 1202 .

在一些實施例中,可通過根據第四遮蔽層1508選擇性地將閘極介電質105及所述一個或多個隔離結構112暴露到第三蝕刻劑1506來形成所述一個或多個閘極延伸溝渠1502。在各種實施例中,第四遮蔽層1508可包括硬罩幕層、光敏材料(例如,微影膠)等。在一些實施例中,第三蝕刻劑1506可包括乾蝕刻劑。在一些替代實施例(未示出)中,閘極延伸溝渠1502可與閘極基礎凹槽1202同時形成。在一些此種實施例中,可使用在矽與氧化矽之間具有相對低的蝕刻選擇性的蝕刻劑(例如,包含CF4的乾蝕刻劑)。圖15C示出在移除第四遮蔽層1508之後,圖15A的剖視圖的三維視圖1512及圖15B的俯視圖1510。 In some embodiments, the one or more gates may be formed by selectively exposing the gate dielectric 105 and the one or more isolation structures 112 to the third etchant 1506 according to the fourth masking layer 1508 Pole extension trench 1502. In various embodiments, the fourth masking layer 1508 may include a hard mask layer, a photosensitive material (eg, lithography glue), and the like. In some embodiments, the third etchant 1506 may comprise a dry etchant. In some alternative embodiments (not shown), the gate extension trench 1502 may be formed concurrently with the gate base recess 1202 . In some such embodiments, etchants with relatively low etch selectivity between silicon and silicon oxide (eg, dry etchants including CF4 ) may be used. 15C shows a three-dimensional view 1512 of the cross-sectional view of FIG. 15A and a top view 1510 of FIG. 15B after the fourth masking layer 1508 has been removed.

如圖16A的剖視圖1600及圖16B的俯視圖1604中所示,在閘極基礎凹槽1202內及所述一個或多個閘極延伸溝渠1502內形成閘極材料1602。在一些實施例中,閘極材料1602可被形成為從閘極基礎凹槽1202及所述一個或多個閘極延伸溝渠1502內 延伸到基底102的上表面的正上方。在一些實施例中,閘極材料1602可包括多晶矽、金屬等。在一些實施例中,閘極材料1602可通過沉積製程(例如,CVD製程、PE-CVD製程等)和/或鍍覆製程(例如,電鍍製程、無電鍍覆製程等)形成。 As shown in cross-sectional view 1600 of FIG. 16A and top view 1604 of FIG. 16B , gate material 1602 is formed within gate base recess 1202 and within the one or more gate extension trenches 1502 . In some embodiments, gate material 1602 may be formed from gate base recess 1202 and within the one or more gate extension trenches 1502 extends to just above the upper surface of the substrate 102 . In some embodiments, gate material 1602 may include polysilicon, metal, or the like. In some embodiments, gate material 1602 may be formed by deposition processes (eg, CVD processes, PE-CVD processes, etc.) and/or plating processes (eg, electroplating processes, electroless plating processes, etc.).

如圖17A的剖視圖1700中所示,通過從基底102之上移除多餘的閘極材料(圖16的1602)及閘極介電質105,沿線1702執行平坦化製程以形成閘極電極107。如圖17B的俯視圖1704中所示,閘極電極107包括基礎區107b及在側向上從形成基礎區107b的閘極電極107的側壁向外突出到所述一個或多個隔離結構112的正上方的一個或多個閘極延伸部107e。在一些實施例中,平坦化製程可包括化學機械平坦化(chemical mechanical planarization,CMP)製程。 As shown in cross-sectional view 1700 of FIG. 17A , a planarization process is performed along line 1702 to form gate electrode 107 by removing excess gate material ( 1602 of FIG. 16 ) and gate dielectric 105 from above substrate 102 . As shown in the top view 1704 of FIG. 17B , the gate electrode 107 includes a base region 107b and protrudes laterally outwardly from the sidewall of the gate electrode 107 forming the base region 107b to just above the one or more isolation structures 112 one or more gate extensions 107e. In some embodiments, the planarization process may include a chemical mechanical planarization (CMP) process.

如圖18的剖視圖1800中所示,在基底102之上形成閘極堆疊1802。閘極堆疊1802延伸超過閘極電極107的相對側。在一些實施例中,閘極堆疊1802可包含第一介電材料706、位於第一介電材料706之上的第二介電材料708、位於第二介電材料708之上的閘極電極材料1804、位於閘極電極材料1804之上的第三介電材料1806、以及位於第三介電材料1806之上的第四介電材料1808。 As shown in cross-sectional view 1800 of FIG. 18 , a gate stack 1802 is formed over substrate 102 . Gate stack 1802 extends beyond opposite sides of gate electrode 107 . In some embodiments, the gate stack 1802 may include a first dielectric material 706 , a second dielectric material 708 overlying the first dielectric material 706 , a gate electrode material overlying the second dielectric material 708 1804 , a third dielectric material 1806 overlying the gate electrode material 1804 , and a fourth dielectric material 1808 overlying the third dielectric material 1806 .

如圖19的剖視圖1900中所示,將閘極堆疊(圖18的1802)圖案化以形成圖案化閘極堆疊1902。在一些實施例中,在將閘極堆疊(圖18的1802)圖案化之後,沿圖案化閘極堆疊1902的相對側形成一個或多個側壁間隔件1904。圖案化閘極堆疊1902暴露出基底102的位於閘極電極107的相對側上的源極區域1906 及汲極區域1908。在一些實施例(未示出)中,可將閘極堆疊圖案化以在基底的另一部分(例如,如圖7中所示)上在週邊邏輯區中形成附加閘極堆疊。 As shown in cross-sectional view 1900 of FIG. 19 , the gate stack ( 1802 of FIG. 18 ) is patterned to form patterned gate stack 1902 . In some embodiments, after the gate stack ( 1802 of FIG. 18 ) is patterned, one or more sidewall spacers 1904 are formed along opposite sides of the patterned gate stack 1902 . The patterned gate stack 1902 exposes the source region 1906 of the substrate 102 on the opposite side of the gate electrode 107 and drain region 1908. In some embodiments (not shown), the gate stacks may be patterned to form additional gate stacks in peripheral logic regions on another portion of the substrate (eg, as shown in FIG. 7 ).

如圖20的剖視圖2000中所示,向基底102中注入一種或多種摻雜劑物種2002,以在閘極電極107的相對側上形成源極區104及汲極區108。在一些實施例中,可根據圖案化閘極堆疊1902向基底102中選擇性地注入所述一種或多種摻雜劑物種2002。在此種實施例中,在源極區域1906內形成源極區104且在汲極區域1908內形成汲極區108。在各種實施例中,所述一種或多種摻雜劑物種2002可包括n型摻雜劑(例如,磷、砷等)或p型摻雜劑(例如,硼、鋁等)。在一些實施例中,可在向基底102中注入所述一種或多種摻雜劑物種2002之後執行退火,以將摻雜劑進一步驅入到基底102中。 As shown in cross-sectional view 2000 of FIG. 20 , one or more dopant species 2002 are implanted into substrate 102 to form source regions 104 and drain regions 108 on opposite sides of gate electrode 107 . In some embodiments, the one or more dopant species 2002 may be selectively implanted into the substrate 102 according to the patterned gate stack 1902 . In such an embodiment, source region 104 is formed within source region 1906 and drain region 108 is formed within drain region 1908 . In various embodiments, the one or more dopant species 2002 may include n-type dopants (eg, phosphorous, arsenic, etc.) or p-type dopants (eg, boron, aluminum, etc.). In some embodiments, an anneal may be performed after implanting the one or more dopant species 2002 into the substrate 102 to drive the dopants further into the substrate 102 .

如圖21的剖視圖2100中所示,對圖案化閘極堆疊(圖20的1902)執行平坦化製程(沿線2102),以移除圖案化閘極堆疊的一層或多層且形成介電堆疊2104。在一些實施例中,平坦化製程移除閘極電極材料(圖18的1804)、第三介電材料(圖18的1806)及第四介電材料(圖18的1808)。在一些實施例中,平坦化製程可包括化學機械拋光(CMP)製程。 As shown in cross-sectional view 2100 of FIG. 21 , a planarization process (along line 2102 ) is performed on the patterned gate stack ( 1902 of FIG. 20 ) to remove one or more layers of the patterned gate stack and form a dielectric stack 2104 . In some embodiments, the planarization process removes the gate electrode material (1804 of FIG. 18), the third dielectric material (1806 of FIG. 18), and the fourth dielectric material (1808 of FIG. 18). In some embodiments, the planarization process may include a chemical mechanical polishing (CMP) process.

如圖22的剖視圖2200中所示,可對介電堆疊(圖21的2104)選擇性地進行蝕刻以移除介電堆疊的部分。在一些實施例中,不從閘極介電質105之上移除介電堆疊,以防止對閘極介電質105造成損壞。在此種實施例中,對介電堆疊進行蝕刻會形成一個或多個介電結構306,所述一個或多個介電結構306覆蓋閘 極介電質105的至少一個最上表面且具有形成開口2204的側壁,所述開口2204延伸穿過所述一個或多個介電結構306以暴露出閘極電極107的上表面。在一些實施例中,可通過在介電堆疊之上形成第五遮蔽層2202且隨後將介電堆疊的未被遮蔽的部分暴露到移除介電堆疊的未被遮蔽的部分的蝕刻劑2206來對介電堆疊(圖21的2104)選擇性地進行蝕刻。 As shown in cross-sectional view 2200 of FIG. 22, the dielectric stack (2104 of FIG. 21) may be selectively etched to remove portions of the dielectric stack. In some embodiments, the dielectric stack is not removed from over the gate dielectric 105 to prevent damage to the gate dielectric 105 . In such an embodiment, etching the dielectric stack forms one or more dielectric structures 306 that cover the gate At least one uppermost surface of the dielectric 105 has sidewalls forming openings 2204 extending through the one or more dielectric structures 306 to expose the upper surface of the gate electrode 107 . In some embodiments, this can be achieved by forming a fifth masking layer 2202 over the dielectric stack and then exposing the unmasked portion of the dielectric stack to an etchant 2206 that removes the unmasked portion of the dielectric stack The dielectric stack (2104 of Figure 21) is selectively etched.

如圖23的剖視圖2300中所示,執行自對準矽化物製程(salicide process)。自對準矽化物製程沿源極區104的上表面、汲極區108的上表面及閘極電極107的上表面形成矽化物308。在一些實施例中,矽化物308相對於被所述一個或多個介電結構306覆蓋的源極區104的邊緣、汲極區108的邊緣及閘極電極107的邊緣在側向上向回定型。在一些實施例中,自對準矽化物製程可通過向源極區104、汲極區108及閘極電極107中沉積金屬(例如,鋁)、之後進行高溫退火來執行。 As shown in cross-sectional view 2300 of FIG. 23, a salicide process is performed. The salicide process forms silicide 308 along the upper surface of the source region 104 , the upper surface of the drain region 108 and the upper surface of the gate electrode 107 . In some embodiments, the silicide 308 is back-shaped laterally relative to the edge of the source region 104, the edge of the drain region 108, and the edge of the gate electrode 107 covered by the one or more dielectric structures 306 . In some embodiments, the salicide process may be performed by depositing metal (eg, aluminum) into the source region 104, drain region 108, and gate electrode 107, followed by a high temperature anneal.

如圖24的剖視圖2400中所示,在基底102之上形成層間介電(ILD)結構208,且在ILD結構208內形成多個導電內連件(導電接觸件210到內連線212)。在一些實施例中,ILD結構208可包括形成在基底102之上的多個堆疊的ILD層。在一些實施例(未示出)中,所述多個堆疊的ILD層被蝕刻終止層(未示出)隔開。在一些實施例中,所述多個導電內連件可包括導電接觸件210及內連線212。在一些實施例中,所述多個導電內連件(導電接觸件210到內連線212)可通過以下方式形成:在基底102之上形成所述一個或多個ILD層(例如,氧化物、低介電常數介電質或超低介電常數介電質)中的一者;對ILD層選擇性地進行 蝕刻以在ILD層內形成通孔孔洞和/或溝渠;在通孔孔洞和/或溝渠內形成導電材料(例如,銅、鋁等);以及執行平坦化製程(例如,化學機械平坦化製程)。 As shown in cross-sectional view 2400 of FIG. 24 , an interlayer dielectric (ILD) structure 208 is formed over substrate 102 , and a plurality of conductive interconnects (conductive contacts 210 to interconnects 212 ) are formed within ILD structure 208 . In some embodiments, the ILD structure 208 may include a plurality of stacked ILD layers formed over the substrate 102 . In some embodiments (not shown), the plurality of stacked ILD layers are separated by an etch stop layer (not shown). In some embodiments, the plurality of conductive interconnects may include conductive contacts 210 and interconnects 212 . In some embodiments, the plurality of conductive interconnects (conductive contacts 210 to interconnects 212 ) may be formed by forming the one or more ILD layers (eg, oxide) over the substrate 102 , a low-k dielectric, or an ultra-low-k dielectric); selectively perform the ILD layer Etching to form via holes and/or trenches within the ILD layer; forming conductive material (eg, copper, aluminum, etc.) within the via holes and/or trenches; and performing a planarization process (eg, a chemical mechanical planarization process) .

圖25示出形成具有高電壓電晶體裝置的積體晶片的方法2500的一些實施例的流程圖,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷式閘極電極。 25 shows a flowchart of some embodiments of a method 2500 of forming an integrated wafer with high voltage transistor devices including recessed gate electrodes with gate extensions.

儘管所公開的方法2500在本文中被示出及闡述為一系列動作或事件,然而應理解,這些動作或事件的示出順序不應被解釋為具有限制性意義。舉例來說,某些動作可以不同的順序發生,和/或可與除本文中所示和/或所闡述的動作或事件之外的其他動作或事件同時發生。另外,在實施本文說明的一個或多個方面或實施例時可能並非需要所有所示動作。此外,本文中所繪示的動作中的一個或多個動作可在一個或多個單獨的動作和/或階段中施行。 Although the disclosed method 2500 is shown and described herein as a series of acts or events, it is to be understood that the order in which these acts or events are shown should not be construed in a limiting sense. For example, certain acts may occur in a different order, and/or may occur concurrently with other acts or events than those shown and/or described herein. Additionally, not all illustrated acts may be required to implement one or more aspects or embodiments described herein. Furthermore, one or more of the actions depicted herein may be performed in one or more separate actions and/or phases.

在動作2502處,在基底內形成一個或多個隔離結構。圖10A到圖11B示出與動作2502對應的一些實施例的剖視圖1000及1100以及俯視圖1012及1102。 At act 2502, one or more isolation structures are formed within the substrate. FIGS. 10A-11B illustrate cross-sectional views 1000 and 1100 and top views 1012 and 1102 of some embodiments corresponding to act 2502 .

在動作2504處,對基底選擇性地進行蝕刻以在基底內形成閘極基礎凹槽。圖12A到圖12B示出與動作2504對應的一些實施例的剖視圖1200及俯視圖1212。 At act 2504, the substrate is selectively etched to form gate base recesses within the substrate. FIGS. 12A-12B illustrate a cross-sectional view 1200 and a top view 1212 of some embodiments corresponding to act 2504 .

在動作2506處,在基底內形成井區及漂移區。圖13A到圖13B示出與動作2506對應的一些實施例的剖視圖1300及俯視圖1306。 At act 2506, a well region and a drift region are formed within the substrate. FIGS. 13A-13B illustrate a cross-sectional view 1300 and a top view 1306 of some embodiments corresponding to act 2506 .

在動作2508處,在閘極基極凹槽內及所述一個或多個 隔離結構之上形成閘極介電質。圖14A到圖14B示出與動作2508對應的一些實施例的剖視圖1400及俯視圖1402。 At act 2508, within the gate base recess and the one or more A gate dielectric is formed over the isolation structure. FIGS. 14A-14B illustrate a cross-sectional view 1400 and a top view 1402 of some embodiments corresponding to act 2508 .

在動作2510處,形成從閘極基礎凹槽向外延伸到所述一個或多個隔離結構內的一個或多個閘極延伸溝渠。圖15A到圖15C示出與動作2510對應的一些實施例的剖視圖1500、俯視圖1510及三維視圖1512。 At act 2510, one or more gate extension trenches are formed extending outwardly from the gate base recess into the one or more isolation structures. 15A-15C illustrate a cross-sectional view 1500 , a top view 1510 , and a three-dimensional view 1512 of some embodiments corresponding to act 2510 .

在動作2512處,在閘極基礎凹槽及所述一個或多個閘極延伸溝渠內形成閘極電極。圖16A到圖17B示出與動作2512對應的一些實施例的剖視圖1600及1700以及俯視圖1604及1704。 At act 2512, a gate electrode is formed within the gate base recess and the one or more gate extension trenches. FIGS. 16A-17B illustrate cross-sectional views 1600 and 1700 and top views 1604 and 1704 of some embodiments corresponding to act 2512 .

在動作2514處,在閘極電極之上形成閘極堆疊。圖18示出與動作2514對應的一些實施例的剖視圖1800。 At act 2514, a gate stack is formed over the gate electrode. FIG. 18 shows a cross-sectional view 1800 of some embodiments corresponding to act 2514 .

在動作2516處,將閘極堆疊圖案化,以在閘極電極之上形成圖案化閘極堆疊。圖19示出與動作2516對應的一些實施例的剖視圖1900。 At act 2516, the gate stack is patterned to form a patterned gate stack over the gate electrode. FIG. 19 shows a cross-sectional view 1900 of some embodiments corresponding to act 2516 .

在動作2518處,根據圖案化閘極堆疊對基底進行注入,以在閘極電極的相對側上形成源極區及汲極區。圖20示出與動作2518對應的一些實施例的剖視圖2000。 At act 2518, the substrate is implanted according to the patterned gate stack to form source and drain regions on opposite sides of the gate electrode. FIG. 20 shows a cross-sectional view 2000 of some embodiments corresponding to act 2518 .

在動作2520處,從圖案化閘極堆疊移除一層或多層以形成介電堆疊。圖21示出與動作2520對應的一些實施例的剖視圖2100。 At act 2520, one or more layers are removed from the patterned gate stack to form a dielectric stack. FIG. 21 shows a cross-sectional view 2100 of some embodiments corresponding to act 2520 .

在動作2522處,將介電堆疊圖案化以形成覆蓋閘極介電質的一個或多個介電結構。圖22示出與動作2522對應的一些實施例的剖視圖2200。 At act 2522, the dielectric stack is patterned to form one or more dielectric structures overlying the gate dielectric. 22 shows a cross-sectional view 2200 of some embodiments corresponding to act 2522.

在動作2524處,執行自對準矽化物製程。圖23示出與動作2524對應的一些實施例的剖視圖2300。 At act 2524, a salicide process is performed. 23 shows a cross-sectional view 2300 of some embodiments corresponding to act 2524.

在動作2526處,在閘極電極之上形成的層間介電(ILD)層內形成一個或多個導電接觸件。圖24示出與動作2526對應的一些實施例的剖視圖2400。 At act 2526, one or more conductive contacts are formed within an interlayer dielectric (ILD) layer formed over the gate electrode. FIG. 24 shows a cross-sectional view 2400 of some embodiments corresponding to act 2526 .

因此,在一些實施例中,本公開關於一種包括電晶體裝置的積體晶片,所述電晶體裝置具有閘極結構,所述閘極結構具有被配置成向電晶體裝置提供高擊穿電壓的閘極延伸部。 Accordingly, in some embodiments, the present disclosure is directed to an integrated wafer including a transistor device having a gate structure having a gate structure configured to provide a high breakdown voltage to the transistor device gate extension.

在一些實施例中,本公開關於一種積體晶片。所述積體晶片包括:源極區,設置在基底內;汲極區,設置在所述基底內且沿第一方向與所述源極區隔開;漂移區,在所述源極區與所述汲極區之間設置在所述基底內;多個隔離結構,設置在所述漂移區內;以及閘極電極,設置在所述基底內,所述閘極電極具有基礎區及多個閘極延伸部,所述基礎區設置在所述源極區與所述漂移區之間,所述多個閘極延伸部從所述基礎區的側壁向外延伸到所述多個隔離結構之上。在一些實施例中,所述多個隔離結構具有外側壁,所述外側壁沿與所述第一方向垂直的第二方向與所述漂移區隔開。在一些實施例中,所述多個隔離結構沿與所述第一方向垂直的第二方向分別延伸超出所述多個閘極延伸部中的相應的閘極延伸部的相對側。在一些實施例中,所述多個閘極延伸部沿與所述第一方向垂直的第二方向通過所述多個隔離結構且通過所述漂移區而彼此隔開。在一些實施例中,所述多個隔離結構位於所述多個閘極延伸部與所述汲極區之間。在一些實施例中,所述積體晶片更包括:閘極介電質,沿所述閘極電極的所述基礎區 的側壁及下表面設置,所述多個隔離結構具有與所述閘極介電質的側壁直接接觸的側壁。在一些實施例中,所述積體晶片更包括:閘極介電質,沿所述閘極電極的所述基礎區的側壁及下表面設置,所述多個隔離結構沿所述基底的上表面從所述閘極介電質連續地延伸到所述汲極區。在一些實施例中,所述多個隔離結構包含設置在所述基底中的溝渠內的一種或多種介電材料;且所述多個閘極延伸部設置在由所述多個隔離結構的內表面形成的附加溝渠內。在一些實施例中,所述積體晶片更包括:閘極介電質,沿所述閘極電極的所述基礎區的側壁及下表面設置;一個或多個介電結構,設置在所述閘極電極的相對的外邊緣之上以及所述閘極介電質之上;以及層間介電質(ILD),設置在所述一個或多個介電結構之上且沿所述一個或多個介電結構的側壁設置。 In some embodiments, the present disclosure pertains to an integrated wafer. The integrated wafer includes: a source region disposed in a substrate; a drain region disposed in the substrate and spaced apart from the source region along a first direction; a drift region, in the source region and the source region The drain regions are arranged in the substrate; a plurality of isolation structures are arranged in the drift region; and a gate electrode is arranged in the substrate, the gate electrode has a base region and a plurality of a gate extension part, the base region is disposed between the source region and the drift region, and the plurality of gate extension parts extend outward from the sidewall of the base region to between the plurality of isolation structures superior. In some embodiments, the plurality of isolation structures have outer sidewalls spaced from the drift region in a second direction perpendicular to the first direction. In some embodiments, the plurality of isolation structures extend beyond opposite sides of respective gate extensions of the plurality of gate extensions in a second direction perpendicular to the first direction, respectively. In some embodiments, the plurality of gate extensions are separated from each other by the plurality of isolation structures and by the drift region along a second direction perpendicular to the first direction. In some embodiments, the plurality of isolation structures are located between the plurality of gate extensions and the drain region. In some embodiments, the integrated wafer further includes: a gate dielectric, along the base region of the gate electrode The sidewalls and the lower surface of the isolation structures are provided, and the plurality of isolation structures have sidewalls that are in direct contact with the sidewalls of the gate dielectric. In some embodiments, the integrated chip further includes: a gate dielectric disposed along sidewalls and a lower surface of the base region of the gate electrode, and the plurality of isolation structures along the upper surface of the base A surface extends continuously from the gate dielectric to the drain region. In some embodiments, the plurality of isolation structures comprise one or more dielectric materials disposed within trenches in the substrate; and the plurality of gate extensions are disposed within the plurality of isolation structures within additional trenches formed on the surface. In some embodiments, the integrated chip further includes: a gate dielectric disposed along the sidewall and lower surface of the base region of the gate electrode; one or more dielectric structures disposed on the gate electrode over opposing outer edges of gate electrodes and over the gate dielectric; and an interlayer dielectric (ILD) disposed over the one or more dielectric structures and along the one or more dielectric structures The sidewalls of the dielectric structures are provided.

在其他實施例中,本公開關於一種積體晶片。所述積體晶片包括:源極區,設置在基底內;汲極區,設置在所述基底內;閘極介電質,對所述基底的內表面加襯;閘極電極,設置在所述源極區與所述汲極區之間且具有基礎區及多個閘極延伸部,所述基礎區位於所述閘極介電質之上,所述多個閘極延伸部從所述閘極電極的所述基礎區的側壁向外朝所述汲極區突出;以及多個隔離結構,在所述閘極介電質與所述汲極區之間連續地延伸,所述多個隔離結構分別環繞所述多個閘極延伸部中的一者。在一些實施例中,所述積體晶片更包括:漂移區,在所述基礎區與所述汲極區之間設置在所述基底內,所述多個隔離結構通過所述漂移區而彼此隔開。在一些實施例中,所述漂移區沿第一方向及沿與所述第一方向垂直的第二方向延伸超出所述多個隔離結構的相對 側。在一些實施例中,所述積體晶片更包括:一個或多個介電結構,設置在所述閘極電極的相對的外邊緣之上;層間介電質(ILD),設置在所述一個或多個介電結構之上且沿所述一個或多個介電結構的側壁設置;以及矽化物,沿所述閘極電極的上表面排列,所述一個或多個介電結構覆蓋所述閘極電極的位於所述矽化物之外的一個或多個部分。在一些實施例中,所述一個或多個介電結構分別包含第一介電材料、位於所述第一介電材料之上的第二介電材料及沿所述第一介電材料的側壁及所述第二介電材料的側壁的第三介電材料。在一些實施例中,所述基礎區延伸到所述基底的上表面下方第一深度,且所述多個閘極延伸部延伸到所述基底的所述上表面下方第二深度,所述第二深度小於所述第一深度。在一些實施例中,所述多個隔離結構在所述基底內延伸到比所述閘極介電質大的深度。在一些實施例中,所述閘極介電質包括排列在所述基礎區與所述多個閘極延伸部中的閘極延伸部之間的突起,所述突起從所述基礎區的上表面向外延伸到所述閘極延伸部的底部上方。在一些實施例中,所述多個閘極延伸部中的閘極延伸部的底表面與所述閘極介電質的上表面及所述多個隔離結構中的隔離結構的上表面二者接觸。 In other embodiments, the present disclosure relates to an integrated wafer. The integrated wafer includes: a source region, arranged in a substrate; a drain region, arranged in the substrate; a gate dielectric, lining the inner surface of the substrate; and a gate electrode, arranged in the substrate There is a base region and a plurality of gate extensions between the source region and the drain region, the base region is located on the gate dielectric, and the plurality of gate extensions extend from the gate sidewalls of the base region of the gate electrode protrude outward toward the drain region; and a plurality of isolation structures extending continuously between the gate dielectric and the drain region, the plurality of isolation structures An isolation structure surrounds one of the plurality of gate extensions, respectively. In some embodiments, the integrated wafer further includes: a drift region disposed in the substrate between the base region and the drain region, and the plurality of isolation structures are separated from each other by the drift region separated. In some embodiments, the drift region extends beyond opposite sides of the plurality of isolation structures in a first direction and in a second direction perpendicular to the first direction side. In some embodiments, the integrated wafer further includes: one or more dielectric structures disposed over opposing outer edges of the gate electrodes; an interlayer dielectric (ILD) disposed over the one above and along the sidewalls of the one or more dielectric structures; and a silicide, arranged along the upper surface of the gate electrode, the one or more dielectric structures covering the One or more portions of the gate electrode outside the silicide. In some embodiments, the one or more dielectric structures each include a first dielectric material, a second dielectric material overlying the first dielectric material, and sidewalls along the first dielectric material and a third dielectric material on the sidewalls of the second dielectric material. In some embodiments, the base region extends to a first depth below the upper surface of the substrate, and the plurality of gate extensions extend to a second depth below the upper surface of the substrate, the first depth The second depth is less than the first depth. In some embodiments, the plurality of isolation structures extend to a greater depth within the substrate than the gate dielectric. In some embodiments, the gate dielectric includes protrusions arranged between the base region and gate extensions of the plurality of gate extensions, the protrusions extending from above the base region The surface extends outwardly above the bottom of the gate extension. In some embodiments, both the bottom surface of the gate extension of the plurality of gate extensions and the upper surface of the gate dielectric and the upper surface of the isolation structure of the plurality of isolation structures touch.

在再一些其他實施例中,本公開關於一種形成積體晶片的方法。所述方法包括:在基底內形成多個隔離結構;對所述基底選擇性地進行蝕刻,以在所述基底內形成閘極基礎凹槽;對所述多個隔離結構選擇性地進行蝕刻,以形成從所述閘極基礎凹槽向外延伸的多個閘極延伸溝渠;在所述閘極基礎凹槽及所述多個閘極延伸溝渠內形成導電材料,以形成閘極電極;以及在所述閘 極電極的相對側上形成源極區及汲極區。在一些實施例中,所述方法更包括:在對所述多個隔離結構選擇性地進行蝕刻以形成所述多個閘極延伸溝渠之前,在所述閘極基礎凹槽內形成閘極介電質。 In still other embodiments, the present disclosure relates to a method of forming an integrated wafer. The method includes: forming a plurality of isolation structures in a substrate; selectively etching the substrate to form gate base grooves in the substrate; selectively etching the plurality of isolation structures, forming a plurality of gate extension trenches extending outward from the gate base groove; forming a conductive material in the gate base groove and the plurality of gate extension trenches to form gate electrodes; and at the gate Source and drain regions are formed on opposite sides of the electrode electrode. In some embodiments, the method further comprises: forming a gate dielectric within the gate base recess before selectively etching the plurality of isolation structures to form the plurality of gate extension trenches Electricity.

以上概述了若干實施例的特徵,以使所屬領域中的技術人員可更好地理解本公開的各個方面。所屬領域中的技術人員應理解,他們可容易地使用本公開作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的和/或實現與本文中所介紹的實施例相同的優點。所屬領域中的技術人員還應認識到,這些等效構造並不背離本公開的精神及範圍,而且他們可在不背離本公開的精神及範圍的條件下在本文中作出各種改變、代替及變更。 The features of several embodiments have been summarized above so that those skilled in the art may better understand the various aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or implementing the embodiments described herein example of the same advantages. Those skilled in the art should also realize that these equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they can make various changes, substitutions and alterations herein without departing from the spirit and scope of the present disclosure .

100:積體晶片 100: Integrated wafer

102:基底 102: Substrate

102u:上表面 102u: upper surface

104:源極區 104: source region

105:閘極介電質 105: Gate dielectric

106:閘極結構 106: Gate structure

107:閘極電極 107: Gate electrode

107b:基礎區 107b: Base Area

107e:閘極延伸部 107e: Gate extension

108:汲極區 108: Drain region

109:井區 109: Well District

110:漂移區 110: Drift Zone

112:隔離結構 112: Isolation Structure

114:第一方向 114: First Direction

116:第二方向 116: Second direction

Claims (10)

一種積體晶片,包括:源極區,設置在基底內;汲極區,設置在所述基底內且沿第一方向與所述源極區隔開;漂移區,在所述源極區與所述汲極區之間設置在所述基底內且沿所述第一方向與所述源極區隔開;多個隔離結構,設置在所述漂移區內;以及閘極電極,設置在所述基底內,其中所述閘極電極包括基礎區及多個閘極延伸部,所述基礎區設置在所述源極區與所述漂移區之間,所述多個閘極延伸部從所述基礎區的側壁向外延伸到所述多個隔離結構之上,且其中所述多個隔離結構完全覆蓋所述多個閘極延伸部的朝向所述汲極區的側壁。 An integrated wafer, comprising: a source region, arranged in a substrate; a drain region, arranged in the substrate and separated from the source region along a first direction; a drift region, in the source region and the source region The drain regions are arranged in the substrate and separated from the source region along the first direction; a plurality of isolation structures are arranged in the drift region; and a gate electrode is arranged in the In the substrate, wherein the gate electrode includes a base region and a plurality of gate extensions, the base region is disposed between the source region and the drift region, and the gate extensions extend from the base region. The sidewalls of the base region extend outwardly over the plurality of isolation structures, and wherein the plurality of isolation structures completely cover the sidewalls of the plurality of gate extensions facing the drain region. 如請求項1所述的積體晶片,其中所述多個隔離結構沿與所述第一方向垂直的第二方向分別延伸超出所述多個閘極延伸部中的相應的閘極延伸部的相對側。 The integrated wafer of claim 1, wherein the plurality of isolation structures respectively extend beyond respective gate extensions of the plurality of gate extensions in a second direction perpendicular to the first direction opposite side. 如請求項1所述的積體晶片,其中所述多個隔離結構位於所述多個閘極延伸部與所述汲極區之間。 The integrated chip of claim 1, wherein the plurality of isolation structures are located between the plurality of gate extensions and the drain region. 如請求項1所述的積體晶片,更包括:閘極介電質,沿所述閘極電極的所述基礎區的側壁及下表面設置,其中所述多個隔離結構具有與所述閘極介電質的側壁接觸的側壁。 The integrated chip of claim 1, further comprising: a gate dielectric disposed along sidewalls and a lower surface of the base region of the gate electrode, wherein the plurality of isolation structures have a connection with the gate electrode The sidewall of the polar dielectric sidewall contacts. 如請求項1所述的積體晶片,更包括:閘極介電質,沿所述閘極電極的所述基礎區的側壁及下表面設置,其中所述多個隔離結構沿所述基底的上表面從所述閘極介 電質連續地延伸到所述汲極區。 The integrated chip of claim 1, further comprising: a gate dielectric disposed along the sidewall and lower surface of the base region of the gate electrode, wherein the plurality of isolation structures are along the base upper surface from the gate dielectric The charge extends continuously to the drain region. 如請求項1所述的積體晶片,更包括:閘極介電質,沿所述閘極電極的所述基礎區的側壁及下表面設置;一個或多個介電結構,設置在所述閘極電極的相對的外邊緣之上以及所述閘極介電質之上;以及層間介電質,設置在所述一個或多個介電結構之上且沿所述一個或多個介電結構的側壁設置。 The integrated chip according to claim 1, further comprising: a gate dielectric, disposed along the sidewall and lower surface of the base region of the gate electrode; one or more dielectric structures disposed on the gate electrode over opposing outer edges of the gate electrode and over the gate dielectric; and an interlayer dielectric disposed over the one or more dielectric structures and along the one or more dielectric structures The side walls of the structure are provided. 一種積體晶片,包括:源極區,設置在基底內;汲極區,設置在所述基底內;閘極介電質,對所述基底的內表面加襯;閘極電極,設置在所述源極區與所述汲極區之間且包括基礎區及多個閘極延伸部,所述基礎區位於所述閘極介電質之上,其中所述多個閘極延伸部從所述閘極電極的所述基礎區的側壁向外朝所述汲極區突出;以及多個隔離結構,在所述閘極介電質與所述汲極區之間連續地延伸,其中所述多個隔離結構分別環繞所述多個閘極延伸部中的一者,且其中所述多個閘極延伸部中的閘極延伸部的底表面與所述閘極介電質的上表面及所述多個隔離結構中的隔離結構的上表面二者接觸。 An integrated wafer, comprising: a source region, arranged in a substrate; a drain region, arranged in the substrate; a gate dielectric, lining the inner surface of the substrate; and a gate electrode, arranged in the substrate Between the source region and the drain region and including a base region and a plurality of gate extensions, the base region is over the gate dielectric, wherein the plurality of gate extensions extend from the gate sidewalls of the base region of the gate electrode protrude outward toward the drain region; and a plurality of isolation structures extending continuously between the gate dielectric and the drain region, wherein the A plurality of isolation structures respectively surround one of the plurality of gate extensions, and wherein a bottom surface of the gate extension of the plurality of gate extensions and an upper surface of the gate dielectric and The upper surfaces of the isolation structures of the plurality of isolation structures are both in contact. 如請求項7所述的積體晶片,其中所述基礎區延伸到所述基底的上表面下方第一深度,且所述多個閘極延伸部延伸到所述基底的所述上表面下方第二深度,所述第二深度小於所述 第一深度。 The integrated wafer of claim 7, wherein the base region extends to a first depth below the upper surface of the substrate, and the plurality of gate extensions extend to a first depth below the upper surface of the substrate two depths, the second depth is less than the first depth. 如請求項7所述的積體晶片,其中所述閘極介電質包括排列在所述基礎區與所述多個閘極延伸部中的所述閘極延伸部之間的突起,所述突起從所述基礎區的上表面向外延伸到所述閘極延伸部的底部上方。 The integrated wafer of claim 7, wherein the gate dielectric includes protrusions arranged between the base region and the gate extension of the plurality of gate extensions, the A protrusion extends outwardly from the upper surface of the base region to above the bottom of the gate extension. 一種積體晶片的形成方法,包括:在基底內形成多個隔離結構;對所述基底選擇性地進行蝕刻,以在所述基底內形成閘極基礎凹槽;對所述多個隔離結構選擇性地進行蝕刻,以形成從所述閘極基礎凹槽向外延伸的多個閘極延伸溝渠,其中所述多個隔離結構完全覆蓋所述多個閘極延伸溝渠的遠離所述閘極基礎凹槽的側壁;在所述閘極基礎凹槽及所述多個閘極延伸溝渠內形成導電材料,以形成閘極電極;以及在所述閘極電極的相對側上形成源極區及汲極區。 A method for forming an integrated wafer, comprising: forming a plurality of isolation structures in a substrate; selectively etching the substrate to form gate base grooves in the substrate; selecting the plurality of isolation structures selectively etched to form a plurality of gate extension trenches extending outward from the gate base groove, wherein the plurality of isolation structures completely cover the plurality of gate extension trenches away from the gate base sidewalls of the recess; forming conductive material within the gate base recess and the plurality of gate extension trenches to form a gate electrode; and forming source and drain regions on opposite sides of the gate electrode polar region.
TW109128767A 2019-08-29 2020-08-24 Integrated chip and method of forming the same TWI777225B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962893340P 2019-08-29 2019-08-29
US62/893,340 2019-08-29
US16/921,075 2020-07-06
US16/921,075 US11329128B2 (en) 2019-08-29 2020-07-06 High voltage device with gate extensions

Publications (2)

Publication Number Publication Date
TW202109894A TW202109894A (en) 2021-03-01
TWI777225B true TWI777225B (en) 2022-09-11

Family

ID=74680256

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109128767A TWI777225B (en) 2019-08-29 2020-08-24 Integrated chip and method of forming the same

Country Status (3)

Country Link
KR (1) KR102404493B1 (en)
CN (1) CN112447851A (en)
TW (1) TWI777225B (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI790977B (en) * 2021-03-26 2023-01-21 世芯電子股份有限公司 Integrated circuit product and chip floorplan arrangement thereof
CN117276329A (en) * 2023-11-20 2023-12-22 深圳天狼芯半导体有限公司 LDMOS with trench gate and preparation method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6492678B1 (en) * 2000-05-03 2002-12-10 Linear Technology Corporation High voltage MOS transistor with gate extension
US20070087504A1 (en) * 2005-10-18 2007-04-19 Pham Tuan D Integration process flow for flash devices with low gap fill aspect ratio
US20130075803A1 (en) * 2011-09-27 2013-03-28 Tower Semiconductor Ltd. Flash-To-ROM Conversion
US20180145136A1 (en) * 2014-01-06 2018-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having isolation structure in well of substrate
US20180337273A1 (en) * 2017-05-16 2018-11-22 General Electric Company Semiconductor device layout and method for forming same

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5125288B2 (en) * 2007-07-25 2013-01-23 株式会社デンソー Horizontal MOS transistor and method of manufacturing the same
JP5280056B2 (en) * 2008-01-10 2013-09-04 シャープ株式会社 MOS field effect transistor
KR102385950B1 (en) * 2015-12-31 2022-04-13 에스케이하이닉스 시스템아이씨 주식회사 Lateral power integrated device having a low on resistance
KR102385949B1 (en) * 2015-12-31 2022-04-12 에스케이하이닉스 시스템아이씨 주식회사 Lateral power integrated device having a low on resistance

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6492678B1 (en) * 2000-05-03 2002-12-10 Linear Technology Corporation High voltage MOS transistor with gate extension
US20070087504A1 (en) * 2005-10-18 2007-04-19 Pham Tuan D Integration process flow for flash devices with low gap fill aspect ratio
US20130075803A1 (en) * 2011-09-27 2013-03-28 Tower Semiconductor Ltd. Flash-To-ROM Conversion
US20180145136A1 (en) * 2014-01-06 2018-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having isolation structure in well of substrate
US20180337273A1 (en) * 2017-05-16 2018-11-22 General Electric Company Semiconductor device layout and method for forming same

Also Published As

Publication number Publication date
TW202109894A (en) 2021-03-01
KR102404493B1 (en) 2022-06-07
KR20210028106A (en) 2021-03-11
CN112447851A (en) 2021-03-05

Similar Documents

Publication Publication Date Title
TWI772887B (en) Semiconductor device and method of forming the same
US20210280577A1 (en) Recessed STI as the Gate Dielectric of HV Device
JP6106310B2 (en) Hybrid active field gap extended drain MOS transistor
US9570545B2 (en) High voltage trench transistor
TWI725087B (en) Semiconductor structure and associated fabricating method
US11532621B2 (en) Metal gate modulation to improve kink effect
TWI680534B (en) Low on resistance high voltage metal oxide semiconductor transistor
TWI685107B (en) Integrated circuit and method for forming the same
TW201743445A (en) High voltage transistor device and method of producing the same
TWI488297B (en) Device and method for manufacturing the same
CN111129123B (en) Combined etch stop layer for contact field plate etching, integrated chip and forming method thereof
US20200020803A1 (en) Composite etch stop layer for contact field plate etching
TWI748271B (en) Integrated chip and method of forming the same
CN112825327A (en) Semiconductor structure and forming method thereof
TWI777225B (en) Integrated chip and method of forming the same
TW201937565A (en) Dual gate LDMOS and a process of forming thereof
US8492221B2 (en) Method for fabricating power semiconductor device with super junction structure
US11908891B2 (en) High voltage device with gate extensions
CN106206578B (en) Semiconductor structure and manufacturing method thereof
KR102350485B1 (en) Semiconductor device
TW202207314A (en) Semiconductor devices having multi-channel active regions
TWI802305B (en) Semiconductor structure and method for manufacturing buried field plates
TWI517403B (en) Lateral double diffused metal-oxide-semiconductor device and method for forming the same
TW202333298A (en) Semiconductor device and method of fabricating the same

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent