TW202109894A - Integrated chip and method of forming the same - Google Patents

Integrated chip and method of forming the same Download PDF

Info

Publication number
TW202109894A
TW202109894A TW109128767A TW109128767A TW202109894A TW 202109894 A TW202109894 A TW 202109894A TW 109128767 A TW109128767 A TW 109128767A TW 109128767 A TW109128767 A TW 109128767A TW 202109894 A TW202109894 A TW 202109894A
Authority
TW
Taiwan
Prior art keywords
gate
dielectric
region
substrate
isolation structures
Prior art date
Application number
TW109128767A
Other languages
Chinese (zh)
Other versions
TWI777225B (en
Inventor
陳志彬
劉銘棋
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/921,075 external-priority patent/US11329128B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202109894A publication Critical patent/TW202109894A/en
Application granted granted Critical
Publication of TWI777225B publication Critical patent/TWI777225B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7816Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/7825Lateral DMOS transistors, i.e. LDMOS transistors with trench gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • H01L29/0852Source or drain regions of field-effect devices of field-effect transistors with insulated gate of DMOS transistors
    • H01L29/0873Drain regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • H01L29/42368Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity the thickness being non-uniform
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66659Lateral single gate silicon transistors with asymmetry in the channel direction, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66681Lateral DMOS transistors, i.e. LDMOS transistors
    • H01L29/66704Lateral DMOS transistors, i.e. LDMOS transistors with a step of recessing the gate electrode, e.g. to form a trench gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7835Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with asymmetrical source and drain regions, e.g. lateral high-voltage MISFETs with drain offset region, extended drain MISFETs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region

Abstract

The present disclosure relates to an integrated chip. The integrated chip includes a source region disposed within a substrate and a drain region disposed within the substrate. The drain region is separated from the source region along a first direction. A drift region is disposed within the substrate between the source region and the drain region, and a plurality of isolation structures are disposed within the drift region. A gate electrode is disposed within the substrate. The gate electrode has a base region disposed between the source region and the drift region and a plurality of gate extensions extending outward from a sidewall of the base region to over the plurality of isolation structures.

Description

具有閘極延伸部的高電壓裝置High voltage device with gate extension

現今的積體晶片(integrated chip,IC)包括數百萬或數十億個形成在半導體基底(例如,矽)上的半導體裝置。視積體晶片(IC)的應用而定,積體晶片可使用許多不同類型的電晶體裝置。近年來,手機裝置(cellular device)及射頻(radio frequency,RF)裝置市場的日益增大已使得對高電壓電晶體(high voltage transistor)裝置的使用顯著增加。舉例來說,由於高電壓電晶體裝置能夠應對高擊穿電壓(例如,大於約50 V)及高頻,因此它們常常用在RF發射/接收鏈的功率放大器中。Today's integrated chip (IC) includes millions or billions of semiconductor devices formed on a semiconductor substrate (for example, silicon). Depending on the application of the integrated chip (IC), many different types of transistor devices can be used on the integrated chip. In recent years, the increasing market for cellular devices and radio frequency (RF) devices has led to a significant increase in the use of high voltage transistor devices. For example, since high voltage transistor devices can cope with high breakdown voltage (for example, greater than about 50 V) and high frequency, they are often used in power amplifiers in the RF transmit/receive chain.

以下公開內容提供用於實施所提供主題的不同特徵的許多不同的實施例或實例。以下闡述元件及排列的具體實例以簡化本公開。當然,這些僅為實例而非旨在進行限制。舉例來說,以下說明中將第一特徵形成在第二特徵之上或第二特徵上可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且也可包括其中第一特徵與第二特徵之間可形成有附加特徵從而使得所述第一特徵與所述第二特徵可不直接接觸的實施例。另外,本公開可能在各種實例中重複使用參考編號和/或字母。這種重複使用是出於簡潔及清晰的目的,而不是自身指示所論述的各種實施例和/或配置之間的關係。The following disclosure provides many different embodiments or examples for implementing different features of the provided subject matter. Specific examples of elements and arrangements are described below to simplify the present disclosure. Of course, these are only examples and not intended to be limiting. For example, the formation of the first feature on the second feature or the second feature in the following description may include an embodiment in which the first feature and the second feature are formed in direct contact, and may also include the first feature An embodiment in which an additional feature may be formed between the first feature and the second feature so that the first feature and the second feature may not directly contact. In addition, the present disclosure may reuse reference numbers and/or letters in various examples. This repeated use is for the purpose of brevity and clarity, rather than indicating the relationship between the various embodiments and/or configurations discussed by itself.

此外,為易於說明,本文中可能使用例如“在...之下(beneath)”、“在...下方(below)”、“下部的(lower)”、“在...上方(above)”、“上部的(upper)”等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的取向外還囊括裝置在使用或操作中的不同取向。設備可具有其他取向(旋轉90度或處於其他取向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。In addition, for ease of description, this article may use, for example, "beneath", "below", "lower", "above )", "upper" and other spatially relative terms are used to describe the relationship between one element or feature shown in the figure and another (other) element or feature. The terms of spatial relativity are intended to encompass different orientations of the device in use or operation in addition to the orientations shown in the figures. The device can have other orientations (rotated by 90 degrees or in other orientations), and the spatial relativity descriptors used herein can also be interpreted accordingly.

積體晶片常常包括被設計成在多種不同電壓下進行操作的電晶體。高電壓電晶體被設計成在高擊穿電壓(例如,大於近似20 V、大於近似50 V、或其他合適值的擊穿電壓)下進行操作。一種常用類型的高電壓電晶體是在側向上擴散的金屬氧化物半導體場效應電晶體(laterally diffused MOSFET,LDMOS)裝置。LDMOS裝置具有在源極區與汲極區之間設置在基底之上的閘極結構。閘極結構通過漂移區而與汲極區隔開。漂移區包括基底的輕摻雜區(例如,基底的摻雜濃度小於源極區的摻雜濃度和/或汲極區的摻雜濃度的區)。Integrated wafers often include transistors designed to operate at a variety of different voltages. The high voltage transistor is designed to operate at a high breakdown voltage (for example, a breakdown voltage greater than approximately 20 V, greater than approximately 50 V, or other suitable values). A common type of high voltage transistor is a laterally diffused MOSFET (LDMOS) device. The LDMOS device has a gate structure disposed on the substrate between the source region and the drain region. The gate structure is separated from the drain region by the drift region. The drift region includes a lightly doped region of the substrate (for example, a region where the doping concentration of the substrate is lower than the doping concentration of the source region and/or the doping concentration of the drain region).

在操作期間,可向閘極結構施加偏置電壓以形成電場,所述電場使得溝道區在閘極結構下方延伸且穿過漂移區。LDMOS裝置的擊穿電壓通常與漂移區的大小及摻雜濃度成比例(例如,較大的漂移區將帶來較大的擊穿電壓)。然而,如果裝置內的電場不均勻,則電晶體裝置的擊穿電壓可能受到負面影響。舉例來說,由於在漂移區與基底之間的p-n接面處可能出現的電場中的尖峰(spike),因此LDMOS的擊穿電壓可能受到負面影響。During operation, a bias voltage can be applied to the gate structure to form an electric field that causes the channel region to extend under the gate structure and through the drift region. The breakdown voltage of an LDMOS device is usually proportional to the size and doping concentration of the drift region (for example, a larger drift region will bring about a larger breakdown voltage). However, if the electric field in the device is not uniform, the breakdown voltage of the transistor device may be negatively affected. For example, due to a spike in the electric field that may appear at the p-n junction between the drift region and the substrate, the breakdown voltage of the LDMOS may be negatively affected.

在一些實施例中,本公開關於一種包括電晶體裝置的積體晶片,所述電晶體裝置具有閘極電極,所述閘極電極具有被配置成向電晶體裝置提供高擊穿電壓的多個閘極延伸部。閘極電極在源極區與汲極區之間設置在基底內。漂移區位於閘極電極與汲極區之間。所述多個閘極延伸部從閘極電極的側壁在側向上向外突出且越過漂移區。所述多個閘極延伸部被配置成在漂移區內產生電場,這可沿裝置的p-n接面在側向上使電荷擴展(spread)。通過在側向上使電荷擴展,可使沿基底的表面的電場擴展,從而減少電場中的尖峰且增大電晶體裝置的擊穿電壓。In some embodiments, the present disclosure relates to an integrated wafer including a transistor device having a gate electrode having a plurality of transistors configured to provide a high breakdown voltage to the transistor device. Gate extension. The gate electrode is arranged in the substrate between the source region and the drain region. The drift region is located between the gate electrode and the drain region. The plurality of gate extensions protrude laterally outward from the sidewall of the gate electrode and cross the drift region. The plurality of gate extensions are configured to generate an electric field in the drift region, which can spread the charge laterally along the p-n junction of the device. By expanding the charge in the lateral direction, the electric field along the surface of the substrate can be expanded, thereby reducing spikes in the electric field and increasing the breakdown voltage of the transistor device.

圖1示出具有高電壓電晶體裝置的積體晶片100的一些實施例的三維視圖,所述高電壓電晶體裝置包括具有閘極延伸部的閘極電極。Figure 1 shows a three-dimensional view of some embodiments of an integrated wafer 100 having a high voltage transistor device that includes a gate electrode with a gate extension.

積體晶片100包括設置在基底102內的閘極結構106。在一些實施例中,閘極結構106凹陷在基底102內。在一些此種實施例中,閘極結構106從基底102的上表面102u下方延伸到基底102的上表面102u。在閘極結構106的第一側上設置有源極區104且在閘極結構106的與第一側相對的第二側上設置有汲極區108。源極區104與汲極區108沿第一方向114通過閘極結構106隔開。The integrated wafer 100 includes a gate structure 106 disposed in a substrate 102. In some embodiments, the gate structure 106 is recessed in the substrate 102. In some such embodiments, the gate structure 106 extends from below the upper surface 102 u of the substrate 102 to the upper surface 102 u of the substrate 102. A source region 104 is provided on a first side of the gate structure 106 and a drain region 108 is provided on a second side of the gate structure 106 opposite to the first side. The source region 104 and the drain region 108 are separated along the first direction 114 by the gate structure 106.

沿第一方向114在閘極結構106與汲極區108之間排列有漂移區110。在一些實施例中,在閘極結構106下方在基底102內可設置有井區109且井區109在側向上接觸漂移區110。在漂移區110內設置有一個或多個隔離結構112。所述一個或多個隔離結構112沿基底102的上表面在閘極結構106與汲極區108之間在第一方向114上延伸。所述一個或多個隔離結構112沿與第一方向114垂直的第二方向116通過漂移區110而彼此隔開。在一些實施例中,所述一個或多個隔離結構112的側壁沿第一方向114彼此平行地延伸。在一些實施例中,所述一個或多個隔離結構112包含設置在基底102中的溝渠內的一種或多種介電材料。在一些實施例中,所述一個或多個隔離結構112可包括淺溝渠隔離(shallow trench isolation,STI)結構。A drift region 110 is arranged between the gate structure 106 and the drain region 108 along the first direction 114. In some embodiments, a well region 109 may be provided in the substrate 102 below the gate structure 106 and the well region 109 contacts the drift region 110 in a lateral direction. One or more isolation structures 112 are provided in the drift region 110. The one or more isolation structures 112 extend in the first direction 114 between the gate structure 106 and the drain region 108 along the upper surface of the substrate 102. The one or more isolation structures 112 are separated from each other by the drift region 110 along the second direction 116 perpendicular to the first direction 114. In some embodiments, the sidewalls of the one or more isolation structures 112 extend parallel to each other along the first direction 114. In some embodiments, the one or more isolation structures 112 include one or more dielectric materials disposed in trenches in the substrate 102. In some embodiments, the one or more isolation structures 112 may include a shallow trench isolation (STI) structure.

閘極結構106包括閘極介電質105及位於閘極介電質105之上的閘極電極107。閘極電極107包括基礎區(base region)107b及一個或多個閘極延伸部(gate extensions)107e。基礎區107b通過閘極介電質105而與漂移區110隔開。在一些實施例中,閘極介電質105從基礎區107b的第一側連續地延伸到基礎區107b的相對的第二側。所述一個或多個閘極延伸部107e從閘極電極107的基礎區107b的側壁在側向上向外突出到所述一個或多個隔離結構112內。所述一個或多個隔離結構112在側向上及在垂直方向上將所述一個或多個閘極延伸部107e與漂移區110隔開。在一些實施例中,所述一個或多個閘極延伸部107e延伸穿過閘極介電質105的側壁。The gate structure 106 includes a gate dielectric 105 and a gate electrode 107 on the gate dielectric 105. The gate electrode 107 includes a base region 107b and one or more gate extensions 107e. The base region 107b is separated from the drift region 110 by the gate dielectric 105. In some embodiments, the gate dielectric 105 continuously extends from a first side of the base region 107b to an opposite second side of the base region 107b. The one or more gate extensions 107e protrude laterally outward from the sidewall of the base region 107b of the gate electrode 107 into the one or more isolation structures 112. The one or more isolation structures 112 separate the one or more gate extensions 107e from the drift region 110 in the lateral direction and in the vertical direction. In some embodiments, the one or more gate extensions 107e extend through the sidewall of the gate dielectric 105.

在操作期間,可向閘極電極107施加偏置電壓。偏置電壓使得閘極電極107內的電荷(例如,正電荷或負電荷)在下伏的基底102中形成電場。通常,由於漂移區110與井區109的結處的表面場擁擠,因此電晶體裝置的最大擊穿電壓可能受到結邊緣擊穿效應(junction edge breakdown effect)的限制。然而,由所述一個或多個閘極延伸部107e產生的電場沿基底102的表面(例如,沿第二方向116)在側向上使電場擴展。通過使電場擴展,所述一個或多個閘極延伸部107e會降低沿基底102表面的電場強度,從而使得電晶體裝置實現更高的擊穿電壓。During operation, a bias voltage may be applied to the gate electrode 107. The bias voltage causes the charges (for example, positive or negative charges) in the gate electrode 107 to form an electric field in the underlying substrate 102. Generally, because the surface field at the junction of the drift region 110 and the well region 109 is crowded, the maximum breakdown voltage of the transistor device may be limited by the junction edge breakdown effect. However, the electric field generated by the one or more gate extensions 107e expands the electric field in the lateral direction along the surface of the substrate 102 (for example, in the second direction 116). By expanding the electric field, the one or more gate extensions 107e will reduce the intensity of the electric field along the surface of the substrate 102, thereby enabling the transistor device to achieve a higher breakdown voltage.

圖2A到圖2C示出具有高電壓電晶體裝置的積體晶片的一些附加實施例,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷式閘極電極。Figures 2A to 2C show some additional embodiments of integrated wafers with high-voltage transistor devices that include recessed gate electrodes with gate extensions.

如圖2A的剖視圖200中所示,積體晶片包括設置在基底102內的源極區104及汲極區108。在源極區104與汲極區108之間排列有漂移區110。在一些實施例中,井區109可環繞源極區104、汲極區108及漂移區110。在一些實施例中,基底102及井區109可具有第一摻雜類型(例如,p型),而源極區104、汲極區108及漂移區110可具有第二摻雜類型(例如,n型)。在一些實施例中,漂移區110可具有第二摻雜類型(例如,n型),但摻雜濃度低於源極區104和/或汲極區108。As shown in the cross-sectional view 200 of FIG. 2A, the integrated wafer includes a source region 104 and a drain region 108 disposed in the substrate 102. A drift region 110 is arranged between the source region 104 and the drain region 108. In some embodiments, the well region 109 may surround the source region 104, the drain region 108 and the drift region 110. In some embodiments, the substrate 102 and the well region 109 may have a first doping type (for example, p-type), and the source region 104, the drain region 108, and the drift region 110 may have a second doping type (for example, n type). In some embodiments, the drift region 110 may have a second doping type (for example, n-type), but the doping concentration is lower than that of the source region 104 and/or the drain region 108.

在源極區104與汲極區108之間在基底102內設置有閘極電極107。閘極電極107通過漂移區110而與汲極區108隔開。閘極電極107包括基礎區107b及一個或多個閘極延伸部107e。所述一個或多個閘極延伸部107e沿第一方向114從基礎區107b向外延伸到漂移區110的正上方。基礎區107b被閘極介電質105環繞。所述一個或多個閘極延伸部107e被排列在漂移區110內的一個或多個隔離結構112環繞。在一些實施例中,所述一個或多個閘極延伸部107e可在所述一個或多個隔離結構112及閘極介電質105的上表面的正上方延伸。在一些實施例中,所述一個或多個閘極延伸部107e可具有與閘極介電質105的上表面及所述一個或多個隔離結構112的上表面二者接觸的底表面。A gate electrode 107 is provided in the substrate 102 between the source region 104 and the drain region 108. The gate electrode 107 is separated from the drain region 108 by the drift region 110. The gate electrode 107 includes a base region 107b and one or more gate extensions 107e. The one or more gate extensions 107e extend outward from the base region 107b to directly above the drift region 110 in the first direction 114. The base area 107b is surrounded by the gate dielectric 105. The one or more gate extensions 107e are surrounded by one or more isolation structures 112 arranged in the drift region 110. In some embodiments, the one or more gate extensions 107e may extend directly above the upper surface of the one or more isolation structures 112 and the gate dielectric 105. In some embodiments, the one or more gate extensions 107e may have a bottom surface contacting both the upper surface of the gate dielectric 105 and the upper surface of the one or more isolation structures 112.

在一些實施例中,閘極電極107可包含導電材料,例如金屬(例如,鎢、鋁等)、摻雜的多晶矽等。在一些實施例中,閘極介電質105及所述一個或多個隔離結構112可包含氧化物(例如,氧化矽)、氮化物(例如,氮化矽)等。In some embodiments, the gate electrode 107 may include conductive materials, such as metals (for example, tungsten, aluminum, etc.), doped polysilicon, and the like. In some embodiments, the gate dielectric 105 and the one or more isolation structures 112 may include oxide (for example, silicon oxide), nitride (for example, silicon nitride), and the like.

在一些實施例中,基礎區107b可具有第一厚度204且所述一個或多個閘極延伸部107e可具有第二厚度206。在一些實施例中,第二厚度206可小於第一厚度204。舉例來說,在一些實施例中,第二厚度206可處於第一厚度204的50%與近似90%之間。在一些實施例中,第一厚度204可介於近似900埃(Å)與近似600 Å之間、近似650 Å與近似750 Å之間、或者其他類似的值的範圍內。在其他實施例(未示出)中,第二厚度206可近似等於第一厚度204。In some embodiments, the base region 107b may have a first thickness 204 and the one or more gate extensions 107e may have a second thickness 206. In some embodiments, the second thickness 206 may be less than the first thickness 204. For example, in some embodiments, the second thickness 206 may be between 50% and approximately 90% of the first thickness 204. In some embodiments, the first thickness 204 may be between approximately 900 Angstroms (Å) and approximately 600 Å, between approximately 650 Å and approximately 750 Å, or other similar values. In other embodiments (not shown), the second thickness 206 may be approximately equal to the first thickness 204.

在基底102之上在層間介電(inter-level dielectric,ILD)結構208內設置有多個導電內連件(導電接觸件210到內連線212)。在一些實施例中,所述多個導電內連件(導電接觸件210到內連線212)可包括耦合到內連線212的一個或多個導電接觸件210。在一些實施例中,所述一個或多個導電接觸件210電耦合到源極區104、汲極區108及閘極電極107。在一些實施例中,所述多個導電內連件(導電接觸件210到內連線212)可包含銅、鎢、鋁等中的一者或多者。在一些實施例中,ILD結構208可包含二氧化矽、摻雜的二氧化矽(例如,碳摻雜的二氧化矽)、氮氧化矽、硼矽酸鹽玻璃(borosilicate glass,BSG)、磷矽酸鹽玻璃(phosphoric silicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、氟化矽酸鹽玻璃(fluorinated silicate glass,FSG)等中的一者或多者。A plurality of conductive interconnects (conductive contacts 210 to interconnects 212) are provided in an inter-level dielectric (ILD) structure 208 on the substrate 102. In some embodiments, the plurality of conductive interconnects (conductive contact 210 to interconnect 212) may include one or more conductive contacts 210 coupled to interconnect 212. In some embodiments, the one or more conductive contacts 210 are electrically coupled to the source region 104, the drain region 108 and the gate electrode 107. In some embodiments, the plurality of conductive interconnects (conductive contact 210 to interconnect 212) may include one or more of copper, tungsten, aluminum, and the like. In some embodiments, the ILD structure 208 may include silicon dioxide, doped silicon dioxide (for example, carbon-doped silicon dioxide), silicon oxynitride, borosilicate glass (BSG), phosphorous One or more of phosphoric silicate glass (PSG), borophosphosilicate glass (BPSG), fluorinated silicate glass (FSG), etc.

圖2B示出圖2A的積體晶片的俯視圖202。圖2A的剖視圖200是沿圖2B的剖面線A-A´截取的。FIG. 2B shows a top view 202 of the integrated wafer of FIG. 2A. The cross-sectional view 200 of FIG. 2A is taken along the section line A-A' of FIG. 2B.

如圖2B的俯視圖202中所示,所述一個或多個閘極延伸部107e沿第一方向114從基礎區107b的側壁向外突出,而基礎區107b在第二方向116上延伸超出所述一個或多個閘極延伸部107e。所述一個或多個閘極延伸部107e中的相鄰的閘極延伸部107e沿第二方向116通過漂移區110及所述一個或多個隔離結構112中的至少兩者的部分二者而隔開。As shown in the top view 202 of FIG. 2B, the one or more gate extensions 107e protrude outward from the sidewall of the base area 107b in the first direction 114, and the base area 107b extends beyond the sidewall in the second direction 116 One or more gate extensions 107e. The adjacent gate extension 107e of the one or more gate extensions 107e passes through both the drift region 110 and the part of at least two of the one or more isolation structures 112 along the second direction 116. Separate.

在一些實施例中,所述一個或多個隔離結構112沿第一方向114從接觸閘極介電質105的第一端連續地延伸到接觸汲極區108的第二端。在一些實施例中,所述一個或多個閘極延伸部107e通過所述一個或多個隔離結構112而與汲極區108隔開。在此種實施例中,所述一個或多個閘極延伸部107e與所述一個或多個隔離結構112的一端隔開非零距離d 。在各種實施例中,非零距離d 可介於近似400 µm與近似1,000 µm之間、近似400 µm與近似750 µm之間、近似250 µm與近似500 µm之間、或者其他合適的值的範圍內。In some embodiments, the one or more isolation structures 112 extend continuously from the first end contacting the gate dielectric 105 to the second end contacting the drain region 108 along the first direction 114. In some embodiments, the one or more gate extensions 107 e are separated from the drain region 108 by the one or more isolation structures 112. In this embodiment, the one or more gate extensions 107e are separated from one end of the one or more isolation structures 112 by a non-zero distance d . In various embodiments, the non-zero distance d may be between approximately 400 µm and approximately 1,000 µm, between approximately 400 µm and approximately 750 µm, between approximately 250 µm and approximately 500 µm, or other suitable value ranges Inside.

圖2C示出沿圖2B的剖面線B-B´截取的積體晶片的剖視圖216。FIG. 2C shows a cross-sectional view 216 of the integrated wafer taken along the section line B-B' of FIG. 2B.

如剖視圖216中所示,所述一個或多個隔離結構112設置在由基底102的內表面102i形成的溝渠218內。閘極延伸部107e設置在由所述一個或多個隔離結構112的內表面112i形成的附加溝渠220內。這使得所述一個或多個閘極延伸部107e沿第二方向116通過漂移區110及所述一個或多個隔離結構112而彼此隔開。As shown in the cross-sectional view 216, the one or more isolation structures 112 are disposed in a trench 218 formed by the inner surface 102i of the substrate 102. The gate extension 107e is disposed in the additional trench 220 formed by the inner surface 112i of the one or more isolation structures 112. This allows the one or more gate extensions 107e to be separated from each other along the second direction 116 by the drift region 110 and the one or more isolation structures 112.

如圖2A的剖視圖200及圖2C的剖視圖216中所示,沿漂移區110與井區109和/或基底102之間的p-n接面存在空乏區214。空乏區214使得沿p-n接面形成電場。由於施加到源極區104、汲極區108和/或閘極電極107的偏置電壓,因此在電晶體裝置的操作期間電場增加。然而,所述一個或多個閘極延伸部107e能夠產生沿p-n接面使電荷擴展的電場。As shown in the cross-sectional view 200 of FIG. 2A and the cross-sectional view 216 of FIG. 2C, there is a depletion region 214 along the p-n junction between the drift region 110 and the well region 109 and/or the substrate 102. The depletion region 214 allows an electric field to be formed along the p-n junction. Due to the bias voltage applied to the source region 104, the drain region 108, and/or the gate electrode 107, the electric field increases during the operation of the transistor device. However, the one or more gate extensions 107e can generate an electric field that spreads charges along the p-n junction.

舉例來說,圖2D示出在高電壓電晶體裝置的操作期間,沿圖2B的剖面線B-B´截取的積體晶片的剖視圖222。For example, FIG. 2D shows a cross-sectional view 222 of the integrated wafer taken along the section line B-B' of FIG. 2B during the operation of the high-voltage transistor device.

如圖2D的剖視圖222中所示,在操作期間,可向所述一個或多個閘極延伸部107e施加偏置電壓。偏置電壓使得所述一個或多個閘極延伸部107e形成延伸到井區109及漂移區110中的電場。由於井區109的摻雜類型及漂移區110的摻雜類型,因此電場使得在井區109內及漂移區110內累積具有相反極性的電荷224及226。舉例來說,在一些實施例中,可在井區109內累積負電荷224且可在漂移區110內累積正電荷226。所述一個或多個閘極延伸部107e可沿第二方向116且超出所述一個或多個閘極延伸部107e中的最外一者來使電荷224及226擴展。使電荷224及226擴展可增大空乏區214沿第二方向116的寬度且減輕沿基底102表面的電場中的尖峰(例如,使得p-n接面上方的表面電場小於與裝置的擊穿電壓對應的臨界電場)。通過減小沿基底102表面的電場中的尖峰,高電壓電晶體裝置的擊穿電壓會增大。As shown in the cross-sectional view 222 of FIG. 2D, during operation, a bias voltage may be applied to the one or more gate extensions 107e. The bias voltage causes the one or more gate extensions 107e to form an electric field extending into the well region 109 and the drift region 110. Due to the doping type of the well region 109 and the doping type of the drift region 110, the electric field causes the charges 224 and 226 with opposite polarities to be accumulated in the well region 109 and the drift region 110. For example, in some embodiments, negative charges 224 may be accumulated in the well region 109 and positive charges 226 may be accumulated in the drift region 110. The one or more gate extensions 107e can extend the charges 224 and 226 along the second direction 116 and beyond the outermost one of the one or more gate extensions 107e. Extending the charges 224 and 226 can increase the width of the depletion region 214 in the second direction 116 and reduce the spikes in the electric field along the surface of the substrate 102 (for example, make the surface electric field above the pn junction smaller than that corresponding to the breakdown voltage of the device). Critical electric field). By reducing the spikes in the electric field along the surface of the substrate 102, the breakdown voltage of the high voltage transistor device will increase.

圖3示出具有高電壓電晶體裝置的積體晶片300的一些附加實施例的剖視圖,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷式閘極電極。Figure 3 shows a cross-sectional view of some additional embodiments of an integrated wafer 300 having a high voltage transistor device that includes a recessed gate electrode with a gate extension.

積體晶片300包括在基底102的上表面下方凹陷式閘極電極107。閘極電極107通過閘極介電質105且通過一個或多個隔離結構112而與基底102隔開。閘極電極107包括基礎區107b及一個或多個閘極延伸部107e,基礎區107b設置在閘極介電質105之上,所述一個或多個閘極延伸部107e從基礎區107b向外突出到所述一個或多個隔離結構112之上。閘極介電質105沿基礎區107b的側壁及下表面延伸。所述一個或多個隔離結構112沿所述一個或多個閘極延伸部107e的側壁及下表面延伸。The integrated wafer 300 includes a recessed gate electrode 107 below the upper surface of the substrate 102. The gate electrode 107 is separated from the substrate 102 by the gate dielectric 105 and by one or more isolation structures 112. The gate electrode 107 includes a base area 107b and one or more gate extensions 107e, the base area 107b is disposed on the gate dielectric 105, and the one or more gate extensions 107e extend outward from the base area 107b It protrudes above the one or more isolation structures 112. The gate dielectric 105 extends along the sidewall and lower surface of the base region 107b. The one or more isolation structures 112 extend along the sidewall and the lower surface of the one or more gate extension portions 107e.

在一些實施例中,所述一個或多個隔離結構112沿所述一個或多個閘極延伸部107e的底部可具有與沿所述一個或多個閘極延伸部107e的側壁不同的厚度(例如,更大的厚度)。在一些實施例中,所述一個或多個隔離結構112可從所述一個或多個閘極延伸部107e的底部在垂直方向上延伸到閘極介電質105的最底表面下方。在一些附加實施例中,所述一個或多個隔離結構112可從沿閘極介電質105的頂部延伸的水平面在垂直方向上延伸到閘極介電質105的最底表面下方。In some embodiments, the one or more isolation structures 112 may have a different thickness along the bottom of the one or more gate extensions 107e than along the sidewalls of the one or more gate extensions 107e ( For example, greater thickness). In some embodiments, the one or more isolation structures 112 may extend from the bottom of the one or more gate extensions 107e to below the bottommost surface of the gate dielectric 105 in the vertical direction. In some additional embodiments, the one or more isolation structures 112 may extend from a horizontal plane extending along the top of the gate dielectric 105 in a vertical direction to below the bottommost surface of the gate dielectric 105.

在一些實施例中,閘極介電質105可在所述一個或多個隔離結構112的部分而不是全部正上方在側向上延伸。在一些此種實施例中,閘極介電質105可對所述一個或多個隔離結構112的上表面及內側壁加襯(line)。在一些附加實施例中,閘極介電質105可延伸到所述一個或多個隔離結構112的上表面下方非零距離302。在此種實施例中,閘極介電質105也可對所述一個或多個隔離結構112的最外側壁加襯。In some embodiments, the gate dielectric 105 may extend laterally directly above a portion of the one or more isolation structures 112, but not all. In some such embodiments, the gate dielectric 105 may line the upper surface and inner sidewalls of the one or more isolation structures 112. In some additional embodiments, the gate dielectric 105 may extend to a non-zero distance 302 below the upper surface of the one or more isolation structures 112. In this embodiment, the gate dielectric 105 can also line the outermost sidewall of the one or more isolation structures 112.

在一些實施例中,閘極介電質105可包括在基礎區107b與所述一個或多個閘極延伸部107e之間從閘極介電質105的上表面向外延伸的突起304。在一些實施例中,突起304延伸到所述一個或多個閘極延伸部107e的底表面上方。在一些實施例中,突起304可具有使得突起304的寬度隨上表面之上的高度增加而減小的錐形側壁。突起304可為用於形成所述一個或多個閘極延伸部107e的蝕刻製程的結果。舉例來說,在製作期間,可沿所述一個或多個隔離結構112的傾斜側壁形成閘極介電質105。隨後可對所述一個或多個隔離結構112進行蝕刻以形成從所述一個或多個隔離結構112內延伸到傾斜側壁的閘極延伸溝渠。閘極介電質105的過蝕刻將使得閘極介電質105在傾斜側壁的頂部下方凹陷,從而產生突起304。在其他實施例(未示出)中,蝕刻製程可對閘極介電質105進行蝕刻超出傾斜側壁,使得傾斜側壁上的閘極介電質105被完全移除,且所得的閘極介電質105具有與隔離結構112的側壁隔開非零距離的外側壁,所述隔離結構112的側壁位於所述一個或多個隔離結構112的上表面之上。In some embodiments, the gate dielectric 105 may include a protrusion 304 extending outward from the upper surface of the gate dielectric 105 between the base region 107b and the one or more gate extensions 107e. In some embodiments, the protrusion 304 extends above the bottom surface of the one or more gate extensions 107e. In some embodiments, the protrusion 304 may have tapered sidewalls such that the width of the protrusion 304 decreases as the height above the upper surface increases. The protrusion 304 may be the result of an etching process used to form the one or more gate extensions 107e. For example, during the manufacturing process, the gate dielectric 105 may be formed along the inclined sidewalls of the one or more isolation structures 112. The one or more isolation structures 112 may then be etched to form gate extension trenches extending from the one or more isolation structures 112 to the inclined sidewalls. The over-etching of the gate dielectric 105 will cause the gate dielectric 105 to dent below the top of the inclined sidewall, thereby generating a protrusion 304. In other embodiments (not shown), the etching process can etch the gate dielectric 105 beyond the inclined sidewall, so that the gate dielectric 105 on the inclined sidewall is completely removed, and the resulting gate dielectric The mass 105 has an outer side wall separated from the side wall of the isolation structure 112 by a non-zero distance, and the side wall of the isolation structure 112 is located on the upper surface of the one or more isolation structures 112.

在一些實施例中,在閘極電極107的相對的外邊緣之上設置有一個或多個介電結構306。在一些實施例中,所述一個或多個介電結構306從位於基礎區107b的正上方的第一外邊緣連續地延伸到位於源極區104的正上方的第二外邊緣。在一些實施例中,所述一個或多個介電結構306從位於閘極電極107的所述一個或多個閘極延伸部107e的正上方的第三外邊緣連續地延伸到位於汲極區108的正上方的第四外邊緣。在一些實施例中,所述一個或多個介電結構306可在閘極電極107的相對的邊緣之上延伸非零距離310。在一些實施例中,非零距離310可介於近似200 Å與近似600 Å之間、近似350 Å與近似500 Å之間、或者其他合適的值的範圍內。在一些實施例中,所述一個或多個介電結構306可包含一種或多種介電材料,例如氧化物、氮化物等。In some embodiments, one or more dielectric structures 306 are provided on the opposite outer edges of the gate electrode 107. In some embodiments, the one or more dielectric structures 306 continuously extend from a first outer edge directly above the base region 107b to a second outer edge directly above the source region 104. In some embodiments, the one or more dielectric structures 306 continuously extend from the third outer edge directly above the one or more gate extensions 107e of the gate electrode 107 to the drain region. 108 right above the fourth outer edge. In some embodiments, the one or more dielectric structures 306 may extend a non-zero distance 310 above the opposite edges of the gate electrode 107. In some embodiments, the non-zero distance 310 may be between approximately 200 Å and approximately 600 Å, between approximately 350 Å and approximately 500 Å, or within a range of other suitable values. In some embodiments, the one or more dielectric structures 306 may include one or more dielectric materials, such as oxides, nitrides, and the like.

沿源極區104的上表面、汲極區108的上表面及閘極電極107的上表面排列有矽化物(silicide)308。矽化物308被配置成提供與導電內連件(導電接觸件210到內連線212)的低電阻連接。在各種實施例中,矽化物308可包含矽化鎳、矽化鈦等。在一些實施例中,矽化物308的外邊緣與源極區104的外邊緣、汲極區108的外邊緣及閘極電極107的外邊緣在側向上隔開,使得源極區104、汲極區108及閘極電極107的位於所述一個或多個介電結構306的正下方的部分可不含矽化物308。A silicide 308 is arranged along the upper surface of the source region 104, the upper surface of the drain region 108, and the upper surface of the gate electrode 107. The silicide 308 is configured to provide a low resistance connection with the conductive interconnect (conductive contact 210 to interconnect 212). In various embodiments, the silicide 308 may include nickel silicide, titanium silicide, and the like. In some embodiments, the outer edge of the silicide 308 is laterally separated from the outer edge of the source region 104, the outer edge of the drain region 108, and the outer edge of the gate electrode 107, so that the source region 104, the drain The region 108 and the portion of the gate electrode 107 directly below the one or more dielectric structures 306 may not contain silicide 308.

接觸蝕刻終止層(contact etch stop layer,CESL)312在垂直方向上將基底102及所述一個或多個介電結構306與第一層間介電(ILD)層208a隔開。在一些實施例中,CESL 312和/或第一ILD層208a從所述一個或多個介電結構306的正上方延伸到所述一個或多個介電結構306的側壁。在第一ILD層208a上設置有第二ILD層208b。A contact etch stop layer (CESL) 312 vertically separates the substrate 102 and the one or more dielectric structures 306 from the first interlayer dielectric (ILD) layer 208a. In some embodiments, the CESL 312 and/or the first ILD layer 208 a extends from directly above the one or more dielectric structures 306 to the sidewalls of the one or more dielectric structures 306. A second ILD layer 208b is provided on the first ILD layer 208a.

圖4示出具有高電壓電晶體裝置的積體晶片400的一些附加實施例的俯視圖,所述高電壓電晶體裝置包括具有閘極延伸部的閘極電極。Figure 4 shows a top view of some additional embodiments of an integrated wafer 400 having a high voltage transistor device that includes a gate electrode with a gate extension.

積體晶片400包括具有基礎區107b及一個或多個閘極延伸部107e的閘極電極107。所述一個或多個閘極延伸部107e沿第一方向114從基礎區107b向外突出到所述一個或多個隔離結構112內。所述一個或多個閘極延伸部107e沿與第一方向114垂直的第二方向116彼此隔開。The integrated wafer 400 includes a gate electrode 107 having a base region 107b and one or more gate extensions 107e. The one or more gate extensions 107e protrude outward from the base region 107b into the one or more isolation structures 112 along the first direction 114. The one or more gate extensions 107 e are spaced apart from each other along a second direction 116 perpendicular to the first direction 114.

在一些實施例中,所述一個或多個隔離結構112可以間距402沿第二方向116排列,而所述一個或多個閘極延伸部107e中的最接近的閘極延伸部107e被隔開大於間距402的距離404。在此種實施例中,所述一個或多個閘極延伸部107e中的最接近的閘極延伸部107e被不包括閘極延伸部的隔離結構隔開。舉例來說,在一些實施例中,所述一個或多個閘極延伸部107e可包括第一閘極延伸部107e1 及第二閘極延伸部107e2 ,第二閘極延伸部107e2 是最靠近第一閘極延伸部107e1 的閘極延伸部。第一閘極延伸部107e1 設置在第一隔離結構112a內且第二閘極延伸部107e2 設置在第二隔離結構112b內。不環繞閘極延伸部的第三隔離結構112c將第一閘極延伸部107e1 與第二閘極延伸部107e2 隔開。In some embodiments, the one or more isolation structures 112 may be arranged along the second direction 116 at a pitch 402, and the closest gate extension 107e of the one or more gate extensions 107e is separated A distance 404 greater than the spacing 402. In this embodiment, the closest gate extension 107e of the one or more gate extensions 107e is separated by an isolation structure that does not include the gate extension. For example, in some embodiments, the one or more gate extensions 107e may include a first gate extension 107e 1 and a second gate extension 107e 2 , and the second gate extension 107e 2 is closest to the gate electrode extending portion of the first gate electrode extending portion 107e 1. The first gate extension 107e 1 is disposed in the first isolation structure 112a and the second gate extension 107e 2 is disposed in the second isolation structure 112b. The third isolation structure 112c that does not surround the gate extension separates the first gate extension 107e 1 from the second gate extension 107e 2 .

圖5A到圖5B示出具有高電壓電晶體裝置的積體晶片的一些附加實施例,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷式閘極電極。Figures 5A to 5B show some additional embodiments of integrated wafers with high voltage transistor devices that include recessed gate electrodes with gate extensions.

如圖5A的剖視圖500中所示(沿圖5B的剖面線A-A´截取),積體晶片包括設置在基底102之上的閘極電極107。閘極電極107包括基礎區107b及一個或多個閘極延伸部107e,所述一個或多個閘極延伸部107e從基礎區107b向外突出到一個或多個隔離結構112之上。閘極介電質105沿基礎區107b的側壁及下表面及所述一個或多個閘極延伸部107e的側壁及下表面連續地延伸。閘極介電質105在垂直方向上及在側向上將所述一個或多個閘極延伸部107e與所述一個或多個隔離結構112隔開。As shown in the cross-sectional view 500 of FIG. 5A (taken along the section line A-A′ of FIG. 5B ), the integrated wafer includes a gate electrode 107 disposed on the substrate 102. The gate electrode 107 includes a base region 107b and one or more gate extensions 107e, and the one or more gate extensions 107e protrude outward from the base region 107b to the one or more isolation structures 112. The gate dielectric 105 continuously extends along the sidewalls and lower surface of the base region 107b and the sidewalls and lower surface of the one or more gate extension portions 107e. The gate dielectric 105 separates the one or more gate extensions 107e from the one or more isolation structures 112 in the vertical direction and in the lateral direction.

如圖5B的俯視圖502中所示,閘極介電質105以閉合且不間斷的環圍繞閘極電極107的外周延伸。通過利用閘極介電質105環繞基礎區107b及所述一個或多個閘極延伸部107二者,可從用於形成電晶體裝置的製作過程消除一個或多個處理步驟(例如,一個或多個微影和/或蝕刻製程)。通過從用於形成電晶體裝置的製作過程消除一個或多個處理步驟,可降低形成積體晶片的成本。As shown in the top view 502 of FIG. 5B, the gate dielectric 105 extends around the outer periphery of the gate electrode 107 in a closed and uninterrupted ring. By using the gate dielectric 105 to surround both the base region 107b and the one or more gate extensions 107, one or more processing steps (e.g., one or Multiple lithography and/or etching processes). By eliminating one or more processing steps from the manufacturing process used to form the transistor device, the cost of forming an integrated wafer can be reduced.

圖6A到圖6B示出具有高電壓電晶體裝置的積體晶片的一些附加實施例,所述高電壓電晶體裝置包括具有閘極延伸部的閘極電極。Figures 6A to 6B show some additional embodiments of integrated wafers with high-voltage transistor devices that include gate electrodes with gate extensions.

如圖6A的剖視圖600中所示(沿圖6B的剖面線A-A´截取),積體晶片包括具有基礎區107b及一個或多個閘極延伸部107e的閘極電極107。閘極介電質105沿基礎區107b的側壁及下表面延伸。基礎區107b從基底102的上表面102u向外突出。所述一個或多個閘極延伸部107e從位於基底102的上表面102u之上的基礎區107b的側壁向外突出到一個或多個隔離結構112的正上方。As shown in the cross-sectional view 600 of FIG. 6A (taken along the section line A-A' of FIG. 6B), the integrated wafer includes a gate electrode 107 having a base region 107b and one or more gate extensions 107e. The gate dielectric 105 extends along the sidewall and lower surface of the base region 107b. The base area 107b protrudes outward from the upper surface 102u of the substrate 102. The one or more gate extensions 107e protrude outward from the sidewall of the base region 107b located on the upper surface 102u of the substrate 102 to directly above the one or more isolation structures 112.

如圖6B的俯視圖602中所示(沿圖6A的剖面線B-B´截取),閘極介電質105以閉合且不間斷的環圍繞基礎區107b的外周延伸。通過使所述一個或多個閘極延伸部107e從位於基底102的上表面102u之上的基礎區107b的側壁向外突出,可從用於形成電晶體裝置的製作過程消除一個或多個處理步驟(例如,一個或多個微影和/或蝕刻製程)。通過從用於形成電晶體裝置的製作過程消除一個或多個處理步驟,可降低形成積體晶片的成本。As shown in the top view 602 of FIG. 6B (taken along the section line B-B' of FIG. 6A), the gate dielectric 105 extends around the outer periphery of the base region 107b in a closed and uninterrupted ring. By making the one or more gate extensions 107e protrude outward from the sidewalls of the base region 107b located on the upper surface 102u of the substrate 102, one or more processes can be eliminated from the manufacturing process for forming the transistor device Steps (for example, one or more lithography and/or etching processes). By eliminating one or more processing steps from the manufacturing process used to form the transistor device, the cost of forming an integrated wafer can be reduced.

圖7示出具有高電壓電晶體裝置區及週邊邏輯區的積體晶片700的一些實施例的剖視圖。FIG. 7 shows a cross-sectional view of some embodiments of an integrated wafer 700 having a high voltage transistor device area and a peripheral logic area.

高電壓電晶體裝置區702包括高電壓電晶體裝置,所述高電壓電晶體裝置包括設置在源極區104與汲極區108之間的閘極電極107。閘極電極107具有基礎區107b及從基礎區107b向外延伸的一個或多個閘極延伸部107e。The high voltage transistor device region 702 includes a high voltage transistor device including a gate electrode 107 disposed between the source region 104 and the drain region 108. The gate electrode 107 has a base area 107b and one or more gate extensions 107e extending outward from the base area 107b.

在閘極電極107的相對的邊緣之上設置有一個或多個介電結構306。所述一個或多個介電結構306分別包含第一介電材料706及位於第一介電材料706之上的第二介電材料708。在一些實施例中,第三介電材料710可沿第一介電材料706的最外側壁及第二介電材料708的最外側壁延伸。在一些實施例中,第一介電材料706與第二介電材料708可包含不同的介電材料,而第三介電材料710可為與第一介電材料706或第二介電材料708相同的介電材料。在各種實施例中,第一介電材料706、第二介電材料708及第三介電材料710可包含氧化物(例如,二氧化矽)、氮化物(例如,氮化矽)、碳化物(例如,碳化矽)等中的一者或多者。One or more dielectric structures 306 are provided on the opposite edges of the gate electrode 107. The one or more dielectric structures 306 respectively include a first dielectric material 706 and a second dielectric material 708 on the first dielectric material 706. In some embodiments, the third dielectric material 710 may extend along the outermost sidewall of the first dielectric material 706 and the outermost sidewall of the second dielectric material 708. In some embodiments, the first dielectric material 706 and the second dielectric material 708 may include different dielectric materials, and the third dielectric material 710 may be the same as the first dielectric material 706 or the second dielectric material 708. The same dielectric material. In various embodiments, the first dielectric material 706, the second dielectric material 708, and the third dielectric material 710 may include oxides (for example, silicon dioxide), nitrides (for example, silicon nitride), and carbides. (For example, silicon carbide) one or more of the others.

週邊邏輯區704包括一個或多個附加電晶體裝置。所述一個或多個附加電晶體裝置包括閘極結構712,所述閘極結構712排列在源極區714與汲極區716之間且在側向上被一個或多個側壁間隔件728環繞。閘極結構712包括將閘極電極722與基底102隔開的閘極介電結構717。在閘極電極722之上可設置有一個或多個上覆的介電層724到726。在一些實施例中,閘極介電結構717可包含第一閘極介電材料718及位於第一閘極介電材料718之上的第二閘極介電材料720。在一些實施例中,第一閘極介電材料718可為與第一介電材料706相同的材料,第二閘極介電材料720可為與第二介電材料708相同的材料,且所述一個或多個側壁間隔件728可為與第三介電材料710相同的材料。在一些實施例中,第一閘極介電材料718可具有與第一介電材料706實質上相同的厚度且第二閘極介電材料720可具有與第二介電材料708實質上相同的厚度。The peripheral logic area 704 includes one or more additional transistor devices. The one or more additional transistor devices include a gate structure 712 that is arranged between the source region 714 and the drain region 716 and is laterally surrounded by one or more sidewall spacers 728. The gate structure 712 includes a gate dielectric structure 717 that separates the gate electrode 722 from the substrate 102. One or more overlying dielectric layers 724 to 726 may be provided on the gate electrode 722. In some embodiments, the gate dielectric structure 717 may include a first gate dielectric material 718 and a second gate dielectric material 720 on the first gate dielectric material 718. In some embodiments, the first gate dielectric material 718 may be the same material as the first dielectric material 706, and the second gate dielectric material 720 may be the same material as the second dielectric material 708, and so The one or more sidewall spacers 728 may be the same material as the third dielectric material 710. In some embodiments, the first gate dielectric material 718 may have substantially the same thickness as the first dielectric material 706 and the second gate dielectric material 720 may have substantially the same thickness as the second dielectric material 708. thickness.

圖8示出具有高電壓電晶體裝置的積體晶片800的一些附加實施例的俯視圖,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷式閘極電極。Figure 8 shows a top view of some additional embodiments of an integrated wafer 800 having a high voltage transistor device that includes a recessed gate electrode with gate extensions.

積體晶片800包括在相對側上被源極區104a到104b環繞的汲極區108。閘極結構106a到106b也沿汲極區108的相對側設置且分別將汲極區108與源極區104a到104b隔開。閘極結構106a到106b分別包括基礎區107及從基礎區107b向外朝汲極區108延伸的一個或多個閘極延伸部107e。在一些實施例中,本體區802a到802b可通過源極區104a到104b而與閘極結構106a到106b隔開。The integrated wafer 800 includes a drain region 108 surrounded by source regions 104a to 104b on the opposite side. The gate structures 106a to 106b are also arranged along opposite sides of the drain region 108 and separate the drain region 108 from the source regions 104a to 104b, respectively. The gate structures 106 a to 106 b respectively include a base region 107 and one or more gate extensions 107 e extending from the base region 107 b toward the drain region 108. In some embodiments, the body regions 802a to 802b may be separated from the gate structures 106a to 106b by the source regions 104a to 104b.

在一些實施例中,源極區104a到104b電耦合在一起且閘極結構106a到106b電耦合在一起。在一些附加實施例中,閘極結構106a到106b、源極區104a到104b、及本體區802a到802b關於平分汲極區108的線804實質上對稱。In some embodiments, the source regions 104a-104b are electrically coupled together and the gate structures 106a-106b are electrically coupled together. In some additional embodiments, the gate structures 106a to 106b, the source regions 104a to 104b, and the body regions 802a to 802b are substantially symmetrical about the line 804 that bisects the drain region 108.

在操作期間,通過閘極介電質105及所述一個或多個STI區112二者將漂移區110內的電荷與閘極電極107內的電荷隔開。由於閘極電極延伸部107e在側向上使漂移區110內的電荷擴展,因此閘極電極延伸部107e會增大漂移區110與閘極電極107之間的電容。During operation, the charge in the drift region 110 is separated from the charge in the gate electrode 107 by both the gate dielectric 105 and the one or more STI regions 112. Since the gate electrode extension 107e expands the charge in the drift region 110 in the lateral direction, the gate electrode extension 107e increases the capacitance between the drift region 110 and the gate electrode 107.

圖9A到圖9B示出具有高電壓電晶體裝置的積體晶片的一些附加實施例,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷式閘極電極。9A to 9B show some additional embodiments of integrated wafers with high voltage transistor devices that include recessed gate electrodes with gate extensions.

如圖9A的剖視圖900中所示,在源極區104與汲極區108之間在基底102內設置有閘極電極107。閘極電極107包括被閘極介電質105環繞的基礎區107b及被一個或多個隔離結構112環繞的一個或多個閘極延伸部107e。在一些實施例中,閘極電極107延伸到基底102中達第一深度902。在一些實施例中,第一深度902可介於近似200 Å與近似800 Å之間、近似500 Å與近似700 Å之間、或者其他合適的值的範圍內。在一些實施例中,閘極介電質105可具有介於近似700 Å與近似1,000 Å之間、近似800 Å與近似900 Å之間、或者其他合適的值的範圍內的厚度904。As shown in the cross-sectional view 900 of FIG. 9A, a gate electrode 107 is provided in the substrate 102 between the source region 104 and the drain region 108. The gate electrode 107 includes a base region 107 b surrounded by a gate dielectric 105 and one or more gate extensions 107 e surrounded by one or more isolation structures 112. In some embodiments, the gate electrode 107 extends into the substrate 102 to a first depth 902. In some embodiments, the first depth 902 may be between approximately 200 Å and approximately 800 Å, between approximately 500 Å and approximately 700 Å, or within a range of other suitable values. In some embodiments, the gate dielectric 105 may have a thickness 904 between approximately 700 Å and approximately 1,000 Å, between approximately 800 Å and approximately 900 Å, or other suitable values.

在一些實施例中,源極區104及汲極區108在側向上被一個或多個附加隔離結構906環繞。所述一個或多個附加隔離結構906通過源極區104及汲極區108而與所述一個或多個隔離結構112隔開。在一些實施例中,延伸到基底102中達第二深度908的所述一個或多個隔離結構112與所述一個或多個附加隔離結構906實質上相同。在一些實施例中,第二深度908可介於近似2,000 Å與近似3,000 Å之間、近似2,000 Å與近似2,500 Å之間、或者其他合適的值的範圍內。如圖9B的俯視圖910中所示,在一些實施例中,所述一個或多個附加隔離結構906可以閉環方式包繞在電晶體裝置周圍。In some embodiments, the source region 104 and the drain region 108 are surrounded by one or more additional isolation structures 906 in the lateral direction. The one or more additional isolation structures 906 are separated from the one or more isolation structures 112 by the source region 104 and the drain region 108. In some embodiments, the one or more isolation structures 112 extending into the substrate 102 to the second depth 908 and the one or more additional isolation structures 906 are substantially the same. In some embodiments, the second depth 908 may be between approximately 2,000 Å and approximately 3,000 Å, between approximately 2,000 Å and approximately 2,500 Å, or within a range of other suitable values. As shown in the top view 910 of FIG. 9B, in some embodiments, the one or more additional isolation structures 906 may be wrapped around the transistor device in a closed loop manner.

圖10A到圖24示出形成具有高電壓電晶體裝置的積體晶片的方法的一些實施例,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷式閘極電極。儘管圖10A到圖24是針對一種方法進行闡述,但是應理解,圖10A到圖24中公開的結構並不僅限於這種方法,而是可作為獨立於所述方法的結構而單獨存在。Figures 10A to 24 show some embodiments of a method of forming an integrated wafer with a high-voltage transistor device that includes a recessed gate electrode with a gate extension. Although FIGS. 10A to 24 are described for one method, it should be understood that the structure disclosed in FIGS. 10A to 24 is not limited to this method, but may exist as a structure independent of the method.

如圖10A的剖視圖1000中所示,將基底102圖案化以形成一個或多個隔離溝渠1002。在各種實施例中,基底102可為任何類型的半導體本體(例如,矽、SiGe、絕緣體上矽(silicon-on-insulator,SOI)等),例如半導體晶圓和/或位於晶圓上的一個或多個晶粒、以及與晶圓相關聯的任何其他類型的半導體和/或磊晶層。所述一個或多個隔離溝渠1002由基底102的側壁及水平延伸表面形成。如圖10B的俯視圖1012中所示,在一些實施例中,所述一個或多個隔離溝渠1002包括矩形形狀的溝渠,所述矩形形狀的溝渠沿第一方向114彼此平行延伸且沿與第一方向114垂直的第二方向116彼此隔開。As shown in the cross-sectional view 1000 of FIG. 10A, the substrate 102 is patterned to form one or more isolation trenches 1002. In various embodiments, the substrate 102 can be any type of semiconductor body (for example, silicon, SiGe, silicon-on-insulator (SOI), etc.), such as a semiconductor wafer and/or a semiconductor wafer located on the wafer. Or multiple dies, and any other type of semiconductor and/or epitaxial layer associated with the wafer. The one or more isolation trenches 1002 are formed by the sidewall and horizontally extending surface of the substrate 102. As shown in the top view 1012 of FIG. 10B, in some embodiments, the one or more isolation trenches 1002 include rectangular-shaped trenches that extend parallel to each other along the first direction 114 and extend along the first direction 114. The second directions 116 that are perpendicular to the direction 114 are spaced apart from each other.

在一些實施例中,可通過根據第一遮蔽層1006選擇性地將基底102暴露到第一蝕刻劑1004來形成所述一個或多個隔離溝渠1002。在一些實施例中,第一遮蔽層1006可包含硬罩幕,所述硬罩幕包括第一硬罩幕層1008及位於第一硬罩幕層1008之上的第二硬罩幕層1010。在一些實施例中,第一硬罩幕層1008包含第一介電材料(例如,氧化物、氮化物等)且第二硬罩幕層1010包含與第一介電材料不同的第二介電材料(例如,氧化物、氮化物等)。在一些實施例中,第一蝕刻劑1004可包括乾蝕刻劑。舉例來說,在一些實施例中,第一蝕刻劑1004可包括氧蝕刻劑。In some embodiments, the one or more isolation trenches 1002 may be formed by selectively exposing the substrate 102 to the first etchant 1004 according to the first shielding layer 1006. In some embodiments, the first masking layer 1006 may include a hard mask including a first hard mask layer 1008 and a second hard mask layer 1010 located on the first hard mask layer 1008. In some embodiments, the first hard mask layer 1008 includes a first dielectric material (eg, oxide, nitride, etc.) and the second hard mask layer 1010 includes a second dielectric material different from the first dielectric material. Material (for example, oxide, nitride, etc.). In some embodiments, the first etchant 1004 may include a dry etchant. For example, in some embodiments, the first etchant 1004 may include an oxygen etchant.

如圖11A的剖視圖1100中所示,在所述一個或多個隔離溝渠1002內形成隔離結構112。如圖11B的俯視圖1102中所示,所述一個或多個隔離結構112沿第二方向116彼此隔開。在一些實施例中,可通過在所述一個或多個隔離溝渠1002內形成一種或多種介電材料來形成所述一個或多個隔離結構112。在一些實施例中,所述一種或多種介電材料可包含氧化物、氮化物等。在一些實施例中,所述一種或多種介電材料可通過沉積製程(例如,化學氣相沉積(chemical vapor deposition,CVD)製程、電漿增強型CVD製程等)形成。在一些實施例中,可在移除整個第一遮蔽層(圖10A的1006)之前,在所述一個或多個隔離溝渠1002內形成所述一種或多種介電材料。隨後可執行平坦化製程(例如,化學機械平坦化製程),以在側向上從所述一個或多個隔離溝渠1002之外移除多餘的介電材料。在一些實施例中,所述一個或多個隔離結構112可與在相鄰的電晶體裝置之間提供隔離的附加隔離結構(未示出)的形成(例如,如圖9A到圖9B中所示)同時形成。As shown in the cross-sectional view 1100 of FIG. 11A, an isolation structure 112 is formed in the one or more isolation trenches 1002. As shown in the top view 1102 of FIG. 11B, the one or more isolation structures 112 are spaced apart from each other along the second direction 116. In some embodiments, the one or more isolation structures 112 may be formed by forming one or more dielectric materials in the one or more isolation trenches 1002. In some embodiments, the one or more dielectric materials may include oxides, nitrides, and the like. In some embodiments, the one or more dielectric materials may be formed by a deposition process (for example, a chemical vapor deposition (CVD) process, a plasma-enhanced CVD process, etc.). In some embodiments, the one or more dielectric materials may be formed in the one or more isolation trenches 1002 before removing the entire first shielding layer (1006 of FIG. 10A). A planarization process (for example, a chemical mechanical planarization process) may then be performed to remove excess dielectric material from outside the one or more isolation trenches 1002 in the lateral direction. In some embodiments, the one or more isolation structures 112 may be combined with the formation of additional isolation structures (not shown) that provide isolation between adjacent transistor devices (for example, as shown in FIGS. 9A to 9B). Show) at the same time.

如圖12A的剖視圖1200中所示,在基底102內形成閘極基礎凹槽1202。在一些實施例中,閘極基礎凹槽1202也可延伸到所述一個或多個隔離結構112內。在一些實施例中,閘極基礎凹槽1202延伸到基底102中達第一深度1208,第一深度1208小於所述一個或多個隔離結構112的第二深度1210。閘極基礎凹槽1202由基底102的一個或多個側壁1202s1 及水平延伸表面1202h1 形成。在一些實施例中,閘極基礎凹槽1202可進一步由所述一個或多個隔離結構112的一個或多個側壁1202s2 及水平延伸表面1202h2 形成。如圖12B的俯視圖1212中所示,閘極基礎凹槽1202在第二方向116上連續地延伸超出所述一個或多個隔離結構112的相對側壁。As shown in the cross-sectional view 1200 of FIG. 12A, a gate base groove 1202 is formed in the substrate 102. In some embodiments, the gate base groove 1202 may also extend into the one or more isolation structures 112. In some embodiments, the gate base groove 1202 extends into the substrate 102 to a first depth 1208, and the first depth 1208 is smaller than the second depth 1210 of the one or more isolation structures 112. The gate base groove 1202 is formed by one or more sidewalls 1202s 1 of the substrate 102 and a horizontally extending surface 1202h 1 . In some embodiments, the gate base groove 1202 may be further formed by one or more sidewalls 1202s 2 and horizontally extending surfaces 1202h 2 of the one or more isolation structures 112. As shown in the top view 1212 of FIG. 12B, the gate base groove 1202 continuously extends beyond the opposite sidewalls of the one or more isolation structures 112 in the second direction 116.

在一些實施例中,可通過根據第二遮蔽層1206選擇性地將基底102暴露到第二蝕刻劑1204來形成閘極基礎凹槽1202。在各種實施例中,第二遮蔽層1206可包含硬罩幕層、光敏材料(例如,微影膠)等。在一些實施例中,第二蝕刻劑1204可包括乾蝕刻劑。舉例來說,在一些實施例中,第二蝕刻劑1204可包括氧電漿蝕刻劑。In some embodiments, the gate base groove 1202 may be formed by selectively exposing the substrate 102 to the second etchant 1204 according to the second shielding layer 1206. In various embodiments, the second shielding layer 1206 may include a hard mask layer, a photosensitive material (for example, lithography glue), and the like. In some embodiments, the second etchant 1204 may include a dry etchant. For example, in some embodiments, the second etchant 1204 may include an oxygen plasma etchant.

如圖13A的剖視圖1300及圖13B的俯視圖1306中所示,在基底102內形成井區109及漂移區110。漂移區110在側向上環繞所述一個或多個隔離結構112且在垂直方向上延伸到所述一個或多個隔離結構112下方。井區109在垂直方向上和/或在側向上鄰接漂移區110。在一些實施例中,可通過向基底102中注入第一摻雜劑物種(dopant species)來形成井區109且隨後可通過根據第三遮蔽層1304向基底102中注入第二摻雜劑物種1302來形成漂移區110。在各種實施例中,第一摻雜劑物種可包括第一摻雜類型(例如,由例如硼、鋁等p型摻雜劑形成)且第二摻雜劑物種1302可包括第二摻雜類型(例如,由例如磷、砷等n型摻雜劑形成)。在一些實施例中,第三遮蔽層1304可包含光敏材料(例如,微影膠)。在一些替代實施例中,可在形成所述一個或多個隔離結構112之前形成井區109和/或漂移區110。As shown in the cross-sectional view 1300 of FIG. 13A and the top view 1306 of FIG. 13B, a well region 109 and a drift region 110 are formed in the substrate 102. The drift region 110 laterally surrounds the one or more isolation structures 112 and extends below the one or more isolation structures 112 in the vertical direction. The well region 109 adjoins the drift region 110 in the vertical direction and/or laterally. In some embodiments, the well region 109 may be formed by injecting a first dopant species into the substrate 102 and then a second dopant species 1302 may be injected into the substrate 102 according to the third shielding layer 1304. To form a drift region 110. In various embodiments, the first dopant species may include a first doping type (for example, formed of p-type dopants such as boron, aluminum, etc.) and the second dopant species 1302 may include a second doping type (For example, it is formed of n-type dopants such as phosphorus and arsenic). In some embodiments, the third shielding layer 1304 may include a photosensitive material (for example, lithographic glue). In some alternative embodiments, the well region 109 and/or the drift region 110 may be formed before the one or more isolation structures 112 are formed.

如圖14A的剖視圖1400及圖14B的俯視圖1402中所示,在基底102之上形成閘極介電質105。在一些實施例中,閘極介電質105形成在閘極基礎凹槽1202內、以及基底102及所述一個或多個隔離結構112之上。在一些實施例中,閘極介電質105可包含氧化物、氮化物等。在一些實施例中,閘極介電質105可通過沉積製程(例如,CVD製程、PE-CVD製程等)形成。As shown in the cross-sectional view 1400 of FIG. 14A and the top view 1402 of FIG. 14B, a gate dielectric 105 is formed on the substrate 102. In some embodiments, the gate dielectric 105 is formed in the gate base groove 1202 and on the substrate 102 and the one or more isolation structures 112. In some embodiments, the gate dielectric 105 may include oxide, nitride, and the like. In some embodiments, the gate dielectric 105 may be formed by a deposition process (for example, a CVD process, a PE-CVD process, etc.).

如圖15A的剖視圖1500中所示,在所述一個或多個隔離結構112內形成一個或多個閘極延伸溝渠1502。所述一個或多個閘極延伸溝渠1502延伸到所述一個或多個隔離結構112中達小於第二深度1210的第三深度1504。在一些實施例中,第三深度1504也可小於閘極基礎凹槽1202的第一深度1208。在一些實施例中,所述一個或多個隔離結構112延伸超出所述一個或多個閘極延伸溝渠1502距離d ,使得所述一個或多個閘極延伸溝渠1502由所述一個或多個隔離結構112的側壁及水平延伸表面形成。圖15B示出圖15A的剖視圖1500的俯視圖1510。如俯視圖1510中所示,所述一個或多個閘極延伸溝渠1502從閘極基礎凹槽1202的不同位置向外延伸。As shown in the cross-sectional view 1500 of FIG. 15A, one or more gate extension trenches 1502 are formed in the one or more isolation structures 112. The one or more gate extension trenches 1502 extend into the one or more isolation structures 112 to a third depth 1504 that is less than the second depth 1210. In some embodiments, the third depth 1504 may also be smaller than the first depth 1208 of the gate base groove 1202. In some embodiments, the one or more isolation structures 112 extend beyond the one or more gate extension trenches 1502 by a distance d , so that the one or more gate extension trenches 1502 are separated from the one or more gate extension trenches 1502. The sidewalls and horizontally extending surfaces of the isolation structure 112 are formed. FIG. 15B shows a top view 1510 of the cross-sectional view 1500 of FIG. 15A. As shown in the top view 1510, the one or more gate extension trenches 1502 extend outward from different positions of the gate base groove 1202.

在一些實施例中,可通過根據第四遮蔽層1508選擇性地將閘極介電質105及所述一個或多個隔離結構112暴露到第三蝕刻劑1506來形成所述一個或多個閘極延伸溝渠1502。在各種實施例中,第四遮蔽層1508可包括硬罩幕層、光敏材料(例如,微影膠)等。在一些實施例中,第三蝕刻劑1506可包括乾蝕刻劑。在一些替代實施例(未示出)中,閘極延伸溝渠1502可與閘極基礎凹槽1202同時形成。在一些此種實施例中,可使用在矽與氧化矽之間具有相對低的蝕刻選擇性的蝕刻劑(例如,包含CF4 的乾蝕刻劑)。圖15C示出在移除第四遮蔽層1508之後,圖15A的剖視圖的三維視圖1512及圖15B的俯視圖1510。In some embodiments, the one or more gates may be formed by selectively exposing the gate dielectric 105 and the one or more isolation structures 112 to the third etchant 1506 according to the fourth shielding layer 1508 Polar extension trench 1502. In various embodiments, the fourth shielding layer 1508 may include a hard mask layer, a photosensitive material (for example, lithography glue), and the like. In some embodiments, the third etchant 1506 may include a dry etchant. In some alternative embodiments (not shown), the gate extension trench 1502 may be formed at the same time as the gate base groove 1202. In some such embodiments, an etchant having a relatively low etching selectivity between silicon and silicon oxide (for example, a dry etchant containing CF 4 ) may be used. FIG. 15C shows a three-dimensional view 1512 of the cross-sectional view of FIG. 15A and a top view 1510 of FIG. 15B after the fourth shielding layer 1508 is removed.

如圖16A的剖視圖1600及圖16B的俯視圖1604中所示,在閘極基礎凹槽1202內及所述一個或多個閘極延伸溝渠1502內形成閘極材料1602。在一些實施例中,閘極材料1602可被形成為從閘極基礎凹槽1202及所述一個或多個閘極延伸溝渠1502內延伸到基底102的上表面的正上方。在一些實施例中,閘極材料1602可包括多晶矽、金屬等。在一些實施例中,閘極材料1602可通過沉積製程(例如,CVD製程、PE-CVD製程等)和/或鍍覆製程(例如,電鍍製程、無電鍍覆製程等)形成。As shown in the cross-sectional view 1600 of FIG. 16A and the top view 1604 of FIG. 16B, a gate material 1602 is formed in the gate base groove 1202 and the one or more gate extension trenches 1502. In some embodiments, the gate material 1602 may be formed to extend from the gate base groove 1202 and the one or more gate extension trenches 1502 to directly above the upper surface of the substrate 102. In some embodiments, the gate material 1602 may include polysilicon, metal, and the like. In some embodiments, the gate material 1602 may be formed by a deposition process (for example, a CVD process, a PE-CVD process, etc.) and/or a plating process (for example, an electroplating process, an electroless plating process, etc.).

如圖17A的剖視圖1700中所示,通過從基底102之上移除多餘的閘極材料(圖16的1602)及閘極介電質105,沿線1702執行平坦化製程以形成閘極電極107。如圖17B的俯視圖1704中所示,閘極電極107包括基礎區107b及在側向上從形成基礎區107b的閘極電極107的側壁向外突出到所述一個或多個隔離結構112的正上方的一個或多個閘極延伸部107e。在一些實施例中,平坦化製程可包括化學機械平坦化(chemical mechanical planarization,CMP)製程。As shown in the cross-sectional view 1700 of FIG. 17A, by removing excess gate material (1602 in FIG. 16) and the gate dielectric 105 from the substrate 102, a planarization process is performed along the line 1702 to form the gate electrode 107. As shown in the top view 1704 of FIG. 17B, the gate electrode 107 includes a base region 107b and laterally protrudes from the sidewall of the gate electrode 107 forming the base region 107b to directly above the one or more isolation structures 112 One or more gate extensions 107e. In some embodiments, the planarization process may include a chemical mechanical planarization (CMP) process.

如圖18的剖視圖1800中所示,在基底102之上形成閘極堆疊1802。閘極堆疊1802延伸超過閘極電極107的相對側。在一些實施例中,閘極堆疊1802可包含第一介電材料706、位於第一介電材料706之上的第二介電材料708、位於第二介電材料708之上的閘極電極材料1804、位於閘極電極材料1804之上的第三介電材料1806、以及位於第三介電材料1806之上的第四介電材料1808。As shown in the cross-sectional view 1800 of FIG. 18, a gate stack 1802 is formed on the substrate 102. The gate stack 1802 extends beyond the opposite side of the gate electrode 107. In some embodiments, the gate stack 1802 may include a first dielectric material 706, a second dielectric material 708 on the first dielectric material 706, and a gate electrode material on the second dielectric material 708 1804, a third dielectric material 1806 on the gate electrode material 1804, and a fourth dielectric material 1808 on the third dielectric material 1806.

如圖19的剖視圖1900中所示,將閘極堆疊(圖18的1802)圖案化以形成圖案化閘極堆疊1902。在一些實施例中,在將閘極堆疊(圖18的1802)圖案化之後,沿圖案化閘極堆疊1902的相對側形成一個或多個側壁間隔件1904。圖案化閘極堆疊1902暴露出基底102的位於閘極電極107的相對側上的源極區域1906及汲極區域1908。在一些實施例(未示出)中,可將閘極堆疊圖案化以在基底的另一部分(例如,如圖7中所示)上在週邊邏輯區中形成附加閘極堆疊。As shown in the cross-sectional view 1900 of FIG. 19, the gate stack (1802 of FIG. 18) is patterned to form a patterned gate stack 1902. In some embodiments, after patterning the gate stack (1802 of FIG. 18), one or more sidewall spacers 1904 are formed along opposite sides of the patterned gate stack 1902. The patterned gate stack 1902 exposes the source region 1906 and the drain region 1908 of the substrate 102 on opposite sides of the gate electrode 107. In some embodiments (not shown), the gate stack may be patterned to form an additional gate stack in the peripheral logic region on another part of the substrate (eg, as shown in FIG. 7).

如圖20的剖視圖2000中所示,向基底102中注入一種或多種摻雜劑物種2002,以在閘極電極107的相對側上形成源極區104及汲極區108。在一些實施例中,可根據圖案化閘極堆疊1902向基底102中選擇性地注入所述一種或多種摻雜劑物種2002。在此種實施例中,在源極區域1906內形成源極區104且在汲極區域1908內形成汲極區108。在各種實施例中,所述一種或多種摻雜劑物種2002可包括n型摻雜劑(例如,磷、砷等)或p型摻雜劑(例如,硼、鋁等)。在一些實施例中,可在向基底102中注入所述一種或多種摻雜劑物種2002之後執行退火,以將摻雜劑進一步驅入到基底102中。As shown in the cross-sectional view 2000 of FIG. 20, one or more dopant species 2002 are implanted into the substrate 102 to form a source region 104 and a drain region 108 on opposite sides of the gate electrode 107. In some embodiments, the one or more dopant species 2002 may be selectively implanted into the substrate 102 according to the patterned gate stack 1902. In this embodiment, the source region 104 is formed in the source region 1906 and the drain region 108 is formed in the drain region 1908. In various embodiments, the one or more dopant species 2002 may include n-type dopants (eg, phosphorus, arsenic, etc.) or p-type dopants (eg, boron, aluminum, etc.). In some embodiments, annealing may be performed after implanting the one or more dopant species 2002 into the substrate 102 to further drive the dopants into the substrate 102.

如圖21的剖視圖2100中所示,對圖案化閘極堆疊(圖20的1902)執行平坦化製程(沿線2102),以移除圖案化閘極堆疊的一層或多層且形成介電堆疊2104。在一些實施例中,平坦化製程移除閘極電極材料(圖18的1804)、第三介電材料(圖18的1806)及第四介電材料(圖18的1808)。在一些實施例中,平坦化製程可包括化學機械拋光(CMP)製程。As shown in the cross-sectional view 2100 of FIG. 21, a planarization process (along line 2102) is performed on the patterned gate stack (1902 of FIG. 20) to remove one or more layers of the patterned gate stack and form a dielectric stack 2104. In some embodiments, the planarization process removes the gate electrode material (1804 in FIG. 18), the third dielectric material (1806 in FIG. 18), and the fourth dielectric material (1808 in FIG. 18). In some embodiments, the planarization process may include a chemical mechanical polishing (CMP) process.

如圖22的剖視圖2200中所示,可對介電堆疊(圖21的2104)選擇性地進行蝕刻以移除介電堆疊的部分。在一些實施例中,不從閘極介電質105之上移除介電堆疊,以防止對閘極介電質105造成損壞。在此種實施例中,對介電堆疊進行蝕刻會形成一個或多個介電結構306,所述一個或多個介電結構306覆蓋閘極介電質105的至少一個最上表面且具有形成開口2204的側壁,所述開口2204延伸穿過所述一個或多個介電結構306以暴露出閘極電極107的上表面。在一些實施例中,可通過在介電堆疊之上形成第五遮蔽層2202且隨後將介電堆疊的未被遮蔽的部分暴露到移除介電堆疊的未被遮蔽的部分的蝕刻劑2206來對介電堆疊(圖21的2104)選擇性地進行蝕刻。As shown in the cross-sectional view 2200 of FIG. 22, the dielectric stack (2104 of FIG. 21) may be selectively etched to remove portions of the dielectric stack. In some embodiments, the dielectric stack is not removed from the gate dielectric 105 to prevent damage to the gate dielectric 105. In this embodiment, etching the dielectric stack will form one or more dielectric structures 306 that cover at least one uppermost surface of the gate dielectric 105 and have openings. 2204, the opening 2204 extends through the one or more dielectric structures 306 to expose the upper surface of the gate electrode 107. In some embodiments, it can be achieved by forming a fifth shielding layer 2202 over the dielectric stack and then exposing the unshielded portion of the dielectric stack to an etchant 2206 that removes the unshielded portion of the dielectric stack. The dielectric stack (2104 in FIG. 21) is selectively etched.

如圖23的剖視圖2300中所示,執行自對準矽化物製程(salicide process)。自對準矽化物製程沿源極區104的上表面、汲極區108的上表面及閘極電極107的上表面形成矽化物308。在一些實施例中,矽化物308相對於被所述一個或多個介電結構306覆蓋的源極區104的邊緣、汲極區108的邊緣及閘極電極107的邊緣在側向上向回定型。在一些實施例中,自對準矽化物製程可通過向源極區104、汲極區108及閘極電極107中沉積金屬(例如,鋁)、之後進行高溫退火來執行。As shown in the cross-sectional view 2300 of FIG. 23, a salicide process is performed. The salicide process forms a silicide 308 along the upper surface of the source region 104, the upper surface of the drain region 108, and the upper surface of the gate electrode 107. In some embodiments, the silicide 308 is reshaped laterally with respect to the edge of the source region 104, the edge of the drain region 108, and the edge of the gate electrode 107 covered by the one or more dielectric structures 306 . In some embodiments, the salicide process can be performed by depositing metal (for example, aluminum) into the source region 104, the drain region 108, and the gate electrode 107, followed by high temperature annealing.

如圖24的剖視圖2400中所示,在基底102之上形成層間介電(ILD)結構208,且在ILD結構208內形成多個導電內連件(導電接觸件210到內連線212)。在一些實施例中,ILD結構208可包括形成在基底102之上的多個堆疊的ILD層。在一些實施例(未示出)中,所述多個堆疊的ILD層被蝕刻終止層(未示出)隔開。在一些實施例中,所述多個導電內連件可包括導電接觸件210及內連線212。在一些實施例中,所述多個導電內連件(導電接觸件210到內連線212)可通過以下方式形成:在基底102之上形成所述一個或多個ILD層(例如,氧化物、低介電常數介電質或超低介電常數介電質)中的一者;對ILD層選擇性地進行蝕刻以在ILD層內形成通孔孔洞和/或溝渠;在通孔孔洞和/或溝渠內形成導電材料(例如,銅、鋁等);以及執行平坦化製程(例如,化學機械平坦化製程)。As shown in the cross-sectional view 2400 of FIG. 24, an interlayer dielectric (ILD) structure 208 is formed on the substrate 102, and a plurality of conductive interconnects (conductive contacts 210 to interconnects 212) are formed in the ILD structure 208. In some embodiments, the ILD structure 208 may include a plurality of stacked ILD layers formed on the substrate 102. In some embodiments (not shown), the plurality of stacked ILD layers are separated by an etch stop layer (not shown). In some embodiments, the plurality of conductive interconnects may include conductive contacts 210 and interconnects 212. In some embodiments, the plurality of conductive interconnects (the conductive contact 210 to the interconnect 212) can be formed by forming the one or more ILD layers (for example, oxide , Low-k dielectric or ultra-low-k dielectric); selectively etching the ILD layer to form via holes and/or trenches in the ILD layer; in the via holes and / Or forming a conductive material (for example, copper, aluminum, etc.) in the trench; and performing a planarization process (for example, a chemical mechanical planarization process).

圖25示出形成具有高電壓電晶體裝置的積體晶片的方法2500的一些實施例的流程圖,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷式閘極電極。Figure 25 shows a flowchart of some embodiments of a method 2500 of forming an integrated wafer with a high voltage transistor device that includes a recessed gate electrode with a gate extension.

儘管所公開的方法2500在本文中被示出及闡述為一系列動作或事件,然而應理解,這些動作或事件的示出順序不應被解釋為具有限制性意義。舉例來說,某些動作可以不同的順序發生,和/或可與除本文中所示和/或所闡述的動作或事件之外的其他動作或事件同時發生。另外,在實施本文說明的一個或多個方面或實施例時可能並非需要所有所示動作。此外,本文中所繪示的動作中的一個或多個動作可在一個或多個單獨的動作和/或階段中施行。Although the disclosed method 2500 is shown and described herein as a series of actions or events, it should be understood that the order in which these actions or events are shown should not be construed as having a restrictive meaning. For example, certain actions may occur in a different order, and/or may occur simultaneously with other actions or events than those shown and/or described herein. In addition, not all of the illustrated actions may be required to implement one or more aspects or embodiments described herein. In addition, one or more of the actions illustrated herein may be performed in one or more separate actions and/or stages.

在動作2502處,在基底內形成一個或多個隔離結構。圖10A到圖11B示出與動作2502對應的一些實施例的剖視圖1000及1100以及俯視圖1012及1102。At act 2502, one or more isolation structures are formed within the substrate. 10A-11B show cross-sectional views 1000 and 1100 and top views 1012 and 1102 of some embodiments corresponding to action 2502.

在動作2504處,對基底選擇性地進行蝕刻以在基底內形成閘極基礎凹槽。圖12A到圖12B示出與動作2504對應的一些實施例的剖視圖1200及俯視圖1212。At act 2504, the substrate is selectively etched to form gate base grooves in the substrate. 12A to 12B show a cross-sectional view 1200 and a top view 1212 of some embodiments corresponding to action 2504.

在動作2506處,在基底內形成井區及漂移區。圖13A到圖13B示出與動作2506對應的一些實施例的剖視圖1300及俯視圖1306。At action 2506, a well zone and a drift zone are formed in the substrate. FIGS. 13A to 13B show a cross-sectional view 1300 and a top view 1306 of some embodiments corresponding to action 2506.

在動作2508處,在閘極基極凹槽內及所述一個或多個隔離結構之上形成閘極介電質。圖14A到圖14B示出與動作2508對應的一些實施例的剖視圖1400及俯視圖1402。At act 2508, a gate dielectric is formed in the gate base recess and over the one or more isolation structures. 14A to 14B show a cross-sectional view 1400 and a top view 1402 of some embodiments corresponding to action 2508.

在動作2510處,形成從閘極基礎凹槽向外延伸到所述一個或多個隔離結構內的一個或多個閘極延伸溝渠。圖15A到圖15C示出與動作2510對應的一些實施例的剖視圖1500、俯視圖1510及三維視圖1512。At act 2510, one or more gate extension trenches extending outward from the gate base groove into the one or more isolation structures are formed. 15A to 15C show a cross-sectional view 1500, a top view 1510, and a three-dimensional view 1512 of some embodiments corresponding to action 2510.

在動作2512處,在閘極基礎凹槽及所述一個或多個閘極延伸溝渠內形成閘極電極。圖16A到圖17B示出與動作2512對應的一些實施例的剖視圖1600及1700以及俯視圖1604及1704。At act 2512, a gate electrode is formed in the gate base groove and the one or more gate extension trenches. 16A to 17B show cross-sectional views 1600 and 1700 and top views 1604 and 1704 of some embodiments corresponding to act 2512.

在動作2514處,在閘極電極之上形成閘極堆疊。圖18示出與動作2514對應的一些實施例的剖視圖1800。At act 2514, a gate stack is formed over the gate electrode. FIG. 18 shows a cross-sectional view 1800 of some embodiments corresponding to act 2514.

在動作2516處,將閘極堆疊圖案化,以在閘極電極之上形成圖案化閘極堆疊。圖19示出與動作2516對應的一些實施例的剖視圖1900。At act 2516, the gate stack is patterned to form a patterned gate stack over the gate electrode. FIG. 19 shows a cross-sectional view 1900 of some embodiments corresponding to act 2516.

在動作2518處,根據圖案化閘極堆疊對基底進行注入,以在閘極電極的相對側上形成源極區及汲極區。圖20示出與動作2518對應的一些實施例的剖視圖2000。At act 2518, the substrate is implanted according to the patterned gate stack to form source and drain regions on opposite sides of the gate electrode. FIG. 20 shows a cross-sectional view 2000 of some embodiments corresponding to act 2518.

在動作2520處,從圖案化閘極堆疊移除一層或多層以形成介電堆疊。圖21示出與動作2520對應的一些實施例的剖視圖2100。At act 2520, one or more layers are removed from the patterned gate stack to form a dielectric stack. FIG. 21 shows a cross-sectional view 2100 of some embodiments corresponding to act 2520.

在動作2522處,將介電堆疊圖案化以形成覆蓋閘極介電質的一個或多個介電結構。圖22示出與動作2522對應的一些實施例的剖視圖2200。At act 2522, the dielectric stack is patterned to form one or more dielectric structures covering the gate dielectric. FIG. 22 shows a cross-sectional view 2200 of some embodiments corresponding to act 2522.

在動作2524處,執行自對準矽化物製程。圖23示出與動作2524對應的一些實施例的剖視圖2300。At act 2524, a self-aligned silicide process is performed. FIG. 23 shows a cross-sectional view 2300 of some embodiments corresponding to act 2524.

在動作2526處,在閘極電極之上形成的層間介電(ILD)層內形成一個或多個導電接觸件。圖24示出與動作2526對應的一些實施例的剖視圖2400。At act 2526, one or more conductive contacts are formed in the interlayer dielectric (ILD) layer formed over the gate electrode. FIG. 24 shows a cross-sectional view 2400 of some embodiments corresponding to act 2526.

因此,在一些實施例中,本公開關於一種包括電晶體裝置的積體晶片,所述電晶體裝置具有閘極結構,所述閘極結構具有被配置成向電晶體裝置提供高擊穿電壓的閘極延伸部。Therefore, in some embodiments, the present disclosure relates to an integrated wafer including a transistor device having a gate structure having a structure configured to provide a high breakdown voltage to the transistor device Gate extension.

在一些實施例中,本公開關於一種積體晶片。所述積體晶片包括:源極區,設置在基底內;汲極區,設置在所述基底內且沿第一方向與所述源極區隔開;漂移區,在所述源極區與所述汲極區之間設置在所述基底內;多個隔離結構,設置在所述漂移區內;以及閘極電極,設置在所述基底內,所述閘極電極具有基礎區及多個閘極延伸部,所述基礎區設置在所述源極區與所述漂移區之間,所述多個閘極延伸部從所述基礎區的側壁向外延伸到所述多個隔離結構之上。在一些實施例中,所述多個隔離結構具有外側壁,所述外側壁沿與所述第一方向垂直的第二方向與所述漂移區隔開。在一些實施例中,所述多個隔離結構沿與所述第一方向垂直的第二方向分別延伸超出所述多個閘極延伸部中的相應的閘極延伸部的相對側。在一些實施例中,所述多個閘極延伸部沿與所述第一方向垂直的第二方向通過所述多個隔離結構且通過所述漂移區而彼此隔開。在一些實施例中,所述多個隔離結構位於所述多個閘極延伸部與所述汲極區之間。在一些實施例中,所述積體晶片更包括:閘極介電質,沿所述閘極電極的所述基礎區的側壁及下表面設置,所述多個隔離結構具有與所述閘極介電質的側壁直接接觸的側壁。在一些實施例中,所述積體晶片更包括:閘極介電質,沿所述閘極電極的所述基礎區的側壁及下表面設置,所述多個隔離結構沿所述基底的上表面從所述閘極介電質連續地延伸到所述汲極區。在一些實施例中,所述多個隔離結構包含設置在所述基底中的溝渠內的一種或多種介電材料;且所述多個閘極延伸部設置在由所述多個隔離結構的內表面形成的附加溝渠內。在一些實施例中,所述積體晶片更包括:閘極介電質,沿所述閘極電極的所述基礎區的側壁及下表面設置;一個或多個介電結構,設置在所述閘極電極的相對的外邊緣之上以及所述閘極介電質之上;以及層間介電質(ILD),設置在所述一個或多個介電結構之上且沿所述一個或多個介電結構的側壁設置。In some embodiments, the present disclosure relates to an integrated wafer. The integrated wafer includes: a source region arranged in a substrate; a drain region arranged in the substrate and separated from the source region along a first direction; a drift region in the source region and The drain regions are arranged in the substrate; a plurality of isolation structures are arranged in the drift region; and a gate electrode is arranged in the substrate, the gate electrode has a base region and a plurality of A gate extension, the base region is disposed between the source region and the drift region, and the plurality of gate extensions extend outward from the sidewall of the base region to one of the plurality of isolation structures on. In some embodiments, the plurality of isolation structures have outer sidewalls that are separated from the drift region along a second direction perpendicular to the first direction. In some embodiments, the plurality of isolation structures respectively extend beyond opposite sides of corresponding ones of the plurality of gate extensions in a second direction perpendicular to the first direction. In some embodiments, the plurality of gate extensions are separated from each other by the plurality of isolation structures and by the drift region in a second direction perpendicular to the first direction. In some embodiments, the plurality of isolation structures are located between the plurality of gate extensions and the drain region. In some embodiments, the integrated wafer further includes: a gate dielectric, which is disposed along the sidewall and the lower surface of the base region of the gate electrode, and the plurality of isolation structures are connected to the gate electrode The side wall of the dielectric is in direct contact with the side wall. In some embodiments, the integrated wafer further includes a gate dielectric, which is arranged along the sidewall and the lower surface of the base region of the gate electrode, and the plurality of isolation structures are arranged along the upper surface of the substrate. The surface extends continuously from the gate dielectric to the drain region. In some embodiments, the plurality of isolation structures includes one or more dielectric materials disposed in trenches in the substrate; and the plurality of gate extensions are disposed in the plurality of isolation structures. In the additional trenches formed on the surface. In some embodiments, the integrated wafer further includes: a gate dielectric, which is arranged along the sidewall and the lower surface of the base area of the gate electrode; one or more dielectric structures are arranged on the On the opposite outer edges of the gate electrode and on the gate dielectric; and an interlayer dielectric (ILD) disposed on the one or more dielectric structures and along the one or more The sidewalls of a dielectric structure are provided.

在其他實施例中,本公開關於一種積體晶片。所述積體晶片包括:源極區,設置在基底內;汲極區,設置在所述基底內;閘極介電質,對所述基底的內表面加襯;閘極電極,設置在所述源極區與所述汲極區之間且具有基礎區及多個閘極延伸部,所述基礎區位於所述閘極介電質之上,所述多個閘極延伸部從所述閘極電極的所述基礎區的側壁向外朝所述汲極區突出;以及多個隔離結構,在所述閘極介電質與所述汲極區之間連續地延伸,所述多個隔離結構分別環繞所述多個閘極延伸部中的一者。在一些實施例中,所述積體晶片更包括:漂移區,在所述基礎區與所述汲極區之間設置在所述基底內,所述多個隔離結構通過所述漂移區而彼此隔開。在一些實施例中,所述漂移區沿第一方向及沿與所述第一方向垂直的第二方向延伸超出所述多個隔離結構的相對側。在一些實施例中,所述積體晶片更包括:一個或多個介電結構,設置在所述閘極電極的相對的外邊緣之上;層間介電質(ILD),設置在所述一個或多個介電結構之上且沿所述一個或多個介電結構的側壁設置;以及矽化物,沿所述閘極電極的上表面排列,所述一個或多個介電結構覆蓋所述閘極電極的位於所述矽化物之外的一個或多個部分。在一些實施例中,所述一個或多個介電結構分別包含第一介電材料、位於所述第一介電材料之上的第二介電材料及沿所述第一介電材料的側壁及所述第二介電材料的側壁的第三介電材料。在一些實施例中,所述基礎區延伸到所述基底的上表面下方第一深度,且所述多個閘極延伸部延伸到所述基底的所述上表面下方第二深度,所述第二深度小於所述第一深度。在一些實施例中,所述多個隔離結構在所述基底內延伸到比所述閘極介電質大的深度。在一些實施例中,所述閘極介電質包括排列在所述基礎區與所述多個閘極延伸部中的閘極延伸部之間的突起,所述突起從所述基礎區的上表面向外延伸到所述閘極延伸部的底部上方。在一些實施例中,所述多個閘極延伸部中的閘極延伸部的底表面與所述閘極介電質的上表面及所述多個隔離結構中的隔離結構的上表面二者接觸。In other embodiments, the present disclosure relates to an integrated wafer. The integrated wafer includes: a source region arranged in the substrate; a drain region arranged in the substrate; a gate dielectric lining the inner surface of the substrate; a gate electrode arranged in the substrate Between the source region and the drain region, there is a base region and a plurality of gate extensions, the base region is located on the gate dielectric, and the plurality of gate extensions extend from the The sidewalls of the base region of the gate electrode protrude outward toward the drain region; and a plurality of isolation structures extending continuously between the gate dielectric and the drain region, the plurality The isolation structure respectively surrounds one of the plurality of gate extensions. In some embodiments, the integrated wafer further includes a drift region, which is provided in the substrate between the base region and the drain region, and the plurality of isolation structures are connected to each other through the drift region. Separate. In some embodiments, the drift region extends beyond opposite sides of the plurality of isolation structures in a first direction and in a second direction perpendicular to the first direction. In some embodiments, the integrated wafer further includes: one or more dielectric structures disposed on opposite outer edges of the gate electrode; and an interlayer dielectric (ILD) disposed on the one Or a plurality of dielectric structures are disposed along the sidewalls of the one or more dielectric structures; and silicides are arranged along the upper surface of the gate electrode, and the one or more dielectric structures cover the One or more parts of the gate electrode located outside the silicide. In some embodiments, the one or more dielectric structures respectively include a first dielectric material, a second dielectric material located on the first dielectric material, and sidewalls along the first dielectric material And the third dielectric material of the sidewall of the second dielectric material. In some embodiments, the base region extends to a first depth below the upper surface of the substrate, and the plurality of gate extensions extend to a second depth below the upper surface of the substrate, the first The second depth is smaller than the first depth. In some embodiments, the plurality of isolation structures extend within the substrate to a depth greater than that of the gate dielectric. In some embodiments, the gate dielectric includes protrusions arranged between the base region and the gate extensions of the plurality of gate extensions, and the protrusions extend from above the base region. The surface extends outward to above the bottom of the gate extension. In some embodiments, both the bottom surface of the gate extension of the plurality of gate extensions and the upper surface of the gate dielectric and the upper surface of the isolation structure of the plurality of isolation structures contact.

在再一些其他實施例中,本公開關於一種形成積體晶片的方法。所述方法包括:在基底內形成多個隔離結構;對所述基底選擇性地進行蝕刻,以在所述基底內形成閘極基礎凹槽;對所述多個隔離結構選擇性地進行蝕刻,以形成從所述閘極基礎凹槽向外延伸的多個閘極延伸溝渠;在所述閘極基礎凹槽及所述多個閘極延伸溝渠內形成導電材料,以形成閘極電極;以及在所述閘極電極的相對側上形成源極區及汲極區。在一些實施例中,所述方法更包括:在對所述多個隔離結構選擇性地進行蝕刻以形成所述多個閘極延伸溝渠之前,在所述閘極基礎凹槽內形成閘極介電質。In still other embodiments, the present disclosure relates to a method of forming an integrated wafer. The method includes: forming a plurality of isolation structures in a substrate; selectively etching the substrate to form a gate base groove in the substrate; selectively etching the plurality of isolation structures, To form a plurality of gate extension trenches extending outward from the gate base groove; forming a conductive material in the gate base groove and the plurality of gate extension trenches to form gate electrodes; and A source region and a drain region are formed on opposite sides of the gate electrode. In some embodiments, the method further includes: before selectively etching the plurality of isolation structures to form the plurality of gate extension trenches, forming a gate dielectric in the gate base groove Electricity.

以上概述了若干實施例的特徵,以使所屬領域中的技術人員可更好地理解本公開的各個方面。所屬領域中的技術人員應理解,他們可容易地使用本公開作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的和/或實現與本文中所介紹的實施例相同的優點。所屬領域中的技術人員還應認識到,這些等效構造並不背離本公開的精神及範圍,而且他們可在不背離本公開的精神及範圍的條件下在本文中作出各種改變、代替及變更。The features of several embodiments are summarized above, so that those skilled in the art can better understand various aspects of the present disclosure. Those skilled in the art should understand that they can easily use the present disclosure as a basis for designing or modifying other processes and structures to perform the same purpose as the embodiments described herein and/or achieve the implementations described herein Example of the same advantages. Those skilled in the art should also realize that these equivalent structures do not depart from the spirit and scope of the present disclosure, and they can make various changes, substitutions and alterations in this article without departing from the spirit and scope of the present disclosure. .

100、300、400、700、800:積體晶片 102:基底 102i、112i:內表面 102u:上表面 104、104a、104b、714:源極區 105:閘極介電質 106、106a、106b、712:閘極結構 107、722:閘極電極 107b:基礎區 107e:閘極延伸部 107e1 :第一閘極延伸部 107e2 :第二閘極延伸部 108、716:汲極區 109:井區 110:漂移區 112:隔離結構 112a:第一隔離結構 112b:第二隔離結構 112c:第三隔離結構 114:第一方向 116:第二方向 200、216、222、500、600、900、1000、1100、1200、1300、1400、1500、1600、1700、1800、1900、2000、2100、2200、2300、2400:剖視圖 202、502、602、910、1012、1102、1212、1306、1402、1510、1604、1704:俯視圖 204:第一厚度 206:第二厚度 208:層間介電(ILD)結構 208a:第一ILD層 208b:第二ILD層 210:導電接觸件 212:內連線 214:空乏區 218:溝渠 220:附加溝渠 224:負電荷 226:正電荷 302、310:非零距離 304:突起 306:介電結構 308:矽化物 312:接觸蝕刻終止層(CESL) 402:間距 404:距離 702:高電壓電晶體裝置區 704:週邊邏輯區 706:第一介電材料 708:第二介電材料 710:第三介電材料 717:閘極介電結構 718:第一閘極介電材料 720:第二閘極介電材料 724、726:上覆的介電層 728、1904:側壁間隔件 802a、802b:本體區 804、1702、2102:線 902、1208:第一深度 904:厚度 906:附加隔離結構 908:第二深度 1002:隔離溝渠 1004:第一蝕刻劑 1006:第一遮蔽層 1008:第一硬罩幕層 1010:第二硬罩幕層 1202:閘極基礎凹槽 1204:第二蝕刻劑 1202h1 、1202h2 :水平延伸表面 1202s1 、1202s2 :側壁 1206:第二遮蔽層 1210:第二深度 1302:第二摻雜劑物種 1304:第三遮蔽層 1502:閘極延伸溝渠 1504:第三深度 1506:第三蝕刻劑 1508:第四遮蔽層 1512:三維視圖 1602:閘極材料 1802:閘極堆疊 1804:閘極電極材料 1806:第三介電材料 1808:第四介電材料 1902:圖案化閘極堆疊 1906:源極區域 1908:汲極區域 2002:摻雜劑物種 2104:介電堆疊 2202:第五遮蔽層 2204:開口 2206:蝕刻劑 2500:方法 2502、2504、2506、2508、2510、2512、2514、2516、2518、2520、2522、2524、2526:動作 A-A´:剖面線 B-B´:剖面線d :距離100, 300, 400, 700, 800: integrated wafer 102: substrate 102i, 112i: inner surface 102u: upper surface 104, 104a, 104b, 714: source region 105: gate dielectric 106, 106a, 106b, 712: gate structure 107, 722: gate electrode 107b: base region 107e: gate extension 107e 1 : first gate extension 107e 2 : second gate extension 108, 716: drain region 109: well Region 110: drift region 112: isolation structure 112a: first isolation structure 112b: second isolation structure 112c: third isolation structure 114: first direction 116: second direction 200, 216, 222, 500, 600, 900, 1000 , 1100, 1200, 1300, 1400, 1500, 1600, 1700, 1800, 1900, 2000, 2100, 2200, 2300, 2400: Sectional view 202, 502, 602, 910, 1012, 1102, 1212, 1306, 1402, 1510, 1604, 1704: top view 204: first thickness 206: second thickness 208: interlayer dielectric (ILD) structure 208a: first ILD layer 208b: second ILD layer 210: conductive contact 212: interconnection 214: depletion region 218: trench 220: additional trench 224: negative charge 226: positive charge 302, 310: non-zero distance 304: protrusion 306: dielectric structure 308: silicide 312: contact etching stop layer (CESL) 402: pitch 404: distance 702 : High voltage transistor device area 704: Peripheral logic area 706: First dielectric material 708: Second dielectric material 710: Third dielectric material 717: Gate dielectric structure 718: First gate dielectric material 720 : Second gate dielectric material 724, 726: overlying dielectric layer 728, 1904: sidewall spacers 802a, 802b: body regions 804, 1702, 2102: lines 902, 1208: first depth 904: thickness 906: Additional isolation structure 908: second depth 1002: isolation trench 1004: first etchant 1006: first masking layer 1008: first hard mask layer 1010: second hard mask layer 1202: gate base groove 1204: first Two etchants 1202h 1 , 1202h 2 : horizontal extension surface 1202s 1 , 1202s 2 : sidewall 1206: second shielding layer 1210: second depth 1302: second dopant species 1304: third shielding layer 1502: gate extension trench 1504: third depth 1506: third etchant 1508: fourth masking layer 1512: three-dimensional view 1602: gate material 1802: gate stack 1804: gate electrode material 1806: third dielectric material 180 8: fourth dielectric material 1902: patterned gate stack 1906: source region 1908: drain region 2002: dopant species 2104: dielectric stack 2202: fifth shielding layer 2204: opening 2206: etchant 2500: Methods 2502, 2504, 2506, 2508, 2510, 2512, 2514, 2516, 2518, 2520, 2522, 2524, 2526: Action AA´: Section line BB´: Section line d : Distance

結合附圖閱讀以下詳細說明,會最好地理解本公開的各個方面。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為使論述清晰起見,可任意增大或減小各種特徵的尺寸。 圖1示出具有高電壓電晶體裝置的積體晶片的一些實施例的三維視圖,所述高電壓電晶體裝置包括具有閘極延伸部的閘極電極。 圖2A到圖2D示出具有高電壓電晶體裝置的積體晶片的一些附加實施例,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷式(recessed)閘極電極。 圖3示出具有高電壓電晶體裝置的積體晶片的一些附加實施例的剖視圖,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷式閘極電極。 圖4示出具有高電壓電晶體裝置的積體晶片的一些附加實施例的俯視圖,所述高電壓電晶體裝置包括具有閘極延伸部的閘極電極。 圖5A到圖5B示出具有高電壓電晶體裝置的積體晶片的一些附加實施例,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷式閘極電極。 圖6A到圖6B示出具有高電壓電晶體裝置的積體晶片的一些附加實施例,所述高電壓電晶體裝置包括具有閘極延伸部的局部地凹陷式閘極電極。 圖7示出具有高電壓電晶體裝置區及週邊邏輯區的積體晶片的一些實施例的剖視圖。 圖8示出具有高電壓電晶體裝置的積體晶片的一些附加實施例的俯視圖,所述高電壓電晶體裝置包括具有閘極延伸部的閘極電極。 圖9A到圖9B示出具有高電壓電晶體裝置的積體晶片的一些附加實施例,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷式閘極電極。 圖10A到圖24示出形成具有高電壓電晶體裝置的積體晶片的方法的一些實施例的剖視圖,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷式閘極電極。 圖25示出形成具有高電壓電晶體裝置的積體晶片的方法的一些實施例的流程圖,所述高電壓電晶體裝置包括具有閘極延伸部的凹陷式閘極電極。Read the following detailed description in conjunction with the accompanying drawings to best understand all aspects of the present disclosure. It should be noted that the various features are not drawn to scale according to standard practices in this industry. In fact, in order to make the discussion clear, the size of various features can be increased or decreased arbitrarily. Figure 1 shows a three-dimensional view of some embodiments of an integrated wafer with a high voltage transistor device that includes a gate electrode with a gate extension. Figures 2A to 2D show some additional embodiments of integrated wafers with high-voltage transistor devices that include recessed gate electrodes with gate extensions. Figure 3 shows a cross-sectional view of some additional embodiments of an integrated wafer with a high voltage transistor device that includes a recessed gate electrode with a gate extension. Figure 4 shows a top view of some additional embodiments of an integrated wafer with a high voltage transistor device including a gate electrode with a gate extension. Figures 5A to 5B show some additional embodiments of integrated wafers with high voltage transistor devices that include recessed gate electrodes with gate extensions. 6A to 6B show some additional embodiments of integrated wafers with high voltage transistor devices that include partially recessed gate electrodes with gate extensions. Figure 7 shows a cross-sectional view of some embodiments of an integrated wafer having a high voltage transistor device area and a peripheral logic area. Figure 8 shows top views of some additional embodiments of integrated wafers with high voltage transistor devices that include gate electrodes with gate extensions. 9A to 9B show some additional embodiments of integrated wafers with high voltage transistor devices that include recessed gate electrodes with gate extensions. 10A-24 show cross-sectional views of some embodiments of a method of forming an integrated wafer with a high-voltage transistor device that includes a recessed gate electrode with a gate extension. Figure 25 shows a flowchart of some embodiments of a method of forming an integrated wafer with a high voltage transistor device that includes a recessed gate electrode with a gate extension.

100:積體晶片 100: Integrated chip

102:基底 102: Base

102u:上表面 102u: upper surface

104:源極區 104: source region

105:閘極介電質 105: gate dielectric

106:閘極結構 106: Gate structure

107:閘極電極 107: gate electrode

107b:基礎區 107b: Basic area

107e:閘極延伸部 107e: gate extension

108:汲極區 108: Drain region

109:井區 109: Well Area

110:漂移區 110: Drift Zone

112:隔離結構 112: Isolation structure

114:第一方向 114: first direction

116:第二方向 116: second direction

Claims (20)

一種積體晶片,包括: 源極區,設置在基底內; 汲極區,設置在所述基底內且沿第一方向與所述源極區隔開; 漂移區,在所述源極區與所述汲極區之間設置在所述基底內; 多個隔離結構,設置在所述漂移區內;以及 閘極電極,設置在所述基底內,其中所述閘極電極包括基礎區及多個閘極延伸部,所述基礎區設置在所述源極區與所述漂移區之間,所述多個閘極延伸部從所述基礎區的側壁向外延伸到所述多個隔離結構之上。An integrated wafer including: The source area is set in the substrate; The drain region is arranged in the substrate and separated from the source region along the first direction; A drift region, arranged in the substrate between the source region and the drain region; A plurality of isolation structures are arranged in the drift zone; and The gate electrode is disposed in the substrate, wherein the gate electrode includes a base region and a plurality of gate extensions, the base region is disposed between the source region and the drift region, and the multiple A gate extension part extends outward from the sidewall of the base area to above the plurality of isolation structures. 如請求項1所述的積體晶片,其中所述多個隔離結構具有外側壁,所述外側壁沿與所述第一方向垂直的第二方向與所述漂移區隔開。The integrated wafer according to claim 1, wherein the plurality of isolation structures have outer sidewalls, and the outer sidewalls are separated from the drift region in a second direction perpendicular to the first direction. 如請求項1所述的積體晶片,其中所述多個隔離結構沿與所述第一方向垂直的第二方向分別延伸超出所述多個閘極延伸部中的相應的閘極延伸部的相對側。The integrated wafer according to claim 1, wherein the plurality of isolation structures respectively extend beyond the corresponding ones of the plurality of gate extensions in a second direction perpendicular to the first direction Opposite side. 如請求項1所述的積體晶片,其中所述多個閘極延伸部沿與所述第一方向垂直的第二方向通過所述多個隔離結構且通過所述漂移區而彼此隔開。The integrated wafer according to claim 1, wherein the plurality of gate extensions are separated from each other by the plurality of isolation structures and by the drift region in a second direction perpendicular to the first direction. 如請求項1所述的積體晶片,其中所述多個隔離結構位於所述多個閘極延伸部與所述汲極區之間。The integrated chip according to claim 1, wherein the plurality of isolation structures are located between the plurality of gate extensions and the drain region. 如請求項1所述的積體晶片,更包括: 閘極介電質,沿所述閘極電極的所述基礎區的側壁及下表面設置,其中所述多個隔離結構具有與所述閘極介電質的側壁接觸的側壁。The integrated wafer as described in claim 1, further including: The gate dielectric is arranged along the sidewalls and the lower surface of the base region of the gate electrode, wherein the plurality of isolation structures have sidewalls contacting the sidewalls of the gate dielectric. 如請求項1所述的積體晶片,更包括: 閘極介電質,沿所述閘極電極的所述基礎區的側壁及下表面設置,其中所述多個隔離結構沿所述基底的上表面從所述閘極介電質連續地延伸到所述汲極區。The integrated wafer as described in claim 1, further including: The gate dielectric is arranged along the sidewall and the lower surface of the base region of the gate electrode, wherein the plurality of isolation structures continuously extend from the gate dielectric to the upper surface of the substrate The drain region. 如請求項1所述的積體晶片, 其中所述多個隔離結構包含設置在所述基底中的溝渠內的一種或多種介電材料;且 其中所述多個閘極延伸部設置在由所述多個隔離結構的內表面形成的附加溝渠內。The integrated wafer as described in claim 1, Wherein the plurality of isolation structures include one or more dielectric materials disposed in trenches in the substrate; and The plurality of gate extensions are arranged in additional trenches formed by the inner surfaces of the plurality of isolation structures. 如請求項1所述的積體晶片,更包括: 閘極介電質,沿所述閘極電極的所述基礎區的側壁及下表面設置; 一個或多個介電結構,設置在所述閘極電極的相對的外邊緣之上以及所述閘極介電質之上;以及 層間介電質,設置在所述一個或多個介電結構之上且沿所述一個或多個介電結構的側壁設置。The integrated wafer as described in claim 1, further including: The gate dielectric is arranged along the sidewall and the lower surface of the base area of the gate electrode; One or more dielectric structures disposed on the opposite outer edges of the gate electrode and on the gate dielectric; and The interlayer dielectric is disposed on the one or more dielectric structures and along the sidewalls of the one or more dielectric structures. 一種積體晶片,包括: 源極區,設置在基底內; 汲極區,設置在所述基底內; 閘極介電質,對所述基底的內表面加襯; 閘極電極,設置在所述源極區與所述汲極區之間且包括基礎區及多個閘極延伸部,所述基礎區位於所述閘極介電質之上,其中所述多個閘極延伸部從所述閘極電極的所述基礎區的側壁向外朝所述汲極區突出;以及 多個隔離結構,在所述閘極介電質與所述汲極區之間連續地延伸,其中所述多個隔離結構分別環繞所述多個閘極延伸部中的一者。An integrated wafer including: The source area is set in the substrate; The drain region is arranged in the substrate; Gate dielectric, lining the inner surface of the substrate; The gate electrode is disposed between the source region and the drain region and includes a base region and a plurality of gate extensions, the base region is located on the gate dielectric, wherein the multiple A gate extension part protrudes outward from the sidewall of the base region of the gate electrode toward the drain region; and A plurality of isolation structures continuously extend between the gate dielectric and the drain region, wherein the plurality of isolation structures respectively surround one of the plurality of gate extensions. 如請求項10所述的積體晶片,更包括: 漂移區,在所述基礎區與所述汲極區之間設置在所述基底內,其中所述多個隔離結構通過所述漂移區而彼此隔開。The integrated wafer described in claim 10 further includes: A drift region is provided in the substrate between the base region and the drain region, wherein the plurality of isolation structures are separated from each other by the drift region. 如請求項11所述的積體晶片,其中所述漂移區沿第一方向及沿與所述第一方向垂直的第二方向延伸超出所述多個隔離結構的相對側。The integrated wafer according to claim 11, wherein the drift region extends beyond opposite sides of the plurality of isolation structures in a first direction and in a second direction perpendicular to the first direction. 如請求項10所述的積體晶片,更包括: 一個或多個介電結構,設置在所述閘極電極的相對的外邊緣之上; 層間介電質,設置在所述一個或多個介電結構之上且沿所述一個或多個介電結構的側壁設置;以及 矽化物,沿所述閘極電極的上表面排列,其中所述一個或多個介電結構覆蓋所述閘極電極的位於所述矽化物之外的一個或多個部分。The integrated wafer described in claim 10 further includes: One or more dielectric structures arranged on the opposite outer edges of the gate electrode; An interlayer dielectric is disposed on the one or more dielectric structures and along the sidewalls of the one or more dielectric structures; and The silicide is arranged along the upper surface of the gate electrode, wherein the one or more dielectric structures cover one or more parts of the gate electrode outside the silicide. 如請求項13所述的積體晶片,其中所述一個或多個介電結構分別包含第一介電材料、位於所述第一介電材料之上的第二介電材料及沿所述第一介電材料的側壁及所述第二介電材料的側壁的第三介電材料。The integrated chip according to claim 13, wherein the one or more dielectric structures respectively include a first dielectric material, a second dielectric material located on the first dielectric material, and along the first dielectric material. A side wall of a dielectric material and a third dielectric material of the side wall of the second dielectric material. 如請求項10所述的積體晶片,其中所述基礎區延伸到所述基底的上表面下方第一深度,且所述多個閘極延伸部延伸到所述基底的所述上表面下方第二深度,所述第二深度小於所述第一深度。The integrated wafer according to claim 10, wherein the base area extends to a first depth below the upper surface of the substrate, and the plurality of gate extensions extend to a first depth below the upper surface of the substrate Two depths, the second depth is smaller than the first depth. 如請求項15所述的積體晶片,其中所述多個隔離結構在所述基底內延伸到比所述閘極介電質大的深度。The integrated wafer according to claim 15, wherein the plurality of isolation structures extend in the substrate to a depth greater than that of the gate dielectric. 如請求項10所述的積體晶片,其中所述閘極介電質包括排列在所述基礎區與所述多個閘極延伸部中的閘極延伸部之間的突起,所述突起從所述基礎區的上表面向外延伸到所述閘極延伸部的底部上方。The integrated wafer according to claim 10, wherein the gate dielectric includes protrusions arranged between the base region and the gate extensions of the plurality of gate extensions, the protrusions from The upper surface of the base area extends outward to above the bottom of the gate extension. 如請求項10所述的積體晶片,其中所述多個閘極延伸部中的閘極延伸部的底表面與所述閘極介電質的上表面及所述多個隔離結構中的隔離結構的上表面二者接觸。The integrated chip according to claim 10, wherein the bottom surface of the gate extension of the plurality of gate extensions is isolated from the upper surface of the gate dielectric and the isolation in the plurality of isolation structures The upper surface of the structure is in contact with both. 一種積體晶片的形成方法,包括: 在基底內形成多個隔離結構; 對所述基底選擇性地進行蝕刻,以在所述基底內形成閘極基礎凹槽; 對所述多個隔離結構選擇性地進行蝕刻,以形成從所述閘極基礎凹槽向外延伸的多個閘極延伸溝渠; 在所述閘極基礎凹槽及所述多個閘極延伸溝渠內形成導電材料,以形成閘極電極;以及 在所述閘極電極的相對側上形成源極區及汲極區。A method for forming an integrated wafer includes: Forming multiple isolation structures in the substrate; Selectively etching the substrate to form a gate base groove in the substrate; Selectively etching the plurality of isolation structures to form a plurality of gate extension trenches extending outward from the gate base groove; Forming a conductive material in the gate base groove and the plurality of gate extension trenches to form a gate electrode; and A source region and a drain region are formed on opposite sides of the gate electrode. 如請求項19所述的積體晶片的形成方法,更包括: 在對所述多個隔離結構選擇性地進行蝕刻以形成所述多個閘極延伸溝渠之前,在所述閘極基礎凹槽內形成閘極介電質。The method for forming an integrated wafer as described in claim 19 further includes: Before selectively etching the plurality of isolation structures to form the plurality of gate extension trenches, a gate dielectric is formed in the gate base groove.
TW109128767A 2019-08-29 2020-08-24 Integrated chip and method of forming the same TWI777225B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962893340P 2019-08-29 2019-08-29
US62/893,340 2019-08-29
US16/921,075 2020-07-06
US16/921,075 US11329128B2 (en) 2019-08-29 2020-07-06 High voltage device with gate extensions

Publications (2)

Publication Number Publication Date
TW202109894A true TW202109894A (en) 2021-03-01
TWI777225B TWI777225B (en) 2022-09-11

Family

ID=74680256

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109128767A TWI777225B (en) 2019-08-29 2020-08-24 Integrated chip and method of forming the same

Country Status (3)

Country Link
KR (1) KR102404493B1 (en)
CN (1) CN112447851A (en)
TW (1) TWI777225B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI780870B (en) * 2021-03-26 2022-10-11 世芯電子股份有限公司 Integrated circuit product and chip floorplan arrangement thereof

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117276329A (en) * 2023-11-20 2023-12-22 深圳天狼芯半导体有限公司 LDMOS with trench gate and preparation method

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6492678B1 (en) * 2000-05-03 2002-12-10 Linear Technology Corporation High voltage MOS transistor with gate extension
US7541240B2 (en) * 2005-10-18 2009-06-02 Sandisk Corporation Integration process flow for flash devices with low gap fill aspect ratio
JP5125288B2 (en) * 2007-07-25 2013-01-23 株式会社デンソー Horizontal MOS transistor and method of manufacturing the same
JP5280056B2 (en) * 2008-01-10 2013-09-04 シャープ株式会社 MOS field effect transistor
US8999785B2 (en) * 2011-09-27 2015-04-07 Tower Semiconductor Ltd. Flash-to-ROM conversion
US9318366B2 (en) * 2014-01-06 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming integrated circuit having modified isolation structure
KR102385949B1 (en) * 2015-12-31 2022-04-12 에스케이하이닉스 시스템아이씨 주식회사 Lateral power integrated device having a low on resistance
KR102385950B1 (en) * 2015-12-31 2022-04-13 에스케이하이닉스 시스템아이씨 주식회사 Lateral power integrated device having a low on resistance
US10269951B2 (en) * 2017-05-16 2019-04-23 General Electric Company Semiconductor device layout and method for forming same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI780870B (en) * 2021-03-26 2022-10-11 世芯電子股份有限公司 Integrated circuit product and chip floorplan arrangement thereof

Also Published As

Publication number Publication date
KR20210028106A (en) 2021-03-11
TWI777225B (en) 2022-09-11
CN112447851A (en) 2021-03-05
KR102404493B1 (en) 2022-06-07

Similar Documents

Publication Publication Date Title
TWI725087B (en) Semiconductor structure and associated fabricating method
US6524901B1 (en) Method for forming a notched damascene planar poly/metal gate
TWI669817B (en) Integrated chip and method of forming the same
TW201743445A (en) High voltage transistor device and method of producing the same
US7078284B2 (en) Method for forming a notched gate
TWI680534B (en) Low on resistance high voltage metal oxide semiconductor transistor
US11784240B2 (en) Semiconductor device structure with barrier layer
TWI677981B (en) Integrated chip and formation method thereof
TWI719430B (en) Integrated chip and method of forming the same
TWI748271B (en) Integrated chip and method of forming the same
TW202117927A (en) Integrated chip
US20210242092A1 (en) Novel Structures for Tuning Threshold Voltage
TWI777225B (en) Integrated chip and method of forming the same
US20220277960A1 (en) Manufacturing method of semiconductor device using gate-through implantation
TW201937565A (en) Dual gate LDMOS and a process of forming thereof
US10756187B1 (en) Extended drain MOS with dual well isolation
US11908891B2 (en) High voltage device with gate extensions
TW202145565A (en) Semiconductor device and manufacturing method thereof
US20220336291A1 (en) Novel gate structures for tuning threshold voltage
TW202213781A (en) Semiconductor device and method of forming the same
US20230326999A1 (en) Semiconductor device structure and method for forming the same
US20240113187A1 (en) Composite gate dielectric for high-voltage device
TWI819305B (en) Integrated chip and forming method thereof
US20220367444A1 (en) Shallow trench isolation processing with local oxidation of silicon
TW202410198A (en) Transistor, semiconductor device and method of forming a semiconductor structure

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent