TWI776996B - 半導體裝置結構及其形成方法 - Google Patents

半導體裝置結構及其形成方法 Download PDF

Info

Publication number
TWI776996B
TWI776996B TW107141587A TW107141587A TWI776996B TW I776996 B TWI776996 B TW I776996B TW 107141587 A TW107141587 A TW 107141587A TW 107141587 A TW107141587 A TW 107141587A TW I776996 B TWI776996 B TW I776996B
Authority
TW
Taiwan
Prior art keywords
gate stack
metal gate
metal
dielectric layer
semiconductor substrate
Prior art date
Application number
TW107141587A
Other languages
English (en)
Other versions
TW201931446A (zh
Inventor
蕭怡瑄
古淑瑗
洪志昌
楊宜偉
孫志銘
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201931446A publication Critical patent/TW201931446A/zh
Application granted granted Critical
Publication of TWI776996B publication Critical patent/TWI776996B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

一種半導體裝置結構的形成方法,包括:形成一第一虛設閘極堆疊和一第二虛設閘極堆疊於一半導體基板之上;形成一介電層於半導體基板之上以圍繞第一虛設閘極堆疊和第二虛設閘極堆疊;移除第一虛設閘極堆疊和第二虛設閘極堆疊以形成一第一溝槽和一第二溝槽於介電層中;分別形成一第一金屬閘極堆疊和一第二金屬閘極堆疊於第一溝槽和第二溝槽中;部分地移除第一金屬閘極堆疊、第二金屬閘極堆疊、和介電層以形成一凹陷,其中凹陷穿過第一金屬閘極堆疊和第二金屬閘極堆疊;以及形成一絕緣結構以至少部分地填充凹陷。

Description

半導體裝置結構及其形成方法
本發明實施例係關於半導體裝置結構及其形成方法,且特別是有關於具有金屬閘極堆疊和鰭片結構的半導體裝置結構及其形成方法。
半導體積體電路工業已歷經蓬勃的發展。積體電路材料及設計在技術上的進步產生許多世代的積體電路。每一代生產的積體電路變得比先前生產的積體電路更小且其電路也變得更複雜。
在積體電路發展的進程中,功能性密度(例如:每一個晶片區域中內連接裝置的數目)已經普遍增加,而幾何尺寸(例如:製程中所能創造出最小的元件或線路)則是普遍下降。這種微縮化的過程通常可藉由增加生產效率及降低相關成本提供許多利益。
然而,這些優點增加了處理和製造積體電路的複雜度。由於部件(feature)尺寸繼續下降,製程也持續變得更難以進行。因此,以越來越小的尺寸形成可靠的半導體裝置是一項挑戰。
根據本發明的一實施例,提供一種半導體裝置結構的形成方法,包括:形成一第一虛設閘極堆疊和一第二虛設閘極堆疊於一半導體基板之上; 形成一介電層於半導體基板之上以圍繞第一虛設閘極堆疊和第二虛設閘極堆疊;移除第一虛設閘極堆疊和第二虛設閘極堆疊以形成一第一溝槽和一第二溝槽於介電層中;分別形成一第一金屬閘極堆疊和一第二金屬閘極堆疊於第一溝槽和第二溝槽中;部分地移除第一金屬閘極堆疊、第二金屬閘極堆疊、和介電層以形成一凹陷,其中凹陷穿過第一金屬閘極堆疊和第二金屬閘極堆疊;以及形成一絕緣結構以至少部分地填充凹陷。
根據本發明的另一實施例,提供一種半導體裝置結構的形成方法,包括:形成一隔離部件於一半導體基板之上;形成一介電層於半導體基板和隔離部件之上;形成一第一金屬閘極堆疊線和一第二金屬閘極堆疊線於半導體基板之上,其中所述介電層圍繞第一金屬閘極堆疊線和第二金屬閘極堆疊線;形成一開口,使得每一個第一金屬閘極堆疊線和第二金屬閘極堆疊線被分為至少兩個單獨的金屬閘極堆疊;以及形成一絕緣結構於開口中,其中絕緣結構延伸至隔離部件中。
又根據本發明的另一實施例,提供一種半導體裝置結構,包括:一半導體基板;第一、第二、第三、和第四金屬閘極堆疊,位於半導體基板之上;一介電層,圍繞第一、第二、第三、和第四金屬閘極堆疊;以及一絕緣結構,位於半導體基板之上,其中絕緣結構具有位於第一金屬閘極堆疊和第二金屬閘極堆疊之間的一第一部分、位於第三金屬閘極堆疊和第四金屬閘極堆疊之間的一第二部分、及連接第一部分和第二部分的一第三部分。
100:半導體基板
101A、101B:鰭片結構
102:隔離部件
104、118:閘極介電層
106:虛設閘極電極層
107A、107B、107C、107D:虛設閘極堆疊
108a、108b:硬罩幕層
110A、110B、110C、110D:部分
112:間隔物元件
113:介電層
114A、114B:源極/汲極結構
116:溝槽
120:障壁層
122A、122B:功函數層
124:阻擋層
126:金屬填充層
126A、126B:金屬填充物
128:罩幕層
130:開口
132:凹陷
133:金屬閘極堆疊線
133A、133B、133C、133D:閘極堆疊
134:介電層
134’:絕緣結構
135A:第一部分
135B:第二部分
135C:第三部分
D1、D2、D3、D4、W1、W2:寬度
H:高度
H1、H2、H1’、H2’:深度
L、I-I、J-J、K-K:線
θ:角度
P:部分
P1、P2:位置
本發明實施例可配合以下圖式及詳細說明閱讀以便了解。要強調的是,依照工業上的標準慣例,各個部件(feature)並未按照比例繪製。事實上,為了清楚之討論,可能任意的放大或縮小各個部件的尺寸。
第1A圖到第1I圖是根據一些實施例顯示形成半導體裝置結構的製程之各階段剖面圖。
第2A圖到第2F圖是根據一些實施例顯示形成半導體裝置結構的製程之各階段俯視圖。
第3圖是根據一些實施例顯示形成半導體裝置結構的製程之各個階段的中間階段透視圖。
第4A圖到第4C圖是根據一些實施例顯示形成半導體裝置結構的製程之各階段剖面圖。
第5圖是根據一些實施例顯示形成半導體裝置結構的製程之一中間階段剖面圖。
第6A圖和第6B圖各自根據一些實施例顯示形成半導體裝置結構的製程之一中間階段俯視圖。
第7A圖到第7B圖是根據一些實施例顯示形成半導體裝置結構的製程之各階段俯視圖。
第8A圖到第8C圖是根據一些實施例顯示形成半導體裝置結構的製程之各階段剖面圖。
第9圖是根據一些實施例顯示一半導體裝置結構的剖面圖。
以下揭示提供許多不同的實施例或是例子來實行本發明實施例之不同部件。以下描述具體的元件及其排列的例子以簡化本發明實施例。當然這些僅是例子且不該以此限定本發明實施例的範圍。例如,在描述中提及第一個部件形成於第二個部件“之上”或“上”時,其可能包括第一個部件與第二個部件直接接觸的實施例,也可能包括兩者之間有其他部件形成而沒有直接接觸的實施 例。另外,不同實施例可能重複使用相同的參考符號及/或標記。這些重複係為了簡化與清晰的目的,並非用以限定所討論的不同實施例及/或結構之間有特定的關係。
此外,其中可能用到與空間相關的用詞,像是“在...下方”、“下方”、“較低的”、“上方”、“較高的”、及類似的用詞,這些關係詞係為了便於描述如圖式中一個元件或部件與另一個元件或部件之間的關係。這些空間關係詞包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。裝置可能被轉向不同方位(例如:旋轉90度或其他方位),則其中使用的空間相關形容詞也可相同地照著解釋。
描述本發明的一些實施例。可以在這些實施例中描述的階段之前、期間、及/或之後提供額外的操作。在不同實施例中,前述的一些階段可被置換或刪除。可增加額外的部件至半導體裝置結構。在不同實施例中,以下所述的一些部件可被置換或刪除。雖然以特定順序進行的操作討論一些實施例,但是可以用另一種邏輯順序進行這些操作。
本發明實施例可關於具有鰭片的鰭狀場效電晶體(FinFET)結構。可利用任何合適的方法圖案化出鰭片。舉例而言,可利用一種或多種微影製程圖案化出鰭片,包括雙重圖案化(double-patterning)或多重圖案化(multi-patterning)製程。通常,雙重圖案化或多重圖案化製程結合微影和自對準(self-aligned)製程,使得產生的圖案之間距(pitches)小於利用例如單一、直接的微影製程所獲得的間距。舉例而言,在一些實施例中,形成犧牲層於基板之上並利用微影製程將犧牲層圖案化。利用自對準製程在經圖案化的犧牲層旁邊(alongside)形成間隔物(spacer)。接著將犧牲層移除,且剩餘的間隔物可接著用來圖案化出鰭片。然而,可利用一種或多種其他可應用的製程來形成鰭片。
在一些實施例中,形成複數個虛設閘極堆疊(包括第一虛設閘極堆疊和第二虛設閘極堆疊)於半導體基板之上。虛設閘極堆疊可延伸至複數個鰭片結構之上。在一些實施例中,形成介電層以圍繞第一虛設閘極堆疊和第二虛設閘極堆疊。
之後,利用閘極替代製程(gate replacement process)來形成金屬閘極堆疊以取代虛設閘極堆疊。在一些實施例中,將第一虛設閘極堆疊和第二虛設閘極堆疊移除以形成第一溝槽和第二溝槽。在一些實施例中,形成閘極介電層、功函數層、和金屬填充物於第一溝槽和第二溝槽中,以形成第一金屬閘極堆疊和第二金屬閘極堆疊。可利用複數個沈積製程和一個或多個平坦化製程來形成金屬閘極堆疊。
在一些實施例中,形成一罩幕元件。罩幕元件具有一開口,其暴露出一部分的第一金屬閘極堆疊、一部分的介電層、和一部分的第二金屬閘極堆疊。所述開口的俯視圖可為矩形、橢圓形、或正方形。
在一些實施例中,將第一金屬閘極堆疊、第二金屬閘極堆疊、和介電層部分地移除以形成一凹陷。所述凹陷也可稱為切割金屬閘極(cut-metal-gate;CMG)開口。所述切割金屬閘極(CMG)開口穿過第一金屬閘極堆疊和第二金屬閘極堆疊。切割金屬閘極(CMG)開口將第一金屬閘極堆疊分為兩個部分。因此有兩個金屬閘極堆疊透過切割第一金屬閘極堆疊而形成。切割金屬閘極(CMG)開口也將第二金屬閘極堆疊分為兩個部分。因此有兩個金屬閘極堆疊透過切割第二金屬閘極堆疊而形成。在一些實施例中,利用以罩幕元件做為蝕刻罩幕的蝕刻製程來形成切割金屬閘極(CMG)開口。
在一些實施例中,用以形成切割金屬閘極(CMG)開口的蝕刻製程包含使用一種或多種蝕刻氣體,像是BCl3、Cl2、和SiCl4。在一些實施例中,利用過蝕刻(over-etching)製程以確保由切割第一金屬閘極堆疊所獲得的兩個 金屬閘極堆疊不會彼此電性接觸。因此避免了兩者之間的短路。
在一些實施例中,切割金屬閘極(CMG)開口具有類似橢圓形(oval-like)的俯視形狀。在一些實施例中,切割金屬閘極(CMG)開口在第一金屬閘極的中心線附近具有一第一寬度。切割金屬閘極(CMG)開口也可在第一金屬閘極的邊緣附近具有一第二寬度。在一些實施例中,第一寬度大於第二寬度。
本發明實施例的各方面可配合以下圖式閱讀以顯示更多細節。
第1A圖到第1I圖是根據一些實施例顯示形成半導體裝置結構的製程之各階段剖面圖。如第1A圖所示,接收或提供一半導體基板100。在一些實施例中,半導體基板100為一塊狀半導體基板,例如一半導體晶圓。舉例而言,半導體基板100包括矽或其他元素半導體材料,像是鍺。半導體基板100可為未經摻雜的或經摻雜的(例如,p-型、n-型、或前述之組合)。在一些其他實施例中,半導體基板100包括一化合物半導體。化合物半導體可包括碳化矽、砷化鎵、砷化銦、磷化銦、一種或多種其他合適的化合物半導體、或前述之組合。在一些實施例中,半導體基板100為絕緣體上的半導體(semiconductor-on-insulator;SOI)基板的主動層。可利用氧植入隔離(separation by implantation of oxygen;SIMOX)、晶圓接合製程、另一種可應用的方法、或前述之組合來製造絕緣體上的半導體(SOI)基板。在一些其他實施例中,半導體基板100包括多層結構。舉例而言,半導體基板100包括形成於塊狀矽層上的矽鍺層。
如第1A圖所示,半導體基板100包括由虛線L所定義的複數個部分(包括部分110A和110B)。在一些實施例中,複數個電晶體被形成或將形成於半導體基板100的部分110A和110B中及/或之上。在一些實施例中,p-型金氧半場效電晶體(p-type metal-oxide-semiconductor field effect transistor;PMOSFET)和n-型金氧半場效電晶體(n-type metal-oxide-semiconductor field effect transistor;NMOSFET)將分別形成於部分110A和110B中及/或之上。在一些其他實施例中,n-型金氧半場效電晶體(NMOSFET)和p-型金氧半場效電晶體(PMOSFET)將分別形成於部分110A和110B中及/或之上。在一些其他實施例中,n-型金氧半場效電晶體(NMOSFETs)將形成於部分110A和110B中及/或之上。在一些其他實施例中,p-型金氧半場效電晶體(PMOSFETs)將形成於部分110A和110B中及/或之上。
如第1A圖所示,根據一些實施例,形成複數個凹陷(或溝槽)於半導體基板100中。其結果,在凹陷之間形成或定義出複數個鰭片結構,包括鰭片結構101A和101B。在一些實施例中,利用一個或多個微影和蝕刻製程來形成凹陷。在一些實施例中,鰭片結構101A和101B與半導體基板100直接接觸。
然而,本發明實施例具有許多變化及/或修改方式。在一些其他實施例中,鰭片結構101A和101B不與半導體基板100直接接觸。可形成一個或多個其他材料層於半導體基板100與鰭片結構101A和101B之間。舉例而言,可形成一介電層於半導體基板100與鰭片結構101A和101B之間。
如第1A圖所示,根據一些實施例,形成隔離部件102於凹陷中以圍繞鰭片結構101A和101B的較低部分。隔離部件102是用以定義並電性隔離形成於半導體基板100中及/或之上的各種裝置。在一些實施例中,隔離部件102包括淺溝槽隔離(shallow trench isolation;STI)部件、局部矽氧化(local oxidation of silicon;LOCOS)部件、另一種合適的隔離部件、或前述之組合。
在一些實施例中,每一個隔離部件102具有一多層結構。在一些實施例中,隔離部件102是由介電材料所組成。介電材料可包括氧化矽、氮化矽、氮氧化矽、氟摻雜矽酸鹽玻璃(fluoride-doped silicate glass;FSG)、低介電常數(low-K)介電材料、一種或多種其他合適的材料、或前述之組合。在一些實施例中,形成淺溝槽隔離襯層(STI liner)(未顯示)以降低位於半導體基板100 與隔離部件102間界面處的晶格缺陷(crystalline defects)。類似地,淺溝槽隔離襯層(STI liner)也可用以降低位於鰭片結構與隔離部件102間界面處的晶格缺陷。
在一些實施例中,沈積介電材料層於半導體基板100之上。介電材料層覆蓋包括鰭片結構101A和101B的鰭片結構並填充鰭片結構之間的凹陷。在一些實施例中,利用化學氣相沈積(chemical vapor deposition;CVD)製程、原子層沉積(atomic layer deposition;ALD)製程、物理氣相沈積(physical vapor deposition;PVD)製程、旋塗式(spin-on)製程、一種或多種其他可應用的製程、或前述之組合來沈積介電材料層。在一些實施例中,利用平坦化製程來薄化介電材料層,直到暴露出鰭片結構101A和101B或暴露出定義鰭片結構的硬罩幕元件為止。平坦化製程可包括化學機械研磨(chemical mechanical polishing;CMP)製程、磨削製程(grinding process)、乾式研磨製程(dry polishing process)、蝕刻製程、一種或多種其他可應用的製程、或前述之組合。之後,回蝕刻介電材料層,使得包括鰭片結構101A和101B的鰭片結構從經蝕刻的介電材料層之頂表面突出。其結果,形成隔離部件102。
如第1B圖所示,根據一些實施例,沈積閘極介電層104和虛設閘極電極層106於隔離部件102和鰭片結構101A和101B之上。在一些實施例中,閘極介電層104是由氧化矽、氮化矽、氮氧化矽、具有高介電常數(high-k)的介電材料、一種或多種其他合適的介電材料、或前述之組合所組成。高介電常數(high-k)介電材料的例子包括氧化鉿、氧化鋯、氧化鋁、二氧化鉿-氧化鋁合金(hafnium dioxide-alumina alloy)、氧化矽鉿、氮氧化矽鉿、氧化鉭鉿、氧化鈦鉿、氧化鋯鉿、一種或多種其他合適的高介電常數(high-k)材料、或前述之組合。在一些實施例中,閘極介電層104為後續將被移除的虛設閘極介電層。虛設閘極介電層為例如氧化矽層。
在一些實施例中,利用化學氣相沈積(CVD)製程、原子層沉積(atomic layer deposition;ALD)製程、熱氧化製程、物理氣相沈積(physical vapor deposition;PVD)製程、一種或多種其他可應用的製程、或前述之組合來沈積閘極介電層104。
在一些實施例中,虛設閘極電極層106是由像是多晶矽的半導體材料所製成,或者虛設閘極電極層106包括像是多晶矽的半導體材料。舉例而言,利用化學氣相沈積(CVD)製程或其他可應用的製程來沈積虛設閘極電極層106。
可對本發明實施例進行許多變化及/或修改。在一些實施例中,並未形成閘極介電層104。
之後,如第1B圖所示,根據一些實施例,形成圖案化硬罩幕層於虛設閘極電極層106之上。圖案化硬罩幕層是用以將虛設閘極電極層106和閘極介電層104圖案化成一個或多個虛設閘極堆疊(或虛設閘極堆疊線)。在一些實施例中,圖案化硬罩幕層包括第一硬罩幕層108a和第二硬罩幕108b。在一些實施例中,第一硬罩幕層108a是由氮化矽製成,或者第一硬罩幕層108a包括氮化矽。在一些實施例中,第二硬罩幕層108b是由氧化矽製成,或者第二硬罩幕層108b包括氧化矽。在一些實施例中,第二硬罩幕層108b比第一硬罩幕層108a厚。
在一些實施例中,虛設閘極堆疊為形成於隔離部件102與鰭片結構101A和101B之上的複數個虛設閘極堆疊線。在一些實施例中,虛設閘極堆疊線大致互相平行。在一些實施例中,每一個虛設閘極堆疊(或虛設閘極堆疊線)在後續製程中被形成為兩個或多個閘極堆疊。
在一些實施例中,圖案化光阻層(未顯示)是用來幫助形成圖案化硬罩幕層。利用一個或多個微影製程來形成圖案化光阻層。微影製程可包括光阻塗佈(例如:旋塗式塗佈)、軟烤、光罩對準、曝光、曝光後烘烤、光阻顯影、沖洗(rinsing)、乾燥(例如:硬烘烤)、一種或多種其他合適的製程、 或前述之組合。
之後,如第1C圖所示,根據一些實施例,將虛設閘極電極層106和閘極介電層104圖案化,以形成包括虛設閘極堆疊107A的一個或多個虛設閘極堆疊。在一些實施例中,之後將硬罩幕層108a和108b移除。
第2A圖到第2F圖是根據一些實施例顯示形成半導體裝置結構的製程之各階段俯視圖。在一些實施例中,第2A圖為第1C圖所示結構以及未顯示於第1C圖的其他部分之俯視圖。如第2A圖所示,根據一些實施例,形成包括107A-107D的複數個虛設閘極堆疊。每一個虛設閘極堆疊107A-107D包括虛設閘極電極層106和閘極介電層104。
第3圖是根據一些實施例顯示形成半導體裝置結構的製程之一中間階段透視圖。在一些實施例中,第3圖顯示第1C圖或第2A圖所示結構的一部分之透視圖。
之後,根據一些實施例,形成源極/汲極結構於鰭片結構101A和101B之上並鄰近於虛設閘極堆疊107A。第4A圖到第4C圖是根據一些實施例顯示形成半導體裝置結構的製程之各階段剖面圖。第5圖是根據一些實施例顯示形成半導體裝置結構的製程之一中間階段剖面圖。在一些實施例中,第1C圖到第1I圖為沿著第2B圖到第2F圖的線I-I所繪製的一些剖面圖。在一些實施例中,第4A圖到第4C圖為沿著第2B圖到第2F圖的線J-J所繪製的一些剖面圖,且第5圖為沿著第2F圖的線K-K所繪製的剖面圖。
如第2B圖或第4A圖所示,根據一些實施例,源極/汲極結構114A和114B形成於半導體基板100之上並鄰近於虛設閘極堆疊107A。如第2B圖所示,在一些實施例中,形成複數個電晶體於半導體基板100的部分110A、110B、110C、和110D中及/或之上。源極/汲極結構114A為形成於部分110A中及/或之上的電晶體的一部分,且源極/汲極結構114B為形成於部分110B中及/或之上的另一 電晶體的一部分。
在一些實施例中,使鰭片結構101A和101B凹陷至低於隔離部件102的頂表面。在一些實施例中,進行蝕刻製程以將鰭片結構101A和101B的較高部分移除。其結果,如第4A圖所示,形成凹陷於鰭片結構101A(和101B)上方。在一些其他實施例中,利用複數個蝕刻操作,使得凹陷進一步橫向地(laterally)向虛設閘極堆疊107A下方的通道區域延伸。
在一些實施例中,將一半導體材料(或兩種或多種半導體材料)磊晶生長在凹陷的鰭片結構之上,並持續地生長至凹陷上方以形成源極/汲極結構114A和114B。在一些實施例中,同時進行源極/汲極結構114A和114B的生長。在一些實施例中,在不同的製程中分別地(separately)進行源極/汲極結構114A和114B的生長。
在一些實施例中,源極/汲極結構114A為p-型半導體材料。舉例而言,源極/汲極結構114A可包括磊晶生長的矽鍺。源極/汲極結構114A並不限於為p-型半導體材料。在一些實施例中,源極/汲極結構114A為n-型半導體材料。源極/汲極結構114A可包括磊晶生長的矽、磊晶生長的碳化矽(SiC)、磊晶生長的矽磷(SiP)、或另一種合適的磊晶生長半導體材料。
在一些實施例中,源極/汲極結構114A和114B都為p-型。在一些實施例中,源極/汲極結構114A和114B都為n-型。在一些實施例中,源極/汲極結構114A和114B的其中之一為p-型,而源極/汲極結構114A和114B中的另外一個為n-型。
在一些實施例中,利用選擇性磊晶生長(selective epitaxy growth;SEG)製程、化學氣相沈積(CVD)製程(例如:氣相磊晶(vapor-phase epitaxy;VPE)製程、低壓化學氣相沈積(low pressure chemical vapor deposition;LPCVD)製程、及/或超高真空化學氣相沈積(ultra-high vacuum CVD;UHV-CVD)製程)、 分子束磊晶製程、一種或多種其他可應用的製程、或前述之組合來形成源極/汲極結構114A和114B。源極/汲極結構114A和114B的形成製程可使用氣態及/或液態前驅物。在一些實施例中,源極/汲極結構114A和114B兩者在相同的製程腔室中原位(in-situ)生長。可利用原位磊晶生長製程來形成源極/汲極結構114A和114B。在一些其他實施例中,在不同的製程腔室中分別地(separately)生長源極/汲極結構114A和114B。
在一些實施例中,源極/汲極結構114A和114B包含摻雜物(dopants)。在一些實施例中,進行複數個植入(implantation)製程以摻雜源極/汲極結構114A和114B。在一些實施例中,形成間隔物元件112於虛設閘極堆疊107A的側壁之上,以幫助形成源極/汲極結構114A和114B,如第2B圖和第4A圖所示。在一些實施例中,在形成間隔物元件112之前,利用離子植入製程形成輕度摻雜的源極/汲極區域(未顯示)。
在一些實施例中,源極/汲極結構114A和114B在源極/汲極結構114A和114B的生長期間經原位摻雜。在一些其他實施例中,源極/汲極結構114A和114B在源極/汲極結構114A和114B的生長期間未經摻雜。在磊晶生長之後,源極/汲極結構114A和114B在後續製程中經摻雜。在一些實施例中,利用離子植入製程、電漿浸泡離子植入製程(plasma immersion ion implantation process)、氣體及/或固體源擴散製程、一種或多種其他可應用的製程、或前述之組合來完成摻雜。在一些實施例中,將源極/汲極結構114A和114B進一步暴露於一種或多種退火製程以活化摻雜物。舉例而言,可利用快速熱退火製程(rapid thermal annealing process)。
之後,根據一些實施例,將包括107A和107B的虛設閘極堆疊移除。在一些實施例中,在將虛設閘極堆疊107A和107B移除之前,沈積一介電層113於半導體基板100之上,以圍繞源極/汲極結構114A和114B和虛設閘極堆疊 107A-107D,如第4B圖所示。於第2C圖中,為達清楚的目的,以虛線表示被介電層113覆蓋的元件(像是源極/汲極結構114A和114B)。
在一些實施例中,介電層113是由氧化矽、氮氧化矽、硼矽酸鹽玻璃(borosilicate glass;BSG)、磷矽酸鹽玻璃(phosphosilicate glass;PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass;BPSG)、氟化矽酸鹽玻璃(fluorinated silicate glass;FSG)、低介電常數(low-k)材料、多孔介電材料、一種或多種其他合適的介電材料、或前述之組合所製成,或者介電層113包含前述之材料。在一些實施例中,利用化學氣相沈積(CVD)製程、原子層沉積(ALD)製程、物理氣相沈積(PVD)製程、旋塗式製程、一種或多種其他可應用的製程、或前述之組合來沈積介電層113。
之後,將介電層113薄化,直到暴露出包括107A-107D的虛設閘極堆疊。經薄化的介電層113圍繞虛設閘極堆疊107A-107D。在一些實施例中,利用平坦化製程將介電層113薄化。平坦化製程可包括化學機械研磨(CMP)製程、磨削製程、蝕刻製程、乾式研磨製程、一種或多種其他可應用的製程、或前述之組合。可對本發明實施例進行許多變化及/或修改。在一些其他實施例中,並未形成介電層113。
之後,如第1D圖所示,根據一些實施例,將虛設閘極堆疊107A-107D移除以形成溝槽116,溝槽116暴露出包括鰭片結構101A和101B的鰭片結構以及隔離部件102。第2C圖顯示溝槽116的俯視圖。溝槽116暴露出鰭狀結構101A和101B以及隔離部件102。在一些實施例中,形成介電層113,並形成溝槽116於介電層113中。在這些情況中,介電層113圍繞溝槽116,如第4B圖所示。在一些實施例中,間隔物元件112圍繞每一個溝槽116。在一些實施例中,溝槽116的其中之一暴露出鰭片結構101A和101B原本被虛設閘極堆疊107A覆蓋的部分。鰭片結構101A和101B被暴露的部分可做為通道區域。
在一些實施例中,利用乾式蝕刻製程、濕式蝕刻製程、一種或多種其他可應用的製程、或前述之組合將虛設閘極堆疊107A-107D移除。在一些實施例中,閘極介電層104是由高介電常數(high-k)材料製成並且未被移除。在這些情況中,溝槽116暴露出閘極介電層104。
根據一些實施例,在將虛設閘極堆疊107A-107D移除之後,形成金屬閘極堆疊層於溝槽116中。金屬閘極堆疊層沿著鰭片結構101A和101B的側壁和頂部延伸,如第1E圖所示。溝槽116中的每一個金屬閘極堆疊層也可稱為一金屬閘極堆疊線。第2D圖顯示金屬閘極堆疊線的俯視圖。
如上所述,在一些實施例中,每一個虛設閘極堆疊107A-107D(或虛設閘極堆疊線)將會形成為兩個或更多個閘極堆疊。因此,在將虛設閘極堆疊107A-107D移除之後所形成的每一個溝槽116都大到足以包含兩個或更多個金屬閘極堆疊。比起在設計為容納單一金屬閘極堆疊的凹陷中進行金屬閘極堆疊層的沉積或填充之其他情況,此處之金屬閘極堆疊層的沉積或填充較為容易。因此,製程容許度(process window)明顯地放大。
在一些實施例中,金屬閘極堆疊層包括閘極介電層、功函數層、以及金屬填充層。在一些實施例中,兩個電晶體形成於半導體基板100的部分110A和110B中及/或之上。在一些實施例中,其中一個電晶體為p-型電晶體,且另一個為n-型電晶體。在一些實施例中,兩個電晶體皆為p-型電晶體。在一些實施例中,兩個電晶體皆為n-型電晶體。在一些實施例中,形成一個或多個p-型功函數層於部分110A之上,並形成一個或多個n-型功函數層於部分110B之上。在一些實施例中,形成一個或多個n-型功函數層於部分110A之上,並形成一個或多個p-型功函數層於部分110B之上。
如第1E圖、第4C圖、和第5圖所示,根據一些實施例,沈積閘極介電層118於溝槽116的側壁和底部之上。在一些實施例中,閘極介電層118延伸於 部分110A和110B兩者之上。在一些實施例中,閘極介電層118是由高介電常數(high-k)介電層製成,或者閘極介電層118包含高介電常數介電層。高介電常數介電層可由氧化鉿、氧化鋯、氧化鋁、二氧化鉿-氧化鋁合金(hafnium dioxide-alumina alloy)、氧化矽鉿、氮氧化矽鉿、氧化鉭鉿、氧化鈦鉿、氧化鋯鉿、另一種合適的高介電常數材料、或前述之組合所組成。
在一些實施例中,利用原子層沉積(ALD)製程、化學氣相沈積(CVD)製程、旋塗式製程、一種或多種其他可應用的製程、或前述之組合來沈積閘極介電層118。在一些實施例中,高溫退火操作用以降低或除去閘極介電層118中的缺陷。可對本發明實施例進行許多變化及/或修改。在一些實施例中,形成兩個不同的閘極介電層於部分110A和110B之上,以做為不同電晶體的閘極介電層。
在一些其他實施例中,在形成閘極介電層118之前,形成一界面層(interfacial layer)(未顯示)於溝槽116中。界面層可用以降低閘極介電層118與鰭片結構101A和101B之間的應力。在一些實施例中,界面層是由氧化矽製成,或者界面層包含氧化矽。在一些實施例中,利用原子層沉積(ALD)製程、熱氧化製程、一種或多種其他可應用的製程、或前述之組合來形成界面層。
如第1E圖、第4C圖、和第5圖所示,根據一些實施例,沈積障壁層(barrier layer)120於閘極介電層118之上。障壁層120可用以接合(interface)閘極介電層118和後續形成的功函數層。障壁層120也可用以避免閘極介電層118與後續形成的功函數層之間的擴散。
在一些實施例中,障壁層120是由含金屬材料製成,或者障壁層120包含含金屬材料。含金屬材料可包括氮化鈦、氮化鉭、一種或多種其他合適的材料、或前述之組合。在一些實施例中,障壁層120包括多層。在一些實施例中,利用原子層沉積(ALD)製程、化學氣相沈積(CVD)製程、物理氣相沈積(PVD) 製程、電鍍製程、無電鍍製程、一種或多種其他可應用的製程、或前述之組合來沈積障壁層120。在一些其他實施例中,未形成障壁層120。在一些實施例中,形成兩種不同的障壁層於部分110A和110B之上,以做為不同電晶體的障壁層。
之後,如第1E圖、第4C圖、和第5圖所示,根據一些實施例,分別形成功函數層122A和122B於障壁層120之上。功函數層是用來為電晶體提供所需的功函數以提高裝置性能,包括提高臨界電壓。在形成NMOS電晶體的實施例中,功函數層可為一n-型金屬層。n-型金屬層能夠提供適合於裝置的功函數值,像是等於或小於約4.5eV。n-型金屬層可包括金屬、金屬碳化物、金屬氮化物、或前述之組合。舉例而言,n-型金屬層是由氮化鈦、鉭、氮化鉭、一種或多種其他合適的材料、或前述之組合所製成,或者n-型金屬層包含前述之材料。
另一方面,在形成PMOS電晶體的實施例中,功函數層可為一p-型金屬層。p-型金屬層能夠提供適合於裝置的功函數值,像是等於或大於約4.8eV。p-型金屬層可包括金屬、金屬碳化物、金屬氮化物、其他合適的材料、或前述之組合。舉例而言,p-型金屬層包括氮化鉭、氮化鎢、鈦、氮化鈦、一種或多種其他合適的材料、或前述之組合。
功函數層也可由鉿、鋯、鈦、鉭、鋁、金屬碳化物(例如:碳化鉿、碳化鋯、碳化鈦、碳化鋁)、鋁化物、釕、鈀、鉑、鈷、鎳、導電金屬氧化物、或前述之組合所製成,或者功函數層也可包含前述之材料。可微調功函數的厚度及/或組成(composition),以調整功函數的等級(level)。舉例而言,取決於氮化鈦層的厚度及/或組成,氮化鈦層可用來做為一p-型金屬層或一n-型金屬層。
在一些實施例中,功函數層122A為一p-型金屬層,且功函數層122B為一n-型金屬層。在一些實施例中,功函數層122A在功函數層122B之前形成。將功函數層122A沈積於障壁層120之上。之後,將功函數層122A圖案化。舉例 而言,將功函數層122A定位在半導體基板100的部分110A之上。將功函數層122A原本位於部分110B之上的部分移除。舉例而言,利用微影製程和蝕刻製程將功函數層122A圖案化。類似地,將功函數層122B沈積於半導體基板100的部分110B之上並將功函數層122B圖案化。
可對本發明實施例進行許多變化及/或修改。在一些其他實施例中,功函數層122B在功函數層122A之前形成。在一些其他實施例中,功函數層122A和122B兩者具有相同的導電類型,像是n-型或p-型。
之後,如第1E圖、第4C圖、和第5圖所示,根據一些實施例,沈積阻擋層(blocking layer)124於功函數層122A和122B之上。阻擋層124可用以避免後續形成之金屬填充層擴散或穿透(penetrate)至功函數層中。在一些實施例中,阻擋層124是由氮化鉭、氮化鈦、一種或多種其他合適的材料、或前述之組合所製成,或者阻擋層124包含前述之材料。在一些實施例中,利用原子層沉積(ALD)製程、物理氣相沈積(PVD)製程、電鍍製程、無電鍍製程、一種或多種其他可應用的製程、或前述之組合來沈積阻擋層124。
本發明實施例不限於此。在一些其他實施例中,未形成阻擋層124。在一些其他實施例中,在隨後形成的金屬填充層和不同的功函數層122A和122B之間使用兩種不同的阻擋層。
之後,如第1E圖、第4C圖、和第5圖所示,根據一些實施例,沈積金屬填充層126於阻擋層124之上以填充溝槽116。在一些實施例中,金屬填充層126是由鎢、鋁、銅、鈷、一種或多種其他合適的材料、或前述之組合所製成,或者金屬填充層126包含前述之材料。在一些實施例中,利用物理氣相沈積(PVD)製程、化學氣相沈積(CVD)製程、電鍍製程、無電鍍製程、一種或多種其他可應用的製程、或前述之組合來沈積金屬填充層126。
可對本發明實施例進行許多變化及/或修改。在一些其他實施例 中,未形成金屬填充層126。在一些實施例中,形成兩個不同的金屬填充層於部分110A和110B之上,以做為不同電晶體的金屬填充層。
在一些實施例中,形成第一組金屬閘極堆疊層於部分110A之上,並且例如以一圖案化罩幕遮蔽部分110B。之後,形成第二組金屬閘極堆疊層於部分110B之上,並且以另一個圖案化罩幕覆蓋第一組金屬閘極堆疊層。
在一些實施例中,包括閘極介電層118、障壁層120、功函數層122A和122B、阻擋層124、和金屬填充層126的金屬閘極堆疊層,一起填充溝槽116並覆蓋介電層113。在一些實施例中,將金屬閘極堆疊層位於溝槽116之外的部分移除。舉例而言,利用平坦化製程將金屬閘極堆疊層部分地移除,直到暴露出介電層113。其結果,留在溝槽116中的金屬閘極堆疊層形成複數個金屬閘極堆疊線133,如第2D圖所示。平坦化製程可包括化學機械研磨(CMP)製程、磨削製程、蝕刻製程、乾式研磨製程、一種或多種其他可應用的製程、或前述之組合。
根據一些實施例,在溝槽116中形成金屬閘極堆疊層之後,將金屬閘極堆疊層(或金屬閘極堆疊線)圖案化以形成複數個金屬閘極堆疊。
如第1F圖所示,根據一些實施例,在金屬填充層126之上沈積並圖案化罩幕層128,以幫助金屬閘極堆疊層(或金屬閘極堆疊線)的圖案化。在一些實施例中,罩幕層128是由光阻材料、氮化矽、氮氧化矽、氧化矽、氮化鈦、碳化矽、一種或多種其他合適的材料、或前述之組合所製成,或者罩幕層128包含前述之材料。可利用旋塗式製程、化學氣相沈積(CVD)製程、物理氣相沈積(PVD)製程、一種或多種其他可應用的製程、或前述之組合來沈積罩幕層128。可利用一個或多個微影和蝕刻製程來圖案化罩幕層128。
如第6A圖或第6B圖所示,根據一些實施例,罩幕層128具有一開口130,其部分地暴露出兩個或多個金屬閘極堆疊線133。開口130也暴露出位於經 暴露的金屬閘極堆疊線133之間的一部分介電層113。在一些實施例中,開口130的俯視圖為橢圓形,如第6A圖所示。在一些其他實施例中,開口的俯視圖為矩形,如第6B圖所示。開口130的俯視圖可具有各種形狀。舉例而言,開口130的俯視圖為正方形。
參照第1G圖,根據一些實施例,將部分的金屬閘極堆疊線133移除,以形成一凹陷132於金屬閘極堆疊線133中。第2E圖顯示出凹陷132的俯視圖。凹陷132也可稱為切割金屬閘極(cut-metal-gate;CMG)開口。凹陷132可延伸至金屬閘極堆疊線133之間的介電層113和間隔物元件112中。罩幕層128用來幫助形成凹陷132。在一些實施例中,在形成凹陷132之後,將罩幕層128移除。如第1G圖和第2E圖所示,根據一些實施例,凹陷132將每一個金屬閘極堆疊線133分為兩個閘極堆疊。其結果,形成閘極堆疊133A、133B、133C、和133D。在一些實施例中,閘極堆疊133A和133B不與彼此直接接觸。如第1G圖和第2E圖所示,根據一些實施例,凹陷132暴露出隔離部件102。
凹陷132的形成也可稱為末端切割製程(end cut process)。末端切割製程將“金屬閘極堆疊線”(或金屬閘極堆疊層)切割為複數個單獨的(separate)金屬閘極堆疊。末端切割製程是在沈積金屬閘極堆疊層之後進行。將金屬閘極堆疊層沉積於溝槽116中,所述溝槽116大到足以包含兩個或多個閘極堆疊且具有相對低的深寬比(aspect ratio)。因此,可良好地進行金屬閘極堆疊層的沈積。金屬閘極堆疊層的品質和可靠度顯著地獲得改善。可更加精準地控制凹陷132的尺寸、輪廓、和位置。其結果,減少或避免了像是短路或漏電流的問題。
如第1G圖所示,凹陷132具有一上方的寬度W2、一下方的寬度W1、以及一高度H。在一些實施例中,寬度W1等於寬度W2。然而,本發明實施例並不限於此。在一些其他實施例中,寬度W2大於寬度W1。在一些實施例中,寬度W1大於寬度W2
藉由改變用於形成凹陷132的蝕刻條件,可微調凹陷132的輪廓。舉例而言,可藉由改變蝕刻條件來調整凹陷132的側壁和底部之間的角度θ。在一些實施例中,角度θ大致等於約90度。在這些情況中,凹陷132具有垂直的側壁。在一些其他實施例中,角度θ大於90度。在一些其他實施例中,角度θ小於90度。在這些情況中,凹陷132具有傾斜的側壁。
在一些實施例中,利用蝕刻製程形成凹陷132。可在蝕刻製程中使用氣體混合物。氣體混合物可包括Cl2、HBr、BCl3、SiCl4、NF3、N2、CF4、CH2F2、O2、Ar、N2H2、CH4、SF6、一種或多種其他合適的氣體、或前述之組合。在蝕刻操作期間,可根據需要而改變氣體混合物的組成。
如第2E圖所示,根據一些實施例,凹陷132的俯視圖顯示出凹陷在不同的區域具有不同的尺寸。用於形成凹陷132的蝕刻製程可以不同的蝕刻速率蝕刻金屬閘極堆疊線133和介電層113。舉例而言,蝕刻製程以第一速率蝕刻金屬閘極堆疊線133,並以第二速率蝕刻介電層113。在一些實施例中,第一速率大於第二速率。由於以較快的速率蝕刻金屬閘極堆疊線133,凹陷132在原本被金屬閘極堆疊線133佔據的區域具有較大的尺寸。如第2E圖所示,凹陷132的寬度D1大於凹陷132的寬度D2。在一些實施例中,凹陷132具有彎曲的輪廓。在一些實施例中,凹陷132的寬度從寬度D1逐漸地減少至寬度D3,並從寬度D3逐漸地增加至寬度D2,如第2E圖所示。
然而,本發明實施例具有許多變化及/或修改方式。凹陷132的俯視圖並不限於第2E圖所示的樣子。在一些其他實施例中,凹陷132的俯視圖與第7A圖所示的實施例相同或類似。第7A圖所示的實施例將會於下文詳加描述。
如第1H圖所示,根據一些實施例,沈積介電層134於閘極堆疊(以及介電層113)之上以填充凹陷132。介電層134可過填充(overfills)凹陷132。在一些實施例中,介電層134是由氮化矽、氧化矽、氮氧化矽、含碳氧化矽、一 種或多種其他合適的介電材料、或前述之組合所製成,或者介電層134包含前述之材料。在一些實施例中,介電層134的材料與圍繞閘極堆疊133A-133D的介電層113的材料不同。
然而,本發明實施例並不限於此。在一些其他實施例中,介電層134和介電層113的材料大致相同。介電層134也可用來做為改善鰭片結構101A和101B之載子移動率(carrier mobility)的應力層(stressor layer)。在一些實施例中,介電層134是由具有比介電層113的應力還高的材料所製成。
在一些實施例中,介電層134為單層。在一些其他實施例中,介電層134具有一多層結構。在這些情況中,介電層134包括複數個子層(sub-layers)。在一些實施例中,一些或所有的子層是由不同的材料所製成。在一些其他實施例中,一些或所有的子層是由相同的材料所製成。
在一些實施例中,利用適合填充凹陷或開口的沈積製程來形成介電層134。在一些實施例中,利用原子層沉積(ALD)製程、流動式化學氣相沈積(flowable chemical vapor deposition;FCVD)製程、化學氣相沈積(CVD)製程、一種或多種其他可應用的製程、或前述之組合來沈積介電層134。在一些其他實施例中,利用旋塗式製程來形成介電層134。
之後,如第1I圖所示,根據一些實施例,將介電層134位於凹陷132之外的部分移除,直到暴露出金屬閘極堆疊。在一些實施例中,利用平坦化製程將介電層134部分地移除。平坦化製程可包括化學機械研磨(CMP)製程、磨削製程、蝕刻製程、一種或多種其他可應用的製程、或前述之組合。其結果,如第1I圖所示,根據一些實施例,留在凹陷132中的部分介電層134形成絕緣結構134’。
可對本發明實施例進行許多變化及/或修改。在一些實施例中,絕緣結構134’包括一多層結構。舉例而言,沈積複數個介電層以填充凹陷132。類 似地,可進行平坦化製程以將位於凹陷132之外的複數個介電層移除。其結果,留在凹陷132中的複數個介電層形成絕緣結構134’。
如第1I圖所示,根據一些實施例,絕緣結構134’鄰近於閘極堆疊133A和133B。如第2F圖所示,絕緣結構134’也鄰近於閘極堆疊133C和133D。在一些實施例中,絕緣結構134’與閘極堆疊133A和133B的功函數層122A和122B以及金屬填充物126A和126B直接接觸,如第1I圖所示。絕緣結構134’也與閘極堆疊133C和133D的功函數層以及金屬填充物直接接觸。
在一些實施例中,絕緣結構134’也與閘極堆疊133A和133B的閘極介電層120直接接觸,如第1I圖所示。絕緣結構134’也與閘極堆疊133C和133D的閘極介電層直接接觸。在一些實施例中,絕緣結構134’也與隔離部件102直接接觸,如第1I圖所示。在一些實施例中,絕緣結構134’與間隔物元件112直接接觸,如第2F圖所示。
如第2F圖所示,根據一些實施例,形成各自包括閘極堆疊133A、133B、133C、以及133D的電晶體。絕緣結構134’形成於閘極堆疊133A和133B的末端之間,以將閘極堆疊133A和閘極堆疊133B電性隔離。絕緣結構134’也形成於閘極堆疊133C和133D的末端之間,以將閘極堆疊133C和閘極堆疊133D電性隔離。閘極介電層和功函數層與絕緣結構134’的較低部分直接接觸。金屬填充物與絕緣結構134’的較高部分直接接觸。因為經由圖案化金屬閘極堆疊層形成每一個閘極堆疊,所以可良好地控制閘極堆疊的高度。在一些實施例中,不需要進行平坦化製程來確保不同的閘極堆疊具有相同的高度。因此,減少了相關的製程費用和製程時間。在平坦化製程期間所產生的殘留物(residue)也減少了。
在一些實施例中,絕緣結構134’具有位於閘極堆疊133A和133B之間的第一部分135A,如第2F圖所示。絕緣結構134’的第一部分135A穿過金屬閘極堆疊線133之一,並且將其分為至少兩個獨立的(separate)閘極堆疊。絕緣結 構134’的第一部分135A與閘極堆疊133A和133B的功函數層以及金屬填充物直接接觸。絕緣結構134’也具有位於閘極堆疊133C和133D之間的第二部分135B。絕緣結構134’的第二部分135B與閘極堆疊133C和133D的功函數層以及金屬填充物直接接觸。絕緣結構134’更具有一第三部分135C,其連接第一部分135A和第二部分135B,如第2F圖所示。絕緣結構134’的第三部分135C與介電層113直接接觸。
可對本發明實施例進行許多變化及/或修改。可微調用來形成凹陷132的蝕刻製程,以修改凹陷132的輪廓。
第7A圖到第7B圖是根據一些實施例顯示形成半導體裝置結構的製程之各階段俯視圖。第8A圖到第8C圖是根據一些實施例顯示形成半導體裝置結構的製程之各階段剖面圖。在一些實施例中,第7A圖顯示第1G圖所示結構以及未顯示於第1G圖的其他部分之俯視圖。第1G圖可為沿著第7A圖中的線I-I所繪製的剖面圖。在一些實施例中,第8A圖顯示沿著第7A圖中的線L所繪製的剖面圖。
可微調用來形成凹陷132的蝕刻製程,以確保沒有留下金屬殘留物而電性連接不意圖短路在一起(shorted together)的閘極堆疊。在一些實施例中,用於蝕刻製程中的反應氣體包括BCl3、Cl2、SiCl4、另一種合適的氣體、或前述之組合。在一些實施例中,將用來形成凹陷132的蝕刻製程調整成更為等向性(isotropic)的。介電材料的蝕刻速率可獲得提升。因此,可更加輕易地將凹陷132中的間隔物元件112和介電層113移除。因此,可將原本附著在這些介電材料表面上的金屬殘留物移除。在一些實施例中,凹陷132具有類似橢圓形的俯視形狀,如第7A圖所示。如第7A圖所示,凹陷132在平面圖中的寬度D4略大於凹陷132在平面圖中的寬度D5。在一些實施例中,凹陷132的寬度從寬度D4逐漸地減少至寬度D6,並從寬度D6逐漸地增加至寬度D5,如第7A圖所示。在一些實施例中,凹陷132的俯視圖為橢圓形或矩形,如第7A圖所示。凹陷132的輪廓可類似 橢圓形或類似矩形。
在一些實施例中,凹陷132的寬度比值(D6/D4)在約0.7到約1的範圍內。在一些情況中,如果寬度比值(D6/D4)小於約0.7,金屬殘留物可能會留在凹陷132的側壁上。此金屬殘留物可能導致意圖以凹陷132分離的閘極堆疊間產生短路。
如第7A圖所示,根據一些實施例,凹陷132完全地切穿金屬閘極堆疊線133。其結果,形成了閘極堆疊133A、133B、133C、以及133D。如第8A圖所示,凹陷132具有穿過金屬閘極堆疊線133的第一部分。凹陷132的第一部分具有一深度H1。在一些實施例中,介電層113具有留在凹陷132中的部分P。凹陷132也具有延伸至介電層113中的第二部分。凹陷132的第二部分具有一深度H2。深度H1大於深度H2
可對本發明實施例進行許多變化及/或修改。在一些實施例中,凹陷132的形成更包括過蝕刻金屬閘極堆疊線133。也可過蝕刻介電層113。如第8B圖所示,根據一些實施例,在過蝕刻製程之後,將介電層113的部分P移除。在一些實施例中,第8B圖顯示過蝕刻製程之後沿著線L所繪製之第7A圖所示結構的剖面圖。
由於過蝕刻製程,不只移除了介電層113的部分P,同時也移除了可能殘留在部分P的側壁上的任何金屬殘留物。如第7A圖所示,根據一些實施例,因為在切割金屬閘極堆疊線133時所形成的金屬殘留物大致上被移除,所以確定閘極堆疊133A和133B透過凹陷132彼此電性隔離。類似地,閘極堆疊133C和133D也透過凹陷132彼此電性隔離。
在一些實施例中,由於過蝕刻製程,凹陷132進一步延伸至位於介電層113下方的隔離部件102中,如第8B圖所示。過蝕刻製程也可將部分的隔離部件102移除。如第8B圖所示,在過蝕刻製程之後,凹陷132具有延伸至原本位 於金屬閘極堆疊線133下方之隔離部件102中的一第一部份。凹陷132的第一部分在位置P1具有一深度H1’。凹陷132也具有延伸至原本位於介電層113的部分P下方的隔離部件102中的一第二部分。凹陷132的第二部分在位置P2具有一深度H2’。深度H1’大於深度H2’。因此,比起延伸至隔離部件102的第二部分(原本位於介電層113的部分P下方)中,凹陷132更深地延伸至隔離部件102的第一部分(原本位於金屬閘極堆疊線133下方)中。在一些其他實施例中,凹陷132延伸至隔離部件102的第一部分(原本位於金屬閘極堆疊線133下方)中,而未延伸至隔離部件102的第二部分(原本位於介電層113的部分P下方)中。
之後,根據一些實施例,形成絕緣結構134’於凹陷132中,如第7B圖和第8C圖所示。絕緣結構134’的形成可與第1H圖、第1I圖、第2E圖、和第2F圖所示的相同或相似。在一些實施例中,絕緣結構134’具有類似橢圓形的俯視形狀,如第7B圖所示。在一些實施例中,絕緣結構134’穿過介電層113。在一些實施例中,絕緣結構134’延伸至隔離部件102中。
第9圖是根據一些實施例顯示一半導體裝置結構的剖面圖。在一些實施例中,第9圖顯示第7B圖所示結構沿著線I-I所繪製之剖面圖。絕緣結構134’延伸至隔離部件102中。
如第7B圖所示,在一些實施例中,絕緣結構134’具有位於閘極堆疊133A和133B之間的第一部分135A。絕緣結構134’的第一部分135A穿過金屬閘極堆疊線133的其中之一。在一些實施例中,絕緣結構134’的第一部分135A與閘極堆疊133A和133B的功函數層以及金屬填充物直接接觸。絕緣結構134’也具有位於閘極堆疊133C和133D之間的第二部分135B。在一些實施例中,絕緣結構134’的第二部分135B與閘極堆疊133C和133D的功函數層以及金屬填充物直接接觸。絕緣結構134’更具有一第三部分135C,其連接第一部分135A和第二部分135B,如第7B圖所示。在一些實施例中,絕緣結構134’的第三部分135C與介電 層113直接接觸。在一些實施例中,絕緣結構134’的第一部分135A比絕緣結構134’的第三部分135C厚,如第7B圖和第8C圖所示。
本發明實施例形成具有金屬閘極堆疊和鰭片結構的半導體裝置結構。將複數個虛設閘極堆疊線移除,以形成被介電層圍繞的溝槽。每一個溝槽都大到足以包含不同(或相同)電晶體的兩個或多個金屬閘極堆疊。形成金屬閘極堆疊線以填充所述溝槽。之後,形成切割金屬閘極開口,以切穿兩個或多個金屬閘極堆疊線,將每一個金屬閘極堆疊線分為兩個或多個金屬閘極堆疊。切割金屬閘極開口也可延伸至金屬閘極堆疊線之間的介電層中。之後形成絕緣結構於切割金屬閘極堆疊開口中,以電性隔離金屬閘極堆疊。因為金屬閘極堆疊層沈積在大到足以包含兩個或多個閘極堆疊的溝槽中,所以可良好地進行金屬閘極堆疊層的沈積。金屬閘極堆疊層的品質和可靠度都顯著地獲得改善。
根據一些實施例,提供一種半導體裝置結構的形成方法。所述方法包括形成一第一虛設閘極堆疊和一第二虛設閘極堆疊於一半導體基板之上。所述方法也包括形成一介電層於半導體基板之上以圍繞第一虛設閘極堆疊和第二虛設閘極堆疊。所述方法更包括移除第一虛設閘極堆疊和第二虛設閘極堆疊以形成一第一溝槽和一第二溝槽於介電層中。此外,所述方法包括分別形成一第一金屬閘極堆疊和一第二金屬閘極堆疊於第一溝槽和第二溝槽中。所述方法也包括部分地移除第一金屬閘極堆疊、第二金屬閘極堆疊、和介電層以形成一凹陷。所述凹陷穿過第一金屬閘極堆疊和第二金屬閘極堆疊。所述方法更包括形成一絕緣結構以完全地或部分地填充所述凹陷。
在一些實施例中,所述方法更包括在形成第一虛設閘極堆疊和第二虛設閘極堆疊之前,形成一隔離部件於半導體基板之上,其中凹陷延伸至隔離部件中。
在一些實施例中,比起延伸至隔離部件的第二部分中,所述凹陷 更深地延伸至隔離部件的第一部分中。
在一些實施例中,所述方法更包括過蝕刻第一金屬閘極堆疊、第二金屬閘極堆疊、和介電層,使得所述凹陷延伸至隔離部件中。
在一些實施例中,所述方法利用一蝕刻製程形成凹陷,且用於蝕刻製程中的反應氣體包括BCl3、Cl2、SiCl4、或前述之組合。
在一些實施例中,所述方法更包括形成一罩幕層於介電層、第一金屬閘極堆疊、和第二金屬閘極堆疊之上,其中罩幕層具有部分地暴露出第一金屬閘極堆疊、第二金屬閘極堆疊、和介電層的一開口。
在一些實施例中,所述開口的一俯視圖為橢圓形或矩形。
在一些實施例中,所述蝕刻製程以一第一速率蝕刻第一金屬閘極堆疊,並以一第二速率蝕刻介電層,且第一速率大於第二速率。
在一些實施例中,絕緣結構和介電層是由不同的材料製成。
在一些實施例中,所述方法更包括在形成第一虛設閘極堆疊和第二虛設閘極堆疊之前,形成一第一半導體鰭片和一第二半導體鰭片於半導體基板之上,其中第一虛設閘極堆疊和第二虛設閘極堆疊覆蓋部分的第一半導體鰭片和第二半導體鰭片。
根據一些實施例,提供一種半導體裝置結構的形成方法。所述方法包括形成一隔離部件於一半導體基板之上。所述方法包括形成一介電層於半導體基板和隔離部件之上,以及形成一第一金屬閘極堆疊線和一第二金屬閘極堆疊線於半導體基板之上。所述介電層圍繞第一金屬閘極堆疊線和第二金屬閘極堆疊線。所述方法也包括形成一開口,使得每一個第一金屬閘極堆疊線和第二金屬閘極堆疊線被分為至少兩個單獨的金屬閘極堆疊。所述方法更包括形成一絕緣結構於開口中,且所述絕緣結構延伸至隔離部件中。
在一些實施例中,所述開口延伸至第一金屬閘極堆疊線和第二金 屬閘極堆疊線之間的介電層中。
在一些實施例中,所述開口具有穿過第一金屬閘極堆疊線的一第一部分和延伸至介電層中的一第二部分,且所述開口的第一部分比所述開口的第二部分具有較深的深度。
根據一些實施例,提供一半導體裝置結構。所述半導體裝置結構包括一半導體基板以及位於半導體基板之上的第一、第二、第三、和第四金屬閘極堆疊。所述半導體裝置結構也包括一介電層,其圍繞第一、第二、第三、和第四金屬閘極堆疊。所述半導體裝置結構更包括一絕緣結構,位於半導體基板之上。所述絕緣結構具有位於第一金屬閘極堆疊和第二金屬閘極堆疊之間的一第一部分。所述絕緣結構具有位於第三金屬閘極堆疊和第四金屬閘極堆疊之間的一第二部分。所述絕緣結構具有連接第一部分與第二部分的一第三部分。
在一些實施例中,所述絕緣結構的第一部分在一平面圖中的一第一寬度大於所述絕緣結構的第三部分在平面圖中的一第二寬度。
在一些實施例中,所述絕緣結構穿過介電層。
在一些實施例中,所述第一部分比所述第三部分厚。
在一些實施例中,所述半導體裝置結構更包括一隔離部件,位於半導體基板之上,且位於第一、第二、第三、和第四金屬閘極堆疊下方,其中所述絕緣結構延伸至所述隔離部件中。
在一些實施例中,所述第一金屬閘極堆疊具有一第一功函數層和一第一金屬填充物,所述第二金屬閘極堆疊具有一第二功函數層和一第二金屬填充物,以及所述絕緣結構的第一部分與第一功函數層、第一金屬填充物、第二功函數層、和第二金屬填充物直接接觸。
在一些實施例中,所述絕緣結構和介電層是由不同的材料製成。
前述內文概述了許多實施例的部件,以使本技術領域中具有通常 知識者可以從各個方面更佳地了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的精神與範圍。在不背離本發明的精神與範圍之前提下,可對本發明實施例進行各種改變、置換或修改。
100:半導體基板
101A、101B:鰭片結構
102:隔離部件
110A、110B:部分
118:閘極介電層
120:障壁層
122A、122B:功函數層
124:阻擋層
126A、126B:金屬填充物
133A、133B:閘極堆疊
134’:絕緣結構
W1、W2:寬度
L:線
H:高度
θ:角度

Claims (10)

  1. 一種半導體裝置結構的形成方法,包括:形成一第一虛設閘極堆疊和一第二虛設閘極堆疊於一半導體基板之上;形成一介電層於該半導體基板之上,以圍繞該第一虛設閘極堆疊和該第二虛設閘極堆疊;移除該第一虛設閘極堆疊和該第二虛設閘極堆疊,以形成一第一溝槽和一第二溝槽於該介電層中;分別形成一第一金屬閘極堆疊和一第二金屬閘極堆疊於該第一溝槽和該第二溝槽中;部分地移除該第一金屬閘極堆疊、該第二金屬閘極堆疊、和該介電層,以形成一凹陷,其中該凹陷穿過該第一金屬閘極堆疊和該第二金屬閘極堆疊;以及形成一絕緣結構以至少部分地填充該凹陷,其中該絕緣結構具有一第一部分位於該金屬閘極堆疊的兩部分之間、一第二部分位於該第二金屬閘極堆疊的兩部分之間、及一第三部分連接該第一部分和該第二部分。
  2. 如申請專利範圍第1項所述之半導體裝置結構的形成方法,更包括在形成該第一虛設閘極堆疊和該第二虛設閘極堆疊之前,形成一第一半導體鰭片和一第二半導體鰭片於該半導體基板之上,其中該第一虛設閘極堆疊和該第二虛設閘極堆疊覆蓋部分的該第一半導體鰭片和該第二半導體鰭片。
  3. 一種半導體裝置結構的形成方法,包括:形成一隔離部件於一半導體基板之上;形成一介電層於該半導體基板和該隔離部件之上;形成一第一金屬閘極堆疊線和一第二金屬閘極堆疊線於該半導體基板之上,其中該介電層圍繞該第一金屬閘極堆疊線和該第二金屬閘極堆疊線; 形成一開口,使得該第一金屬閘極堆疊線和該第二金屬閘極堆疊線中的每一個被分為至少兩個單獨的金屬閘極堆疊;以及形成一絕緣結構於該開口中,其中該絕緣結構具有一第一部分位於該第一金屬閘極堆疊線的兩部分之間、一第二部分位於該第二金屬閘極堆疊線的兩部分之間、及一第三部分連接該第一部分和該第二部分。
  4. 一種半導體裝置結構,包括:一半導體基板;一第一金屬閘極堆疊、一第二金屬閘極堆疊、一第三金屬閘極堆疊和一第四金屬閘極堆疊,位於該半導體基板之上;一介電層,圍繞該第一金屬閘極堆疊、該第二金屬閘極堆疊、該第三金屬閘極堆疊和該第四金屬閘極堆疊;以及一絕緣結構,位於該半導體基板之上,其中該絕緣結構具有一第一部分位於該第一金屬閘極堆疊和該第二金屬閘極堆疊之間、一第二部分位於該第三金屬閘極堆疊和該第四金屬閘極堆疊之間、及一第三部分連接該第一部分和該第二部分。
  5. 一種半導體裝置結構,包括:一半導體基板;一第一金屬閘極堆疊、一第二金屬閘極堆疊、一第三金屬閘極堆疊和一第四金屬閘極堆疊,位於該半導體基板之上;一介電層,圍繞該第一金屬閘極堆疊、該第二金屬閘極堆疊、該第三金屬閘極堆疊和該第四金屬閘極堆疊;以及一絕緣結構,位於該半導體基板之上,其中該絕緣結構具有一第一部分、一第二部分和一第三部分,該第一部分將該第一金屬閘極堆疊和該第二金屬閘極堆疊電性隔離,該第二部分將該第三金屬閘極堆疊和該第四金屬閘極堆疊電 性隔離,且該第三部分連接該第一部分和該第二部分。
  6. 如申請專利範圍第5項所述之半導體裝置結構,更包括一隔離部件,位於該半導體基板之上,且位於該第一金屬閘極堆疊、該第二金屬閘極堆疊、該第三金屬閘極堆疊和該第四金屬閘極堆疊下方。
  7. 如申請專利範圍第6項所述之半導體裝置結構,其中比起該絕緣結構的該第三部分,該絕緣結構的該第一部分更深地延伸至該隔離部件中。
  8. 如申請專利範圍第5至7項中任一項所述之半導體裝置結構,其中該絕緣結構的該第一部分沿著朝向該絕緣結構的該第三部分的方向縮小。
  9. 一種半導體裝置結構,包括:一半導體基板;一第一金屬閘極堆疊和一第二金屬閘極堆疊,位於該半導體基板之上;一介電層,圍繞該第一金屬閘極堆疊和該第二金屬閘極堆疊;以及一絕緣結構,位於該半導體基體之上,其中該絕緣結構具有一第一部分和一第二部分,該第一部分夾設於該第一金屬閘極堆疊和該第二金屬閘極堆疊之間,該第二部分連接該第一部分而不夾設於該第一金屬閘極堆疊和該第二金屬閘極堆疊之間,且在一平面圖中,該第一部分比該第二部分寬。
  10. 一種半導體裝置結構,包括:一半導體基板;一第一金屬閘極堆疊和一第二金屬閘極堆疊,位於該半導體基板之上;一介電層,圍繞該第一金屬閘極堆疊和該第二金屬閘極堆疊;以及一絕緣結構,位於該第一金屬閘極堆疊和該第二金屬閘極堆疊之間,其中該絕緣結構具有面向該第一金屬閘極堆疊的一第一凸面。
TW107141587A 2018-01-12 2018-11-22 半導體裝置結構及其形成方法 TWI776996B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862616685P 2018-01-12 2018-01-12
US62/616,685 2018-01-12
US15/965,183 US10461171B2 (en) 2018-01-12 2018-04-27 Structure and formation method of semiconductor device with metal gate stacks
US15/965,183 2018-04-27

Publications (2)

Publication Number Publication Date
TW201931446A TW201931446A (zh) 2019-08-01
TWI776996B true TWI776996B (zh) 2022-09-11

Family

ID=67214301

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107141587A TWI776996B (zh) 2018-01-12 2018-11-22 半導體裝置結構及其形成方法

Country Status (3)

Country Link
US (4) US10461171B2 (zh)
CN (1) CN110034180B (zh)
TW (1) TWI776996B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9601567B1 (en) * 2015-10-30 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple Fin FET structures having an insulating separation plug
US11043495B2 (en) 2019-05-29 2021-06-22 Samsung Electronics Co., Ltd. Integrated circuit semiconductor device and method of manufacturing the same
US11322619B2 (en) * 2019-10-30 2022-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11302814B2 (en) 2020-01-23 2022-04-12 Nanya Technology Corp. Semiconductor device with porous dielectric structure and method for fabricating the same
DE102020120099B4 (de) 2020-01-29 2024-07-25 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zur herstellung von isolationsstrukturen für halbleitervorrichtungen
US11177180B2 (en) 2020-02-11 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Profile control of a gap fill structure
CN113314605B (zh) * 2020-02-26 2023-11-21 中芯国际集成电路制造(上海)有限公司 半导体结构及半导体结构的形成方法
TWI774318B (zh) * 2020-05-22 2022-08-11 台灣積體電路製造股份有限公司 製造半導體元件的方法與半導體元件
US11646232B2 (en) 2020-05-22 2023-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor devices and semiconductor device

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160093614A1 (en) * 2014-09-30 2016-03-31 International Business Machines Corporation Method and structure for improving finfet with epitaxy source/drain
US20160351568A1 (en) * 2015-05-29 2016-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100829604B1 (ko) * 2006-09-26 2008-05-14 삼성전자주식회사 불휘발성 메모리 장치 및 그 제조 방법
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
CN104241131B (zh) * 2013-06-09 2017-08-25 中芯国际集成电路制造(上海)有限公司 金属栅极晶体管的形成方法
KR102089682B1 (ko) * 2013-07-15 2020-03-16 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US10068982B2 (en) 2014-05-29 2018-09-04 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of semiconductor device structure with metal gate
US20160024773A1 (en) * 2014-07-24 2016-01-28 James W. Page Toilet Bowl Deodorizer Fixture
KR102312346B1 (ko) * 2015-02-23 2021-10-14 삼성전자주식회사 반도체 소자 형성 방법
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10164051B2 (en) * 2015-11-16 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9466693B1 (en) * 2015-11-17 2016-10-11 International Business Machines Corporation Self aligned replacement metal source/drain finFET
US10109627B2 (en) * 2016-03-08 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Enlarging spacer thickness by forming a dielectric layer over a recessed interlayer dielectric
US9917085B2 (en) * 2016-05-31 2018-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate isolation structure and method forming same
US10079289B2 (en) * 2016-12-22 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure and methods thereof
US10083874B1 (en) * 2017-03-23 2018-09-25 Globalfoundries Inc. Gate cut method
US10269787B2 (en) * 2017-06-29 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Metal gate structure cutting process
US10396206B2 (en) * 2017-07-07 2019-08-27 Globalfoundries Inc. Gate cut method
KR102360410B1 (ko) * 2017-08-30 2022-02-08 삼성전자주식회사 반도체 장치
US10163640B1 (en) * 2017-10-31 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Gate isolation plugs structure and method
KR20220169956A (ko) * 2021-06-21 2022-12-29 삼성전자주식회사 반도체 장치 및 이를 포함하는 데이터 저장 시스템

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160093614A1 (en) * 2014-09-30 2016-03-31 International Business Machines Corporation Method and structure for improving finfet with epitaxy source/drain
US20160351568A1 (en) * 2015-05-29 2016-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure

Also Published As

Publication number Publication date
CN110034180B (zh) 2023-05-23
US20200052090A1 (en) 2020-02-13
TW201931446A (zh) 2019-08-01
US20190221653A1 (en) 2019-07-18
CN110034180A (zh) 2019-07-19
US11830926B2 (en) 2023-11-28
US11201230B2 (en) 2021-12-14
US20240047557A1 (en) 2024-02-08
US20220102532A1 (en) 2022-03-31
US10461171B2 (en) 2019-10-29

Similar Documents

Publication Publication Date Title
US11682716B2 (en) Structure of semiconductor device structure having fins
US20230275142A1 (en) Structure of Semiconductor Device Structure Having Fins
TWI776996B (zh) 半導體裝置結構及其形成方法
US11670717B2 (en) Structure of S/D contact and method of making same
KR102281560B1 (ko) 핀 전계 효과 트랜지스터 디바이스 및 그 형성 방법
US20230155003A1 (en) Structure of isolation feature of semiconductor device structure
US20220293742A1 (en) Fin field-effect transistor device and method
CN112687621A (zh) 半导体装置结构的形成方法
US20240055478A1 (en) Structure and formation method of semiconductor device with isolation structure
US12062658B2 (en) Method for forming an integrated circuit having transistor gates over an interconnection structure
US20220359763A1 (en) Structure and formation method of semiconductor device with embedded epitaxial structure
US20230369131A1 (en) Structure and formation method of semiconductor device with epitaxial structures

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent