TWI772590B - 可得到經改善之表面形狀的鎢大量拋光方法 - Google Patents

可得到經改善之表面形狀的鎢大量拋光方法 Download PDF

Info

Publication number
TWI772590B
TWI772590B TW108100297A TW108100297A TWI772590B TW I772590 B TWI772590 B TW I772590B TW 108100297 A TW108100297 A TW 108100297A TW 108100297 A TW108100297 A TW 108100297A TW I772590 B TWI772590 B TW I772590B
Authority
TW
Taiwan
Prior art keywords
acid
substrate
colloidal silica
polishing composition
polishing
Prior art date
Application number
TW108100297A
Other languages
English (en)
Other versions
TW201936881A (zh
Inventor
威廉 J 沃德
馬修 E 卡尼斯
驥 崔
金 龍
Original Assignee
美商Cmc材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商Cmc材料股份有限公司 filed Critical 美商Cmc材料股份有限公司
Publication of TW201936881A publication Critical patent/TW201936881A/zh
Application granted granted Critical
Publication of TWI772590B publication Critical patent/TWI772590B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Disintegrating Or Milling (AREA)

Abstract

本發明提供化學機械拋光基板之方法,其包含提供基板,該基板包含在該基板表面上之鎢層及在該基板表面上之氧化矽層;提供化學機械拋光組合物,其包含:a) 表面改質之膠體二氧化矽粒子,該等粒子之表面上包含帶負電荷基團,其中該等表面改質之膠體二氧化矽粒子具有負電荷、約90 nm至約350 nm之粒徑及在約2之pH下約-20 mV至約-70 mV之ζ電位,b) 鐵化合物,c) 穩定劑及d) 水性載劑;及使該基板與拋光墊及該化學機械拋光組合物接觸,以拋光該基板。

Description

可得到經改善之表面形狀的鎢大量拋光方法
本發明提供化學機械拋光基板之方法,其包含提供基板,該基板包含在該基板表面上之鎢層及在該基板表面上之氧化矽層;提供化學機械拋光組合物,其包含鎢層及氧化矽層,使用包含以下之化學機械拋光組合物:a) 表面改質之膠體二氧化矽粒子,該等粒子之表面上包含帶負電荷基團,其中該等表面改質之膠體二氧化矽粒子具有負電荷、約90 nm至約350 nm之粒徑及在約2之pH下約-20 mV至約-70 mV之ζ電位,b) 鐵化合物,c) 穩定劑及d) 水性載劑;及使該基板與拋光墊及該化學機械拋光組合物接觸,以拋光該基板。
在積體電路及其他電子裝置之製作中,將導電、半導電及介電材料之多個層沈積於基板表面上或自基板表面移除。當材料之各層相繼沈積於基板上及自基板移除時,基板之最上表面可變得不平坦且需要平坦化。平坦化表面或「拋光」表面係其中將材料自基板之表面移除以形成大體平滑、平坦表面之製程。平坦化可用於移除不期望之表面形狀及表面缺陷,例如粗糙表面、團聚材料、晶格損壞、劃痕及受污染層或材料。平坦化亦可用於藉由去除用於填充特徵及提供用於隨後金屬化及加工位準之平滑表面的過量沈積材料而在基板上形成該等特徵。
業內熟知用於平坦化或拋光基板表面之組合物及方法。化學機械平坦化或化學機械拋光(CMP)係用於平坦化基板之常見技術。CMP利用化學組合物(稱為CMP組合物或更簡單地稱為拋光組合物(亦稱作拋光漿液))用於自基板選擇性移除材料。拋光組合物通常藉由使基板表面與經拋光組合物飽和之拋光墊(例如,拋光布或拋光盤)接觸來施加至基板。基板之拋光通常進一步藉由拋光組合物之化學活性及/或懸浮於拋光組合物中或納入拋光墊(例如,固定研磨拋光墊)中之磨料的機械活性來輔助。
具有設置於介電特徵之間之鎢特徵之基板包括半導體基板,該等基板包括提供於介電材料之特徵之間的鎢「插塞」及「互連」結構。介電材料(例如氧化矽)等形於基板之下伏表面形狀,且因此,介電材料表面之特徵通常在於介電材料中由溝槽隔開之介電材料之凸起區域的不均勻組合。包括凸起之介電材料及溝槽之基板表面區稱為基板之圖案場,例如稱為「圖案材料」、「圖案氧化物」或「圖案電介質」。
為產生鎢「插塞」及「互連」結構,將鎢施加至含有至少部分地自介電材料製成之圖案化結構之表面上。由於溝槽深度之變化,通常需要將過量鎢沈積於基板之頂部以確保完全填充所有溝槽。然後藉由CMP處理移除過量鎢以暴露下伏介電層並產生設置於介電材料之空間之間的鎢平坦表面。相對較大部分之鎢係在期望地以高鎢移除速率為特徵之「大量」拋光期間移除。然而,大量鎢拋光亦必須展現適宜表面形狀性能。
已知CMP漿液具有許多缺點,例如,具有鎢移除速率能力之漿液可具有過度邊緣過度侵蝕(edge-over erosion, EOE) (有時稱為犬牙狀(fanging)),此可造成良率損失。顧名思義,EOE或犬牙狀係指靠近圖案化區域之邊緣的局部侵蝕。或者,許多可達成期望表面形狀性能之漿液具有低膜移除速率,此增加處理時間,由此降低裝置生產量。此外,表面形狀性能及缺陷性已鑑別為現有基於二氧化矽之鎢緩衝漿液之空缺。陰離子系統可改善缺陷性,同時提供經改善之膠體穩定性以改善儲放壽命。然而,陰離子二氧化矽之使用當前因許多因素而受限,例如由於Al瀝濾導致之pH限制,鋁摻雜之二氧化矽具有有限的操作配方空間。而且,已知調配有陰離子粒子(例如,MPS (巰基-丙基矽烷磺化膠體二氧化矽))之漿液由於低膜移除速率及差的圖案性能(例如高侵蝕或EOE)而受限。
儘管EOE現象在各種CMP應用(包括矽、銅及鎢CMP)中係已知的,但並未充分瞭解該等現象。美國專利6,114,248揭示使用降低量之膠體及發煙二氧化矽及增加之鹼性化學品以改善多晶矽拋光中之EOE。此外,已提出,銅CMP中之EOE現象可藉由改質銅之電化學性質來解決(例如,參見G. Banerjee及R. L. Rhoades (ECS Transactions, 2008, 第13卷, 第1-19頁))。然而,基於化學之解決方案對於鎢拋光應用中之犬牙狀可能不適宜,此乃因鎢固有地具有不同於銅及矽之電化學性質。其他人提出,鎢CMP中之EOE可藉由修改機械因素(例如使用小粒子膠體二氧化矽)或處理條件(例如在拋光期間兩步式高下壓力隨後低下壓力設置或重新構形拋光總成)來解決(例如,參見R.Vacassy及Z. Chen, 「Edge Over Erosion in Tungsten CMP」, 2006, https://www.researchgate.net/publication/290577656;S.H. Shin等人,「Relative Motion and Asymmetry Effect Analyses in Tungsten CMP Process,」 http://www.planarization-cmp.org/contents/ICPT/PacRim2005/S5-2.pdf)。
然而,由於所涉及之複雜操作、由於增加之拋光時間可能導致生產量之降低,故修改處理參數通常係不期望的。修改處理參數亦可導致缺少均勻拋光特性,此可不利地影響裝置良率。另外,申請者已發現,調配有小的膠體二氧化矽之鎢拋光漿液在鎢拋光應用中導致高EOE現象傾向。
因此,業內仍需要用於化學機械拋光基板以提供有用的大量拋光移除速率(例如,鎢大量拋光)同時亦提供經改善之平坦化效率之組合物及方法。本發明提供該等拋光組合物及方法。自本文提供之本發明說明將明瞭本發明之該等及其他優點以及其他本發明特徵。
本發明提供化學機械拋光基板之方法,其包含:(i) 提供基板,其中該基板包含在該基板表面上之鎢層及在該基板表面上之氧化矽層;(ii) 提供拋光墊;(iii) 提供化學機械拋光組合物,其包含(a) 表面改質之膠體二氧化矽粒子,在該等粒子之表面上具有帶負電荷基團,其中該等表面改質之膠體二氧化矽粒子具有負電荷、約90 nm至約350 nm之粒徑及在約2之pH下約-20 mV至約-70 mV之ζ電位,(b) 鐵化合物,(c) 穩定劑及(d) 水性載劑;(iv) 使該基板與該拋光墊及該化學機械拋光組合物接觸;及(v) 使該拋光墊及該化學機械拋光組合物相對於該基板移動以磨蝕該基板表面上之該鎢層的至少一部分及該基板表面上之該氧化矽層的至少一部分,以拋光該基板。
本發明提供化學機械拋光基板之方法,其包含(i) 提供基板,其中該基板包含在該基板表面上之鎢層及在該基板表面上之氧化矽層;(ii) 提供拋光墊;(iii) 提供化學機械拋光組合物,其包含以下各項、基本上由以下各項組合或由以下各項組成:(a) 表面改質之膠體二氧化矽粒子,在該等粒子之表面上包含帶負電荷基團,其中該等表面改質之膠體二氧化矽粒子具有負電荷、約90 nm至約350 nm之粒徑及在約2之pH下約-20 mV至約-70 mV之ζ電位,(b) 鐵化合物,(c) 穩定劑及(d) 水性載劑;(iv) 使該基板與該拋光墊及該化學機械拋光組合物接觸;及(v) 使該拋光墊及該化學機械拋光組合物相對於該基板移動以磨蝕該鎢層之至少一部分及該氧化矽層之至少一部分,以拋光該基板。
不希望受任何特定理論束縛,申請者已發現,包含本文所述具有負電荷、約90 nm至約350 nm之粒徑及在約2之pH下約-20 mV至約-70 mV之ζ電位之表面改質之粒子的拋光組合物展現經改善之鎢移除蘇東亮及氧化矽移除速率能力且展現經改善之表面形狀性能,包括降低之侵蝕及犬牙狀。
拋光組合物包含磨料,該磨料包含表面改質(例如表面官能化)膠體二氧化矽粒子、基本上由其組成或由其組成,其中該等膠體二氧化矽粒子經表面改質,使得經改質之膠體二氧化矽粒子在粒子表面上具有帶負電荷基團。因此,表面改質之膠體二氧化矽粒子具有負電荷。負電荷係藉由利用帶負電荷基團改質二氧化矽磨料來提供,該等帶負電荷基團共價附接至二氧化矽表面。
如本文所用,術語「負電荷」係指表面改質之膠體二氧化矽粒子上不易於經由(例如)沖洗、稀釋或過濾逆轉(即,不可逆或永久)之負電荷。負電荷可係(例如)陰離子物質(例如,帶負電荷基團)與膠體二氧化矽共價鍵結之結果。與此相比,可逆負電荷(非永久負電荷)可係(例如)陰離子物質與膠體二氧化矽間之靜電相互作用之結果,例如可(例如)靜電結合至二氧化矽粒子表面之陰離子表面活性劑或陰離子聚合物。
帶負電荷基團可係可影響膠體二氧化矽粒子表面上之負電荷之任何適宜基團。舉例而言,帶負電荷基團可為有機酸(例如羧酸、磺酸及/或膦酸)。在較佳實施例中,帶負電荷基團包含磺酸根基團、羧酸根基團、膦酸根基團及其組合。
在較佳實施例中,磺酸根基團係含有一或多個磺酸根基團或硫酸根基團之矽烷。磺酸根基團亦可係磺酸鹽或硫酸鹽前體,該前體可隨後藉由(例如)氧化轉變成磺酸鹽或硫酸鹽。適宜磺酸根基團包括(例如) 3-(三羥基矽基)-1-丙烷磺酸、三乙氧基矽基丙基(聚乙烯氧基)丙基磺酸或其鹽(例如鉀鹽)、3-巰基丙基三甲氧基矽烷、(巰基甲基)甲基二乙氧基矽烷及3-巰基丙基甲基二甲氧基矽烷。
適宜羧酸根基團或羧酸鹽前體包括(例如)(3-三乙氧基矽基)丙基琥珀酸酐、羧基乙基矽烷三醇或其鹽及N-(三甲氧基矽基丙基)乙二胺三乙酸或其鹽。
適宜膦酸根基團包括(例如) 3-(三羥基矽基)丙基甲基膦酸及其鹽。
帶負電荷基團亦可為矽烷偶聯劑。矽烷偶聯劑可用於改質二氧化矽表面以提供本發明之表面改質之膠體二氧化矽粒子。包括帶負電荷基團或帶負電荷基團前體之矽烷偶聯劑實例已由Gelest, Inc. (Silane Coupling Agents, Copyright 2014, Gelest, Inc., Morrisville, PA)闡述且以引用的方式包括在本文中。
表面改質之膠體二氧化矽粒子可使用任何適宜方法製備。通常,膠體二氧化矽粒子在利用帶負電荷基團表面改質之前(即,未經改質之膠體二氧化矽粒子)不含或實質上不含帶負電荷基團。未經改質之膠體二氧化矽粒子可為任何適宜膠體二氧化矽粒子且通常係「濕法」膠體二氧化矽粒子。如本文所用,「濕法」二氧化矽係指藉由沈澱、縮合-聚合或類似製程(如與(例如)發煙或熱解二氧化矽相反)製備之二氧化矽。在較佳實施例中,膠體二氧化矽粒子係藉由Si(OH)4 之縮合-聚合製備。前體Si(OH)4 可藉由(例如)高純度烷氧基矽烷(例如正矽酸四甲基酯(TMOS))之水解獲得。該等膠體二氧化矽粒子可作為各種市售產品獲得,例如Fuso Chemical Co.之「PL」膠體二氧化矽產品,例如PL-5及PL-7。在另一較佳實施例中,二氧化矽粒子係自矽酸鈉製備。可用於本發明之矽酸鈉可(例如)自Nalco獲得。衍生自矽酸鈉之市售膠體二氧化矽實例包括Nalco 1050、2327及2329產品以及自DuPont、Bayer、Applied Research、Nissan Chemical及Clariant獲得之其他類似產品。
膠體二氧化矽粒子可使用任何適宜方法進行表面改質。在實施例中,用於將帶負電荷基團提供至膠體二氧化矽粒子表面之表面處理係藉助矽烷表面與膠體二氧化矽反應實施。舉例而言,在磺酸(例如,磺酸根基團) (其為有機酸)共價附接至膠體二氧化矽之情形中,附接可根據Cano-Serrano等人,「Sulfonic acid-functionalized silica through quantitative oxidation of thiol groups」,Chem.Commun. , 2003(2): 246-247 (2003)之方法實施。具體而言,具有共價附接至其表面之磺酸的膠體二氧化矽係藉由將具有硫醇基團之矽烷偶聯劑(例如,(3-巰基丙基)三甲氧基矽烷)與膠體二氧化矽偶聯且然後使用過氧化氫水氧化硫醇基團來獲得。或者,例如,在羧酸(即,羧酸根基團)共價附接至膠體二氧化矽之情形中,附接可根據Yamaguchi等人,「Novel silane coupling agents containing a photolabile 2-nitrobenzyl ester for introduction of a carboxy group」,Chemistry Letters , 3: 228-229 (2000)之方法實施。具體而言,具有共價附接至其表面之羧酸的膠體二氧化矽係藉由將含有光敏性2-硝基乙烯基酯之矽烷偶聯劑與膠體二氧化矽偶聯且然後用光輻照其來獲得。
表面改質之膠體二氧化矽粒子具有約90 nm至約350 nm之粒徑。如本文所用,粒徑係指包圍該粒子之最小球體之直徑。膠體二氧化矽粒子之粒徑可使用任何適宜技術(例如,光散射技術)來量測。適宜粒徑量測儀器可自(例如) Malvern Instruments (Malvern, UK)獲得。粒徑可使用熟習此項技術者已知之任何適宜技術來量測,例如雷射繞射技術、使用來自例如CPS Instruments (Prairieville, La.)之盤式離心機(例如CPS盤式離心機,型號DC24000UHR)之差式離心沉降(DCS)。
因此,表面改質之膠體二氧化矽粒子之粒徑可為約90 nm或以上,例如約95 nm或以上、約100 nm或以上、約105 nm或以上、約110 nm或以上、約115 nm或以上、約120 nm或以上、約125 nm或以上、約130 nm或以上、約135 nm或以上、約140 nm或以上、約145 nm或以上、約150 nm或以上、約155 nm或以上、約160 nm或以上、約165 nm或以上、約170 nm或以上、約175 nm或以上、約180 nm或以上、約185 nm或以上、約190 nm或以上、約195nm或以上或約200 nm或以上。或者或另外,膠體二氧化矽粒子之粒徑可為約350 nm或以下,例如約345 nm或以下、約340 nm或以下、約335 nm或以下、約330 nm或以下、約325 nm或以下、約320 nm或以下、約315 nm或以下、約310 nm或以下、約305 nm或以下、約300 nm或以下、約295 nm或以下、約290 nm或以下、約285 nm或以下、約280 nm或以下、約275 nm或以下、約270 nm或以下、約265 nm或以下、約260 nm或以下、約255 nm或以下、約250 nm或以下、約245 nm或以下、約240 nm或以下、約235 nm或以下、約230 nm或以下、約225 nm或以下、約220 nm或以下、約215 nm或以下、約210 nm或以下或約205 nm或以下。因此,膠體二氧化矽粒子可具有以上述終點中之任兩者限定之粒徑。舉例而言,膠體二氧化矽粒子可具有約90 nm至約350 nm、約95 nm至約345 nm、約90 nm至約340 nm或約85 nm至約335 nm之粒徑。
在實施例中,膠體二氧化矽粒子具有約90 nm至約180 nm之粒徑。
在另一實施例中,膠體二氧化矽粒子具有約120 nm至約180 nm之粒徑。
表面改質之膠體二氧化矽粒子在約2之pH下具有負ζ電位、更特定而言約-20 mV至約-70 mV (例如約-25 mV、約-30 mV、約-35 mV、約-40 mV、約-45 mV、約-50 mV、約-55 mV、約-60 mV或約-65 mV)之ζ電位。粒子之ζ電位係指圍繞粒子之離子的電荷與本體溶液(例如水性載劑及溶解於其中之任何其他組分)之電荷之間之差異。ζ電位通常取決於水性介質(例如水性載劑)之pH。對於既定拋光組合物,粒子之等電點定義為ζ電位為零之pH。當pH增加或減小遠離等電點時,表面電荷(且因此ζ電位)相應地增加或減小(至負性或正性ζ電位值)。此外,在本發明之上下文中,ζ電位係膠體二氧化矽粒子之表面改質程度之指標,此乃因在約1.5至約3之pH範圍內更負之ζ電位通常對應於更高之表面改質程度。
因此,上文所提及ζ電位值中之任兩者可用於界定範圍。舉例而言,表面改質之膠體二氧化矽粒子在約1.5至約3之pH範圍內可具有約-25 mV至約-65 mV、約-30 mV至約-60 mV、約-35 mV至約-55 mV或約-40 mV至約-50 mV之ζ電位。列舉另一實例,表面改質之膠體二氧化矽粒子在約2之pH之ζ電位可為約-20 mV、約-21 mV、約-22 mV、約-23 mV、約-24 mV、約-25 mV、約-26 mV、約-27 mV、約-28 mV、約-29 mV、約-30 mV、約-31 mV、約-32 mV、約-33 mV、約-34 mV、約-35 mV、約-36 mV、約-37 mV、約-38 mV、約-39 mV、約-40 mV、約-41 mV、約-42 mV、約-43 mV、約-44 mV、約-45 mV、約-46 mV、約-47 mV、約-48 mV、約-49 mV、約-50 mV、約-51 mV、約-52 mV、約-53 mV、約-54 mV、約-55 mV、約-56 mV、約-57 mV、約-58 mV、約-59 mV、約-60 mV、約-61 mV、約-62 mV、約-63 mV、約-64 mV、約-65 mV、約-66 mV、約-67 mV、約-68 mV、約-69 mV或約-70 mV。因此,表面改質之膠體二氧化矽粒子在適宜pH範圍具有適宜ζ電位。通常,拋光組合物之pH係約1.5至約3 (例如, of約1.6、約1.7、約1.8、約1.9、約2、約2.1、約2.2、約2.3、約2.4、約2.5、約2.6、約2.7、約2.8或約2.9之pH)。舉例而言,拋光組合物之pH可為約1.6至約2.9、約1.7至約2.8、約1.8至約2.7、約1.9至約2.6、約2至約2.5、約2.1至約2.4或約2.2至約2.3。
在實施例中,拋光組合物之pH係約1.8至約3。
在一些實施例中,表面改質之膠體二氧化矽粒子在約1.5至約3之pH範圍內具有約-35 mV至約-45 mV之ζ電位。
在一些實施例中,表面改質之膠體二氧化矽粒子在約2至約3之pH範圍內具有約-40 mV至約-45 mV之ζ電位。
拋光組合物之ζ電位可藉由任何適宜方式(例如)使用市售儀器(例如,DT-1202,自Dispersion Technology, Inc. (Bedford Hills, NY)購得之電聲光譜儀)來量測。為在DT-1202上量測ζ電位,較佳在相當於化學機械拋光中所用磨料濃度之固體濃度下(例如2%固體)量測溶液。
化學機械拋光組合物可包含任何適宜量之表面改質之膠體二氧化矽粒子。若組合物包含過少的表面改質之膠體二氧化矽粒子,則組合物不能展現足夠移除速率。與此相比,若拋光組合物包含過多表面改質之膠體二氧化矽粒子,則組合物可展現不期望的拋光性能,可不具有成本效率,及/或可缺乏穩定性。
表面改質之膠體二氧化矽粒子懸浮於拋光組合物之水性載劑中,使得表面改質之膠體二氧化矽粒子期望地係膠體穩定的。如本文所用,術語「膠體穩定」係指磨料粒子於水性載劑(例如水)中之懸浮且係指隨時間維持彼懸浮。在本發明之上下文中,若在將磨料粒子置於100 mL量筒內並使其無攪動靜置2小時時,量筒底部50 mL中之粒子濃度([B],以g/mL表示)與量筒頂部50 mL中之粒子濃度([T],以g/mL表示)之間之差除以磨料組合物中之粒子初始濃度([C],以g/mL表示)小於或等於0.5 (即,{[B]-[T]}/[C] ≦ 0.5),則認為磨料粒子(即,表面改質之膠體二氧化矽粒子)具有膠態穩定性。期望地,[B]-[T]/[C]之值小於或等於0.3,且較佳小於或等於0.1。
因此,表面改質之膠體二氧化矽粒子通常以約6 wt.%或以下、例如約5.5 wt.%或以下、約5 wt.%或以下、約4.5 wt.%或以下、約4 wt.%或以下、約3.5 wt.%或以下、約3 wt.%或以下或約2.5 wt.%或以下之濃度存在於拋光組合物中。或者或另外,表面改質之膠體二氧化矽粒子可以約0.1 wt.%或以上、例如約0.2 wt.%或以上、約0.3 wt.%或以上、約0.4 wt.%或以上、約0.5 wt.%或以上、約1 wt.%或以上、約1.5 wt.%或以上或約2 wt.%或以上之濃度存在於拋光組合物中。因此,表面改質之膠體二氧化矽粒子可以由上文所提及端點之任兩者界定之濃度存在於拋光組合物。舉例而言,表面改質之膠體二氧化矽粒子可以約0.1 wt.%至約6 wt.%、例如約0.2 wt.%至約5.5 wt.%、約0.3 wt.%至約5 wt.%、約0.4 wt.%至約4.5 wt.%、例如約0.5 wt.%至約4 wt.%、約1 wt.%至約3.5 wt.%、約1.5 wt. %至約3 wt.%或約2 wt.%至約2.5 wt.%之濃度存在於拋光組合物中。
在較佳實施例中,表面改質之膠體二氧化矽粒子係以約0.1 wt.%至約4.5 wt.%之濃度存在於拋光組合物中。
本發明之拋光組合物包含鐵化合物。含鐵鹽之實例闡述於美國專利第5,958,288號及第5,980,775號中,二者均以引用的方式併入本文中。適宜鐵化合物(例如,含鐵鹽)包括(例如)鐵(鐵III)或亞鐵(鐵II)化合物鹽,例如硝酸鐵、硫酸鐵、鹵化鐵(包括氟化物、氯化物、溴化物、碘化物以及過氯酸鹽、過溴酸鹽及過碘酸鹽)或有機鐵化合物,例如乙酸鐵、乙醯丙酮酸鐵、檸檬酸鐵、葡糖酸鐵、丙二酸鐵、草酸鐵、鄰苯二甲酸鐵或琥珀酸鐵。
在較佳實施例中,鐵化合物係硝酸鐵或其水合物(例如,硝酸鐵九水合物)。
該拋光組合物可含有任一適宜量之鐵化合物。如應理解,活性物質係鐵陽離子,且因此本文所闡述之量係指在溶液中提供等效量鐵離子之鐵化合物之量。若拋光組合物包含過少的鐵化合物,則組合物不能展現足夠移除速率。與此相比,若拋光組合物包含過少的鐵化合物,則組合物可展現不期望的拋光性能,可不具有成本效率,及/或可缺乏穩定性。因此,鐵化合物通常以約1 wt.%或以下、例如約0.9 wt.%或以下、約0.8 wt.%或以下、約0.7 wt.%或以下、約0.6 wt.%或以下、約0.5 wt.%或以下、約0.4 wt.%或以下、約0.3 wt.%或以下、約0.2 wt.%或以下、約0.1 wt.%或以下或約0.05 wt.%或以下之濃度存在於拋光組合物中。或者或另外,鐵化合物可以約0.001 wt.%或以上、例如約0.005 wt.%或以上或約0.01 wt.%或以上之濃度存在於拋光組合物中。因此,鐵化合物可以由以上述終點中之任兩者限定之濃度(例如以約0.001 wt.%至約1 wt.%、約0.005 wt.%至約0.9 wt.%、約0.01 wt. %至約0.8 wt.%、約0.05 wt.%至約0.7 wt.%、約0.1 wt.%至約0.6 wt.%、約0.2 wt.%至約0.5 wt.%或約0.3 wt.%至約0.4 wt.%之濃度)存在於拋光組合物中。
在較佳實施例中,鐵化合物係以約0.005 wt.%至約0.1 wt.%之濃度存在於拋光組合物中。
本發明之拋光組合物包含穩定劑。不希望受任何特定理論束縛,穩定劑有助於控制組合物中自由金屬陽離子之量,由此減弱觸媒之速率以最佳化拋光性能,如美國專利5,980,775及6,068,787中所述,二者均以引用的方式併入本文中。
在本發明之另一實施例中,鐵化合物可固定於磨料表面上。舉例而言,鐵化合物可包含鐵鹽以及附接至磨料表面之穩定劑。
在實施例中,穩定劑包含磷酸、o -磷醯基乙醇胺、膦酸、阿侖膦酸、乙酸、苯二甲酸、檸檬酸、己二酸、草酸、丙二酸、天冬胺酸、琥珀酸、戊二酸、庚二酸、辛二酸、壬二酸、癸二酸、草酸、馬來酸、戊烯二酸、黏康酸、乙二胺四乙酸、丙二胺四乙酸或其組合。
在較佳實施例中,穩定劑包含丙二酸。
拋光組合物可包含任何適宜量之穩定劑。若組合物包含過少的穩定劑,則組合物可不能展現足夠穩定性。與此相比,若拋光組合物包含過多的穩定劑,則組合物可展現不期望的拋光性能,可不具有成本效率,及/或可變得不穩定。因此,穩定劑通常以約1 wt.%或以下、例如約0.5 wt.%或以下、約0.1 wt.%或以下、約0.05 wt.%或以下或約0.01 wt.%或以下之濃度存在於拋光組合物中。或者或另外,穩定劑可以約0.0001 wt.%或以上、例如約0.0005 wt.%或以上、約0.001 wt.%或以上或約0.005 wt.%或以上之濃度存在於拋光組合物中。因此,穩定劑可以由上文所提及終點之任兩者界定之濃度存在於拋光組合物中。舉例而言,穩定劑可以約0.0001 wt.%至約1 wt.%、例如約0.0005 wt.%至約0.5 wt.%、約0.001 wt.%至約0.1 wt.%或約0.005 wt.%至約0.05 wt.%之濃度存在於拋光組合物中。
在較佳實施例中,拋光組合物包含濃度為約0.0001 wt.%至約0.1 wt.%之穩定劑。
拋光組合物包含水性載劑。水性載劑包含水(例如,去離子水),且可含有一或多種水混溶性有機溶劑。可使用之有機溶劑之實例包括醇,例如丙烯醇、異丙醇、乙醇、1-丙醇、甲醇、1-己醇及諸如此類;醛,例如乙醛及諸如此類;酮,例如丙酮、二丙酮醇、甲基乙基酮及諸如此類;酯,例如甲酸乙酯、甲酸丙酯、乙酸乙酯、乙酸甲酯、乳酸甲酯、乳酸丁酯、乳酸乙酯及諸如此類;醚,包括亞碸(例如二甲基亞碸(DMSO))、四氫呋喃、二噁烷、二甘醇二甲醚及諸如此類;醯胺,例如N,N-二甲基甲醯胺、二甲基咪唑啶酮、N-甲基吡咯啶酮及諸如此類;多羥基醇及其衍生物,例如乙二醇、甘油、二乙二醇、二乙二醇單甲基醚及諸如此類;及含氮有機化合物,例如乙腈、戊胺、異丙胺、咪唑、二甲胺及諸如此類。較佳地,水性載劑僅係水,即,不存在有機溶劑。
本發明拋光組合物期望在製備、長期儲存、運輸及使用期間係穩定的。穩定漿液係在儲存期間不會過度地分離或沉降(例如,因懸浮磨料粒子之沉降)、在儲存期間不會展現過度粒徑生長且在使用期間不會展現過度粒徑生長(此將增加所處理基板之表面上所存在缺陷(尤其劃痕)之含量)之漿液。
拋光組合物視情況進一步包含一或多種額外組分(即,添加劑)。舉例而言,端視期望拋光應用而定,本發明拋光組合物可包含一或多種添加劑以改善或增強拋光性能。添加劑期望地與拋光組合物之其他組分相容。說明性額外組分包括調理劑、防垢劑、分散劑、氧化劑、pH調節化合物(例如酸或鹼)及pH緩衝化合物。拋光組合物可包含表面活性劑及/或流變控制劑,包括黏度增強劑及促凝劑(例如,聚合流變控制劑,例如胺基甲酸酯聚合物)、分散劑、殺蟲劑(例如KATHON™ LX)及諸如此類。適宜表面活性劑包括(例如)陽離子表面活性劑、陰離子表面活性劑、陰離子聚電解質、非離子表面活性劑、兩性表面活性劑、氟化表面活性劑及其混合物。
拋光組合物可藉由任何適宜技術來製備,其中許多技術為熟習此項技術者已知。拋光組合物可以分批或連續製程來製備。通常,拋光組合物可藉由以任何順序組合本文中之組分來製備。如本文所用,術語「組分」包括個別成分(例如,表面改質之膠體二氧化矽粒子、鐵化合物、穩定劑等)以及該等成分之任何組合。
舉例而言,鐵化合物及穩定劑可以期望濃度添加至水性載劑(例如水)。然後可調整pH (若期望)且可將表面改質之膠體二氧化矽粒子以期望濃度添加至混合物以形成拋光組合物。拋光組合物可在使用前製備,其中一或多種組分在即將使用前(例如,使用前約1分鐘內、或使用前約1小時內或使用前約7天內)添加至拋光組合物中。拋光組合物亦可藉由在拋光操作期間在基板表面混合該等組分來製備。
拋光組合物亦可作為濃縮物提供,該濃縮物意欲在使用之前用適當量之水性載劑、特定地水稀釋。在此實施例中,拋光組合物濃縮物可包含表面改質之膠體二氧化矽粒子、鐵化合物、穩定劑及水性載劑,其量使得在用適當量的水稀釋濃縮物時,拋光組合物之每一組分將以在上文針對每一組分所述之適當範圍內之濃度存在於拋光組合物中。另外,如由熟習此項技術者將理解,濃縮物可含有最終拋光組合物中存在之適當分數之水,以確保其他組分至少部分或完全溶於濃縮物中。
儘管拋光組合物可在使用之前很久或甚至在使用之前不久製備,但拋光組合物亦可在或接近使用點時藉由混合拋光組合物之各組分來製備。如本文所利用,術語「使用點」係指將拋光組合物施加至基板表面(例如,拋光墊或基板表面本身)處之點。當拋光組合物係利用使用點混合產生時,拋光組合物之各組分係單獨儲存在兩個或以上儲存裝置中。
如本文所述,本發明提供化學機械拋光基板之方法,該基板包含在該基板表面上之鎢層及在該基板表面上之氧化矽層。
本發明拋光方法可用於拋光包含鎢層及氧化矽層之任何適宜基板。適宜基板包括(但不限於)平板顯示器、積體電路、記憶體或硬磁碟、金屬、半導體、層間介電層(ILD)裝置、微機電系統(MEMS)、3D NAND裝置、鐵電體及磁頭。拋光組合物特別適於平坦化或拋光已經歷淺溝槽隔離(STI)處理之基板。期望地,基板包括含有電介質(例如含有氧化矽)之表面,尤其具有包括由介電材料之溝槽區域隔開之凸起介電區域之圖案介電材料區之表面。基板可進一步包含至少一個其他層,例如絕緣層。絕緣層可為金屬氧化物、多孔金屬氧化物、玻璃、有機聚合物、氟化有機聚合物或任何其他適宜高或低-κ絕緣層。絕緣層可包含氧化矽、氮化矽或其組合,基本由其組成或由其組成。氧化矽層可包含任何適宜氧化矽,基本由其組成或由其組成,其中之許多為業內已知。舉例而言,氧化矽層可包含四乙氧基矽烷(TEOS)、高密度電漿(HDP)氧化物、硼磷矽酸鹽玻璃(BPSG)、高縱橫比製程(HARP)氧化物、旋塗式電介質(SOD)氧化物、化學氣相沈積(CVD)氧化物、電漿增強之正矽酸四乙酯(PETEOS)、熱氧化物或未摻雜之矽酸鹽玻璃。基板可進一步包含金屬層。金屬可包含任何適宜金屬、基本由其組成或由其組成,其中之許多為業內已知,例如銅、鉭、鎢、鈦、鉑、釕、銥、鋁、鎳或其組合。
在另一較佳實施例中,基板包含鎢插塞及互連結構。
期望地,拋光組合物具有適宜的「選擇性」或「可調諧性」,以端視拋光應用獲得適宜拋光性能。通常,期望相對於介電材料(例如,氧化矽)具有高鎢移除速率以獲得適宜處理生產量,同時仍展現適宜缺陷性或侵蝕性能。本發明之拋光組合物有利地展現移除速率選擇性,使得拋光組合物適於毯覆式或大量拋光應用。另外,本發明拋光組合物有利地展現極適於自經處理基板產生高品質裝置之經改善之拋光性能(例如,經改善之缺陷率、降低之侵蝕及降低之EOE)。
在本發明之一些實施例中,鎢層移除速率對氧化矽層移除速率之比率(即,W:TEOS比率)高於約20:1,例如高於約25:1、高於約30:1、高於約35:1、高於約40:1、高於約45:1、高於約50:1、高於約55:1、高於約60:1、高於約65:1、高於約70:1或高於約75:1。
本發明組合物之拋光性能可使用任何適宜基板或方法進行評估。適於評估拋光性能之一種類型的基板係包含線及空間(L&S)圖案之基板,其中表面包括線場及空間。線場或圖案化場包括金屬及氧化物之線陣列且可包括於原本連續氧化物場中之隔離金屬線。線場分佈於連續介電材料之場(空間)之中。線陣列包括金屬及氧化物線(例如,鎢及氧化矽之線),且可具有任何密度或大小,例如交替1 µm寬金屬線及1 µm寬氧化物線(即,50% 1 µm陣列),或不同大小或密度之交替線,例如1 µm寬金屬線及3 µm寬氧化物線(即,25% 1×3 µm陣列)。隔離金屬線通常與線陣列相關聯且位於距陣列一定距離之氧化物場中且通常具有與陣列中之金屬線相同之尺寸(寬度)。舉例而言,至1×1 µm線陣列之一側,可存在兩條1 µm隔離金屬線,該等金屬線位於原本連續之氧化物場中且與彼此且與陣列以> 100 µm隔開。作為比較,連續介電材料場之尺寸通常可較大且具有連續介電材料(例如氧化矽,例如TEOS)之表面。連續介電材料之實例性場(或「空間」)可為100×100 µm區域。
為評估該等L&S基板之拋光後圖案性能,例如藉由光學方法使用市售設備(F5X工具,由KLA Tencor, Milpitas, CA供應)測定連續介電場處發生之絕對氧化物損失(移除之材料)。連續介電場係作為陣列中相對圖案量測之參考。舉例而言,包含交替鎢金屬及TEOS氧化物線之線陣列可藉由輪廓測定法或原子力顯微鏡(AFM)關於連續場氧化物進行量測。侵蝕表徵為線陣列中氧化物(例如1 µm TEOS線)與連續場氧化物相比之相對高度之差異。正性侵蝕值解釋為與連續場氧化物相比氧化物線之相對凹入。金屬凹陷(dishing)通常係指線陣列中金屬線與氧化物線相比之相對高度。舉例而言,在50% 1×1 µm線陣列中,200 Å凹陷之值解釋為鎢線相對於氧化物線之200 Å凹入。在此情形中,侵蝕及凹陷相加提供自凹入(凹陷鎢)至場氧化物之總步階高度。陣列中之總氧化物或金屬損失可藉由將凹陷及侵蝕值與針對連續場測定之絕對氧化物損失值組合來測定。
與圖案相關聯之其他侵蝕現象係局部侵蝕區域,例如增加之與線陣列之邊緣相關聯之侵蝕或增加之靠近隔離線之區域中之侵蝕。舉例而言,對於氧化物場中之1 µm隔離線而言,局部侵蝕可觀察為>1 µm之線寬增加。侵蝕可依據增加之線寬或依據相對於參考場線寬增加至特定深度或該兩個性質之組合來描述。
本文所述之化學機械拋光組合物及本發明之方法特別適於與化學機械拋光設備結合使用。通常,該設備包含平臺,當使用時該平臺會運動且具有因軌道、直線或圓周運動產生之速度;拋光墊,其與平臺接觸且隨平臺運動而移動;及載體,其藉由接觸欲拋光之基板並使該基板相對於拋光墊表面移動來固持該基板。基板拋光係藉由以下來進行:放置基板與拋光墊及本發明拋光組合物接觸且然後相對於基板移動拋光墊,以磨蝕基板之至少一部分來拋光該基板。
可利用化學機械拋光組合物並使用任何適宜拋光墊(例如,拋光表面)來拋光基板。適宜拋光墊包括(例如)織物及非織物拋光墊。而且,適宜拋光墊可包含具有不同密度、硬度、厚度、可壓縮性、壓縮後反彈能力及壓縮模量之任一適宜聚合物。適宜聚合物包括(例如)聚氯乙烯、聚氟乙烯、耐綸(nylon)、氟碳化合物、聚碳酸酯、聚酯、聚丙烯酸酯、聚醚、聚乙烯、聚醯胺、聚胺基甲酸酯、聚苯乙烯、聚丙烯、其共同形成之產物及其混合物。軟質聚胺基甲酸酯拋光墊與本發明拋光方法結合特別有用。典型墊包括(但不限於) SURFIN™ 000、SURFIN™ SSW1、SPM3100 (Eminess Technologies)、POLITEX™ (Dow Chemical Company)及POLYPAS™ 27 (Fujibo)、自Cabot Microelectronics市售購得之NEXPLANAR™ E6088及EPIC™ D100墊。尤佳拋光墊係自Dow Chemical市售購得之剛性微多孔聚胺基甲酸酯墊(IC1010 ™)。
期望地,化學機械拋光設備進一步包含原位拋光終點檢測系統,其中之許多為業內所已知。業內已知藉由分析自所拋光基板表面反射之光或其他輻射來檢查並監測拋光製程之技術。該等方法闡述於(例如)美國專利5,196,353、美國專利5,433,651、美國專利5,609,511、美國專利5,643,046、美國專利5,658,183、美國專利5,730,642、美國專利5,838,447、美國專利5,872,633、美國專利5,893,796、美國專利5,949,927及美國專利5,964,643中。期望地,檢查或監測拋光製程相對於所拋光基板之進展使能夠確定拋光終點,即確定何時終止對特定基板之拋光製程。 實施例
(1) 在實施例(1)中呈現化學機械拋光基板的方法,其包含(i) 提供基板,其中該基板包含在該基板表面上之鎢層及在該基板表面上之氧化矽層;(ii) 提供拋光墊;(iii) 提供化學機械拋光組合物,其包含 (a)表面改質之膠體二氧化矽粒子,在該等粒子之表面上包含帶負電荷基團,其中該等表面改質之膠體二氧化矽粒子具有負電荷、約90 nm至約350 nm之粒徑及在約2之pH下約-20 mV至約-70 mV之ζ電位,(b) 鐵化合物,(c) 穩定劑及 (d) 水性載劑;(iv) 使該基板與該拋光墊及該化學機械拋光組合物接觸;及(v) 使該拋光墊及該化學機械拋光組合物相對於該基板移動以磨蝕該鎢層之至少一部分及該氧化矽層之至少一部分,以拋光該基板。
在實施例(2)中呈現實施例(1)之方法,其中該等表面改質之膠體二氧化矽粒子之表面上的該帶負電荷基團包含磺酸根基團、羧酸根基團、膦酸根基團或其組合。
在實施例(3)中呈現實施例(1)或(2)之方法,其中該等表面改質之膠體二氧化矽粒子具有約90 nm至約180 nm之粒徑。
在實施例(4)中呈現實施例(3)之方法,其中該等表面改質之膠體二氧化矽粒子具有約120 nm至約180 nm之粒徑。
在實施例(5)中呈現實施例(1)-(4)中任一者之方法,其中該拋光組合物之pH係約1.5至約3。
在實施例(6)中呈現實施例(5)之方法,其中該拋光組合物之pH係約2至約3。
在實施例(7)中呈現實施例(1)-(6)中任一者之方法,其中該等表面改質之膠體二氧化矽粒子係以約0.01 wt.%至約6 wt.%之濃度存在於該拋光組合物中。
在實施例(8)中呈現實施例(7)之方法,其中該等表面改質之膠體二氧化矽粒子係以約0.1 wt.%至約4.5 wt.%之濃度存在於該拋光組合物中。
在實施例(9)中呈現實施例(1)-(8)中任一者之方法,其中該鐵化合物包含硝酸鐵或其水合物。
在實施例(10)中呈現實施例(9)之方法,其中該鐵化合物係以約0.005 wt.%至約0.1 wt.%之濃度存在於該拋光組合物中。
在實施例(11)中呈現實施例(1)-(10)中任一者之方法,其中該穩定劑包含磷酸、o -磷醯基乙醇胺、阿侖膦酸、乙酸、苯二甲酸、檸檬酸、己二酸、草酸、丙二酸、天冬胺酸、琥珀酸、戊二酸、庚二酸、辛二酸、壬二酸、癸二酸、馬來酸、戊烯二酸、黏康酸、乙二胺四乙酸、丙二胺四乙酸或其組合。
在實施例(12)中呈現實施例(11)之方法,其中該穩定劑包含丙二酸。
在實施例(13)中呈現實施例(1)-(12)中任一者之方法,其中該穩定劑係以約0.01 wt.%至約1.5 wt.%之濃度存在於該拋光組合物中。
在實施例(14)中呈現實施例(1)-(13)中任一者之方法,其中該鎢層之至少一部分之該磨蝕提供鎢移除速率,其中該氧化矽層之至少一部分之該磨蝕提供氧化矽移除速率,且其中鎢移除速率與氧化矽移除速率之比率高於約20:1。 實例
以下實例進一步說明本發明,但當然不應理解為以任何方式限制本發明之範圍。
本文使用以下縮寫:MPS係指(3-巰基丙基)三甲氧基矽烷;W係指鎢;TEOS係指四乙氧基矽烷;且RR係指移除速率。 實例1
此實例證實根據本發明之實施例使用化學機械拋光組合物藉由本發明方法所提供拋光包含在基板表面上之鎢層及在基板表面上之氧化矽層之基板之有效性,該化學機械拋光組合物包含 表面改質之膠體二氧化矽粒子、鐵化合物、穩定劑及水性載劑。
使用八種不同膠體二氧化矽粒子(粒子P1-P8)中之一者製備八種不同的拋光組合物(拋光組合物1A-1H),如表1及2中所闡述。膠體二氧化矽粒子P1係比較膠體二氧化矽粒子,其具有68 nm之平均粒徑。
表面改質之膠體二氧化矽粒子(P1-P8)係如下製備:將反應器(10 L)裝填有去離子水及膠體二氧化矽分散液以提供3.5 kg 10% (w/v) SiO2 膠體分散液。向此中添加0.5 g KOH (45%)溶液以將pH調整至大約8.5,隨後在攪拌的同時添加表1中所指示之量的MPS。將反應器加熱至45℃並在此溫度下維持48小時,之後以3:1之H2 O2 :MPS莫耳比添加過氧化氫(30%)並在45℃下將溶液攪拌6小時。MPS及H2 O2 反應化學計量闡釋於表1中。 表1:表面改質之膠體二氧化矽粒子
Figure 108100297-A0304-0001
拋光組合物1A-1G係使用粒子P1-P7如下製備:向攪拌水溶液(5.2 kg)中添加丙二酸 (5.3 g)作為穩定劑、硝酸鐵九水合物(41.3 g 10%硝酸鐵九水合物溶液)作為鐵化合物、陰離子膠體二氧化矽(250 g 11%粒子溶液)及KATHON™ LX (0.3 g 10.6%溶液)作為殺蟲劑。視需要利用硝酸(70%)或氫氧化鉀(45%)將溶液之pH調整至2.3。在拋光之前,將2%過氧化氫(30%)作為氧化物添加至漿液中之每一者。
將包含鎢層及氧化矽層之基板分別用拋光組合物1A-1G拋光。基板係使用E6088拋光墊(Cabot Microelectronics, Aurora, IL)在以下拋光條件下拋光:工具= MIRRA™,SFR = 150 mL/min,壓板速度/壓頭速度 = 115/121 rpm, 內管壓力 = 159 hPa;扣環壓力 = 186 hPa;膜壓力 = 138 hPa;及離位調理器 = SAESOL™ D4 26.7 N。
毯覆式拋光性能結果(包括移除速率(RR))闡釋於表2。 表2:毯覆式膜性能:W-大量
Figure 108100297-A0304-0002
如自表2中所闡述之結果明顯看出,本發明拋光組合物展現經改善之大量拋光性能。舉例而言,拋光組合物1B-1G在較拋光組合物1A高之鎢相對於TEOS之選擇性下展現高鎢移除速率。本發明拋光組合物1E展現之鎢毯覆式移除速率係比較拋光組合物1A之鎢移除速率的近兩倍,且本發明拋光組合物1F展現之鎢相對於TEOS之選擇性為比較拋光組合物1A的大約兩倍。
將包含鎢層及氧化矽層之圖案化基板使用以下條件拋光:漿液流動速率 = 150 mL/min, 內管/扣環/膜壓力 = 3.3/2.7/2 (psi),壓板速度/壓頭速度 = 115/121,調理器= SAESOL™ D4 6 lb,離位,拋光時間 = 終點+ 20秒,4個位點之平均值。將圖案拋光至雷射終點且隨後拋光20秒之固定時間,且值代表圖案上4個位點之平均值。
圖案拋光性能結果闡述於表3中。 表3:圖案(MIT 2 k W854)膜性能:W-大量
Figure 108100297-A0304-0003
如自表3中之結果顯而易見,本發明拋光組合物對圖案化基板展現經改善之拋光性能。舉例而言,本發明拋光組合物1E對於0.18×0.18 µm線展現與比較拋光組合物1A相同之侵蝕值(在實驗誤差內)(即,5 nm對4 nm),但對於0.18 µm隔離線僅展現20%局部腐蝕(即,1 nm對5 nm),且對於1 µm隔離線僅一半之局部腐蝕(即,4.8 nm對11.1 nm)。
本文所引用之所有參考文獻(包括出版物、專利申請案及專利)均以引用方式併入本文中,其併入程度如同將每一參考文獻個別且特別指示以引用方式併入本文中且其全文列示於本文中一般。
除非本文另外指明或上下文明顯矛盾,否則在闡述本發明之上下文(尤其在下文申請專利範圍之上下文)中術語「一(a及an)」及「該」及「至少一者」及相似指示物之使用均應解釋為涵蓋單數及複數二者。除非本文另外指明或上下文明顯矛盾,否則緊接著一或多個物項之列表使用之術語「至少一者」(例如,「A及B中之至少一者」)應解釋為意指選自所列示物項之一個物項(A或B)或兩個或更多個所列示物項之任何組合(A及B)。除非另外指出,否則術語「包含」、「具有」、「包括」及「含有」應視為開放式術語(亦即,意指「包括(但不限於)」)。除非本文另外指明,否則本文中之數值範圍僅意欲作為個別提及落入此範圍內之每一單獨值之速記方法,並且每一單獨值係如同在本文個別列舉一般併入說明書中。除非本文另有說明或上下文另外明顯矛盾,否則本文所闡述之所有方法皆可以任何適宜順序實施。除非另外聲明,否則本文中所提供之任何及所有實例或實例性語言(例如,「例如」)的使用僅意欲更好地闡明本發明且並不對本發明之範圍強加限制。本說明書中之任何語言均不應解釋為指示任何未主張要素對於本發明實踐係必需的。
本文中闡述本發明之較佳實施例,包括發明人已知用於實施本發明之最佳模式。熟習此項技術者在閱讀上述說明後可瞭解彼等較佳實施例之變化形式。發明者期望熟習此項技術者能適當採用該等變化形式,且發明者期望本發明可以不同於本文特定闡述之方式實施。因此,本發明包括適用法律所允許的本文隨附申請專利範圍中所引述標的物之所有修改及等效形式。此外,除非本文另外指明或上下文另外明顯矛盾,否則在其所有可能之變化形式中,上述要素之任何組合皆涵蓋於本發明中。

Claims (13)

  1. 一種化學機械拋光基板之方法,其包含:(i)提供基板,其中該基板包含在該基板表面上之鎢層及在該基板表面上之氧化矽層;(ii)提供拋光墊;(iii)提供化學機械拋光組合物,其包含:(a)表面改質之膠體二氧化矽粒子,在該等粒子之表面上包含帶負電荷基團,該帶負電荷基團包含磺酸根基團、羧酸根基團、膦酸根基團或其組合,其中該等表面改質之膠體二氧化矽粒子具有負電荷,約90nm至約350nm之粒徑,及在約2之pH下約-20mV至約-70mV之ζ電位,(b)鐵化合物,(c)穩定劑,及(d)水性載劑;(iv)使該基板與該拋光墊及該化學機械拋光組合物接觸;及(v)使該拋光墊及該化學機械拋光組合物相對於該基板移動以磨蝕該鎢層之至少一部分及該氧化矽層之至少一部分,以拋光該基板。
  2. 如請求項1之方法,其中該等表面改質之膠體二氧化矽粒子具有約90nm至約180nm之粒徑。
  3. 如請求項2之方法,其中該等表面改質之膠體二氧化矽粒子具有約120nm至約180nm之粒徑。
  4. 如請求項1之方法,其中該拋光組合物之pH係約1.5至約3。
  5. 如請求項4之方法,其中該拋光組合物之pH係約2至約3。
  6. 如請求項1之方法,其中該等表面改質之膠體二氧化矽粒子係以約0.01wt.%至約6wt.%之濃度存在於該拋光組合物中。
  7. 如請求項6之方法,其中該等表面改質之膠體二氧化矽粒子係以約0.1wt.%至約4.5wt.%之濃度存在於該拋光組合物中。
  8. 如請求項1之方法,其中該鐵化合物包含硝酸鐵或其水合物。
  9. 如請求項1之方法,其中該鐵化合物係以約0.001wt.%至約1.0wt.%之濃度存在於該拋光組合物中。
  10. 如請求項1之方法,其中該穩定劑包含磷酸、o-磷醯基乙醇胺、阿侖膦酸、乙酸、苯二甲酸、檸檬酸、己二酸、草酸、丙二酸、天冬胺酸、琥珀酸、戊二酸、庚二酸、辛二酸、壬二酸、癸二酸、馬來酸、戊烯二酸、黏康酸、乙二胺四乙酸、丙二胺四乙酸或其組合。
  11. 如請求項10之方法,其中該穩定劑包含丙二酸。
  12. 如請求項1之方法,其中該穩定劑係以約0.01wt.%至約1.50wt.%之濃度存在於該拋光組合物中。
  13. 如請求項1之方法,其中該鎢層之至少一部分之該磨蝕提供鎢之移除速率,其中該氧化矽層之至少一部分之該磨蝕提供氧化矽之移除速率,且其中該鎢移除速率與該氧化矽移除速率之比率高於約20:1。
TW108100297A 2018-01-09 2019-01-04 可得到經改善之表面形狀的鎢大量拋光方法 TWI772590B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/866,008 US20190211228A1 (en) 2018-01-09 2018-01-09 Tungsten bulk polishing method with improved topography
US15/866,008 2018-01-09

Publications (2)

Publication Number Publication Date
TW201936881A TW201936881A (zh) 2019-09-16
TWI772590B true TWI772590B (zh) 2022-08-01

Family

ID=67139363

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108100297A TWI772590B (zh) 2018-01-09 2019-01-04 可得到經改善之表面形狀的鎢大量拋光方法

Country Status (7)

Country Link
US (1) US20190211228A1 (zh)
EP (1) EP3738140B1 (zh)
JP (1) JP7328229B2 (zh)
KR (1) KR102587746B1 (zh)
CN (1) CN111566785B (zh)
TW (1) TWI772590B (zh)
WO (1) WO2019139828A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7398550B2 (ja) * 2019-08-21 2023-12-14 インテグリス・インコーポレーテッド 高度に選択的な窒化ケイ素エッチングのための改良された配合物
JP7414437B2 (ja) * 2019-09-13 2024-01-16 株式会社フジミインコーポレーテッド 研磨用組成物、研磨用組成物の製造方法、研磨方法および半導体基板の製造方法
TWI755060B (zh) * 2019-11-15 2022-02-11 日商Jsr股份有限公司 化學機械研磨用組成物以及化學機械研磨方法
TWI743989B (zh) * 2019-11-15 2021-10-21 日商Jsr股份有限公司 化學機械研磨用組成物以及化學機械研磨方法
TW202120637A (zh) * 2019-11-15 2021-06-01 日商Jsr股份有限公司 化學機械研磨用組成物以及化學機械研磨方法
TWI747479B (zh) * 2019-11-15 2021-11-21 日商Jsr股份有限公司 化學機械研磨用組成物以及化學機械研磨方法
JP7375515B2 (ja) * 2019-12-11 2023-11-08 Jsr株式会社 化学機械研磨用組成物及び化学機械研磨方法
WO2022063742A1 (en) * 2020-09-23 2022-03-31 Merck Patent Gmbh Surface-modified silica particles and compositions comprising such particles
WO2023186762A1 (en) 2022-03-31 2023-10-05 Basf Se Compositions and methods for tungsten etching inhibition

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7513920B2 (en) * 2002-02-11 2009-04-07 Dupont Air Products Nanomaterials Llc Free radical-forming activator attached to solid and used to enhance CMP formulations
US7037350B2 (en) * 2003-07-14 2006-05-02 Da Nanomaterials L.L.C. Composition for chemical-mechanical polishing and method of using same
US20060021974A1 (en) * 2004-01-29 2006-02-02 Applied Materials, Inc. Method and composition for polishing a substrate
KR20060077353A (ko) * 2004-12-30 2006-07-05 삼성전자주식회사 슬러리 조성물, 이를 이용한 가공물의 연마방법 및 반도체장치의 콘택 형성방법
JP2006193695A (ja) * 2005-01-17 2006-07-27 Fujimi Inc 研磨用組成物
SG173361A1 (en) * 2006-07-12 2011-08-29 Cabot Microelectronics Corp Cmp method for metal-containing substrates
US8480920B2 (en) * 2009-04-02 2013-07-09 Jsr Corporation Chemical mechanical polishing aqueous dispersion, method of preparing the same, chemical mechanical polishing aqueous dispersion preparation kit, and chemical mechanical polishing method
CN102601722A (zh) * 2011-01-20 2012-07-25 中芯国际集成电路制造(上海)有限公司 一种研磨方法和研磨装置
CN102827549B (zh) * 2012-09-04 2014-05-07 上海新安纳电子科技有限公司 一种氧化硅介电材料用化学机械抛光液
JP6029916B2 (ja) * 2012-09-28 2016-11-24 株式会社フジミインコーポレーテッド 研磨用組成物
KR101409889B1 (ko) * 2013-12-27 2014-06-19 유비머트리얼즈주식회사 연마 슬러리 및 이를 이용한 기판 연마 방법
US10106704B2 (en) * 2014-03-20 2018-10-23 Fujimi Incorporated Polishing composition, polishing method, and method for producing substrate
KR20230003286A (ko) 2014-06-25 2023-01-05 씨엠씨 머티리얼즈, 인코포레이티드 텅스텐 화학적-기계적 연마 조성물
US9275899B2 (en) * 2014-06-27 2016-03-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method for polishing tungsten
JP6396741B2 (ja) 2014-09-29 2018-09-26 株式会社フジミインコーポレーテッド 研磨用組成物及びその製造方法並びに研磨方法
JP2016069535A (ja) 2014-09-30 2016-05-09 株式会社フジミインコーポレーテッド 研磨用組成物及びその製造方法並びに研磨方法
EP3209815B1 (en) * 2014-10-21 2021-12-29 CMC Materials, Inc. Corrosion inhibitors and related compositions and methods
US20170342304A1 (en) * 2015-01-19 2017-11-30 Fujimi Incorporated Polishing composition
US10570313B2 (en) 2015-02-12 2020-02-25 Versum Materials Us, Llc Dishing reducing in tungsten chemical mechanical polishing
KR101741707B1 (ko) * 2015-02-27 2017-05-30 유비머트리얼즈주식회사 연마 슬러리 및 이를 이용한 기판 연마 방법
WO2016158324A1 (ja) * 2015-03-30 2016-10-06 株式会社フジミインコーポレーテッド 研磨用組成物
US10507563B2 (en) * 2015-04-22 2019-12-17 Jsr Corporation Treatment composition for chemical mechanical polishing, chemical mechanical polishing method, and cleaning method
JP6592998B2 (ja) 2015-07-10 2019-10-23 日立化成株式会社 タングステン用研磨剤、研磨剤用貯蔵液及び研磨方法
KR101834418B1 (ko) 2015-10-02 2018-03-05 유비머트리얼즈주식회사 슬러리 및 이를 이용한 기판 연마 방법
US9771496B2 (en) 2015-10-28 2017-09-26 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant and cyclodextrin
JP2018026422A (ja) 2016-08-09 2018-02-15 日立化成株式会社 バフィング用タングステン研磨剤、研磨剤用貯蔵液及び研磨方法
US10181408B2 (en) 2017-01-31 2019-01-15 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing method for tungsten using polyglycols and polyglycol derivatives

Also Published As

Publication number Publication date
EP3738140B1 (en) 2022-07-06
KR20200098709A (ko) 2020-08-20
JP7328229B2 (ja) 2023-08-16
EP3738140A4 (en) 2021-10-13
US20190211228A1 (en) 2019-07-11
KR102587746B1 (ko) 2023-10-12
EP3738140A1 (en) 2020-11-18
CN111566785A (zh) 2020-08-21
CN111566785B (zh) 2023-10-10
JP2021509778A (ja) 2021-04-01
WO2019139828A1 (en) 2019-07-18
TW201936881A (zh) 2019-09-16

Similar Documents

Publication Publication Date Title
TWI760589B (zh) 可得到經改善之表面形狀的鎢緩衝拋光組合物
TWI772590B (zh) 可得到經改善之表面形狀的鎢大量拋光方法
US20060175295A1 (en) Abrasive partilcle for chemical mechanical polishing
KR20070105301A (ko) 메탈레이트 개질된 실리카 입자를 함유하는 수성 슬러리
CA2335035A1 (en) Chemical mechanical polishing slurry and method for using same
KR102322420B1 (ko) 저결점의 화학적 기계적 폴리싱 조성물
JP5333744B2 (ja) 化学機械研磨用水系分散体、化学機械研磨方法および化学機械研磨用水系分散体の製造方法
TWI754376B (zh) 選擇性化學機械拋光鈷、氧化鋯、多晶矽及二氧化矽膜之方法
TW201615778A (zh) 化學機械研磨用水系分散體及化學機械研磨方法
WO2006114416A1 (en) Self-stabilizing cmp composition for metal layers
US10988635B2 (en) Composition and method for copper barrier CMP
JP5413571B2 (ja) 化学機械研磨用水系分散体およびその製造方法、ならびに化学機械研磨方法
JP5333743B2 (ja) 化学機械研磨用水系分散体およびその製造方法、ならびに化学機械研磨方法
KR101279970B1 (ko) 금속 배선 연마용 cmp 슬러리 조성물
JP5333742B2 (ja) 化学機械研磨用水系分散体およびその製造方法、ならびに化学機械研磨方法
JP5413567B2 (ja) 化学機械研磨用水系分散体およびその製造方法、ならびに化学機械研磨方法