TWI769075B - 積體電路以及積體電路的形成方法 - Google Patents

積體電路以及積體電路的形成方法 Download PDF

Info

Publication number
TWI769075B
TWI769075B TW110132708A TW110132708A TWI769075B TW I769075 B TWI769075 B TW I769075B TW 110132708 A TW110132708 A TW 110132708A TW 110132708 A TW110132708 A TW 110132708A TW I769075 B TWI769075 B TW I769075B
Authority
TW
Taiwan
Prior art keywords
die
layer
interface circuit
metal rail
signal
Prior art date
Application number
TW110132708A
Other languages
English (en)
Other versions
TW202230693A (zh
Inventor
黃智強
譚競豪
劉祐豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Application granted granted Critical
Publication of TWI769075B publication Critical patent/TWI769075B/zh
Publication of TW202230693A publication Critical patent/TW202230693A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05569Disposition the external layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/171Disposition
    • H01L2224/1718Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/17181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • H01L2225/06544Design considerations for via connections, e.g. geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06565Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices having the same size and there being no auxiliary carrier between the devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本揭示文件揭露了一種積體電路,此積體電路具有多個沿著一個方向堆疊的裸晶。在一種態樣中,積體電路包含沿著一個方向堆疊的第一裸晶、第二裸晶以及第三裸晶。在一種態樣中,第一裸晶包含一個第一介面電路,用來產生信號。在一種態樣中,第二裸晶包含一個第二介面電路,用來接收來自於第一介面電路的信號,以及產生此信號的複製信號。在一種態樣中,第三裸晶包含一個第三介面電路,用來接收來自於第二介面電路的複製信號。

Description

積體電路以及積體電路的形成方法
本揭示文件是關於一種電路以及其形成方法,特別是關於一種積體電路以及積體電路的形成方法。
現今趨勢的積體電路(integrated circuit,IC)小型化促使了設備除了要體積更小、功耗更低之外,還要能以更高的速度提供更多的功能。在一種態樣中,積體電路的小型化是透過製程技術的進步而實現的。舉例而言,可以透過堆疊多個裸晶或積體電路的方式,來提高儲存或處理能力。
本揭示文件提供一種積體電路。於一些實施例中,積體電路包含一個第一裸晶以及一個第二裸晶。第二裸晶沿著一個方向設置在第一裸晶上方。第二裸晶包含一個第一層、一個第二層、一個第一金屬軌、一個第二金屬軌以及一個第一介面電路。第二層沿著此方向設置在第一層上 方。第一金屬軌沿著此方向延伸穿過第一層並電性耦接至第一裸晶。第二金屬軌沿著此方向延伸穿過第二層。第一介面電路設置於第二層,第一介面電路在第一金屬軌以及第二金屬軌之間傳遞信號,同時在第一金屬軌的電力負載以及第二金屬軌的電力負載之間電性分離。
本揭示文件提供一種積體電路。於一些實施例中,積體電路包含一個第一裸晶、一個第二裸晶、一個第三裸晶、一個第一導電凸塊以及一個第二導電凸塊。第一裸晶包含一個第一介面電路,第一介面電路產生信號。第二裸晶沿著一個方向設置在第一裸晶上方,第二裸晶包含一個第二介面電路,用以接收來自第一介面電路的信號,以及產生一個此信號的複製信號。第三裸晶沿著此方向設置在第二裸晶上方,第三裸晶包含一個第三介面電路,用以接收來自第二介面電路的複製信號以及產生複製信號的另一複製信號。第一導電凸塊設置在第一裸晶以及第二裸晶之間,第二介面電路用以經由第一導電凸塊接收信號。第二導電凸塊設置在第二裸晶以及第三裸晶之間,第三介面電路用以經由第二導電凸塊接收複製信號。
本揭示文件提供一種積體電路的形成方法。於一些實施例中,形成積體電路的方法包含形成一個第一裸晶的第一層、形成沿著一個方向延伸穿過第一層的第一金屬軌、沿著此方向形成一個第一裸晶的第二層、形成沿著此方向延伸穿過第二層的第二金屬軌、在第二層形成一個第一介面電路、沿著此方向在第一裸晶上方形成一個第二裸晶的 第三層、形成沿著此方向延伸穿過第三層的第三金屬軌、沿著此方向形成一個第二裸晶的第四層、在第四層形成一個第二介面電路,以及在第一裸晶與第二裸晶之間形成一個導電凸塊。第一介面電路用以在第一金屬軌以及第二金屬軌之間傳遞信號,同時在第一金屬軌的電力負載以及第二金屬軌的電力負載之間電性隔離。第二介面電路用以經由第三金屬軌接收來自第一介面電路的信號。導電凸塊以電性耦接在第二金屬軌以及第三金屬軌之間。
100A、100B、100C、100D、100E:積體電路
110A、110B、110C、110D、110E:裸晶
115A、115B、115C、115D、115E:背層
120A、120B、120C、120D、120E:前層
135A、135B、135C、155A、155B、155C:金屬軌
150A、150B、150C:裸晶對裸晶介面電路
165AB、165BC:導電凸塊
310:Data_in埠
320:Data_out埠
330:Is_front_to_back埠
340:Is_data_en埠
350:IO_back埠
360:IO_front埠
410A、430A、440A、460A、410B、430B、440B、 460B、410C、430C、440C、460C:緩衝電路
420A、450A、420B、450B、420C、450C:正反器
360AA、360AB、360AC、360AD、350BA、350BB、350BC、350BD、360BA、360BB、360BC、360BD、350CA、350CB、350CC、350CD:埠
500:傳遞信號的方法
510、520、530:操作
600:產生積體電路的系統
610:設備
615:處理器
620:非暫時性電腦可讀媒介
630:積體電路佈局設計
650:電路模擬器
660:模型產生器
675:佈局產生器
690:製造設施
700:電路模擬的方法
710、720、730:操作
810A、810B、810C:模擬結果
820、820A、820B、820C:時序模型
900:製造積體電路的方法
910、920、930、935、940:操作
1000:製造積體電路佈局設計的系統
1002:處理器
1004:非暫時性電腦可讀儲存媒介
1006:電腦程式碼
1008:匯流排
1010:輸入/輸出介面
1012:網路介面
1014:網路
1016:佈局設計
1018:使用者介面
1020:製造單元
1022:製造工具
1100:積體電路的製造系統
1120:設計廠
1122:積體電路設計佈局
1130:遮罩廠
1132:遮罩資料準備
1134:遮罩製造
1140:積體電路製造廠
1142:半導體晶圓
1160:積體電路設備
當結合隨附圖式閱讀時,將自下文的詳細描述最佳地理解本案的態樣。應注意,根據工業中的標準實務,並未按比例繪製各特徵。事實上,為了論述清楚,可任意增加或減小各特徵的尺寸。
第1圖根據一實施例繪示一包含多個沿著一個方向堆疊的裸晶的積體電路之圖示;第2圖根據一實施例繪示一包含多個沿著一個方向堆疊的裸晶的積體電路之圖示;第3圖根據一實施例繪示一裸晶對裸晶介面電路以及連接至裸晶對裸晶介面電路的金屬軌之圖示;第4圖根據一些實施例繪示一展示裸晶對裸晶介面電路的連接之電路圖;第5圖根據一些實施例繪示一在多個沿著一個方向堆疊的裸晶中傳遞信號的方法之流程圖; 第6圖根據一些實施例繪示一圖示,展示一設備執行多個沿著一個方向堆疊的裸晶的積體電路的電路模擬;第7圖根據一些實施例繪示一流程圖,展示一執行多個沿著一個方向堆疊的裸晶的積體電路的電路模擬的方法;第8A圖根據一些實施例繪示一圖示,展示一裸晶在不同製程極限下的多個模擬結果;第8B圖根據一些實施例繪示一圖示,展示一包含一裸晶的多個模擬結果的時序模型;第8C圖根據一些實施例繪示一圖示,展示多個串接排列的時序模型;第9圖根據一些實施例繪示一製造一積體電路的方法之流程圖;第10圖根據一些實施例繪示一產生一積體電路佈局設計的系統之方塊圖;第11圖根據本揭示文件的至少一實施例繪示一積體電路製造系統以及積體電路製造流程之方塊圖。
以下揭示內容提供許多不同實施例或實例,以便實施所提供的標的之不同特徵。下文描述部件及佈置之特定實例以簡化本案。當然,這些僅為實例且不欲為限制性。舉例而言,在下文的描述中,第一特徵形成於第二特徵上方或第二特徵上可包括以直接接觸形成第一特徵與第二特 徵的實施例,且亦可包括可在第一特徵與第二特徵之間形成額外特徵以使得第一特徵與第二特徵可不處於直接接觸的實施例。另外,本案可在各實例中重複元件符號及/或字母。此重複係出於簡化與清楚目的,且本身並不指示所論述的各實施例及/或配置之間的關係。
此外,為了便於描述,本文可使用空間相對性術語(諸如「之下」、「下方」、「下部」、「上方」、「上部」及類似者)來描述諸圖中所圖示一個元件或特徵與另一元件(或多個元件)或特徵(或多個特徵)的關係。除了諸圖所描繪的定向外,空間相對性術語意欲包含使用或操作中元件的不同定向。設備可經其他方式定向(旋轉90度或處於其他定向上)且因此可類似解讀本文所使用的空間相對性描述詞。
本揭示文件的積體電路可以達成在速度上的改善。透過使用介面電路產生複製信號,並在傳輸複製信號到後續的裸晶的同時,電性隔離或分離不同裸晶中金屬軌的電力負載,可以減少多個裸晶之間的金屬軌的電容負載。透過減少電容負載,積體電路中不同裸晶之間的通訊速度可以有所提升。
在一些實施例中,揭露了一種模擬一個具有多個沿著一個方向堆疊的裸晶的積體電路的方法。在一些實施例中,此方法包含使用處理器,在積體電路的裸晶的製程極限(process corner)內執行第一模擬。在一些實施例中,此方法包含使用處理器,以第一模擬為基準產生一個 裸晶的時序模型。時序模型可以是不同製程極限條件下的模擬結果的集合。在一些實施例中,此方法包含使用處理器,對積體電路中多個沿著一個方向堆疊的裸晶執行第二模擬。多個裸晶中的每一個裸晶都可以以時序模型表示,以執行第二模擬。
就好處而言,本揭示文件的方法可以以有效率的方式,對一個具有多個沿著一個方向堆疊的裸晶的積體電路,執行電路模擬。在一種態樣中,積體電路中多個裸晶的金屬軌的電力負載(例如電容負載、電阻負載等),透過多個裸晶的介面電路彼此電性隔離或分離,使得每個裸晶可以獨立建模。因此,可以針對各種製程極限執行單個裸晶的電路模擬,以生成時序模型,且此時序模型可以利用於對多個沿著一個方向堆疊的裸晶執行電路模擬。不使用針對各種製程極限執行的全面性多裸晶電路模擬,而是透過以單個裸晶的時序模型為基準,對多個裸晶執行電路模擬,可以節省用於執行電路模擬的計算資源(例如記憶體空間以及處理能力)。
第1圖根據一實施例繪示一包含多個沿著一個方向(例如Y方向)堆疊的裸晶110A...110E的積體電路100A之圖示。在一些實施例中,積體電路100A包含導電凸塊165。每個導電凸塊165可以包含導電材料(例如金屬)。每個導電凸塊165可以電性耦接在兩個對應的裸晶110之間。透過導電凸塊165,不同的裸晶110A...110E可以相互通信。在一些實施例中,積體電路 100A包含比第1圖所示更多、更少或不同的元件。舉例而言,積體電路100A包含與第1圖所示不同數量的沿著一個方向(例如Y方向)堆疊的裸晶110。
在一些實施例中,每個裸晶110包含至少兩個層115以及120。層115(在此也稱為背層115)可以是一個半導體層,其中可以形成一個或多個電晶體。舉例而言,在半導體層115中,可以形成金屬氧化物半導體場效電晶體(metal oxide semiconductor field effect transistor,MOSFET)、閘極全環場效電晶體(gate all around field effect transistor,GAAFET)、鰭式場效應電晶體(fin field effect transistor,FinFET)或是它們的任意組合。層120(在此也稱為前層120)可以是一個絕緣層,以保護半導體層115中的一個或多個電晶體。在一些實施例中,層115沿著一個方向(例如Y方向)設置或堆疊在層120上方。在一些實施例中,層120沿著一個方向(例如Y方向)設置或堆疊在層115上方。
在一些實施例中,每個裸晶110包含金屬軌135、金屬軌155以及一個裸晶對裸晶介面電路150。金屬軌135、金屬軌155可以沿著Y方向延伸。金屬軌135可以延伸穿越層115並電性耦接在導電凸塊165以及裸晶對裸晶介面電路150之間。金屬軌155可以延伸穿越層120並電性耦接在隨後的導電凸塊165以及裸晶對裸晶介面電路150之間。裸晶對裸晶介面電路150(以下稱為介面電 路150)可以形成或設置在層115中。在一種態樣中,介面電路150是一種在裸晶110的一個或多個電路之間形成介面的電路,或是一種在不同裸晶中的其他電路之間形成介面的電路。舉例而言,介面電路150可以透過金屬軌135、金屬軌155或是它們的組合,來接收一個或多個信號,並儲存接收到的一個或多個信號。根據儲存的一個或多個信號,裸晶110中的一個或多個電路可以執行各種計算。舉例而言,介面電路150可以產生一個或多個信號,並透過金屬軌135、金屬軌155或它們的組合來傳輸一個或多個信號。
在此配置中,介面電路150可以透過不同裸晶110的金屬軌135、金屬軌155,以改進過的操作速度來傳遞信號。在一種態樣中,介面電路150可以透過金屬軌135、金屬軌155中的其中一個金屬軌接收信號,並且透過其中另一個金屬軌發送此信號的複製信號,同時,介面電路150在金屬軌135、金屬軌155的電力負載(例如電容負載、電阻負載等)之間電性隔離。藉由在金屬軌135、金屬軌155的電力負載之間電性隔離,多個裸晶110的金屬軌135、金屬軌155可以減少電容負載。藉由電容負載的減少,信號可以透過沿著特定方向(例如Y方向)堆疊的不同裸晶110,以改進過的速度交換或傳遞。
第2圖根據一實施例繪示一包含多個沿著一個方向(例如Y方向)堆疊的裸晶110A...110E的積體電路100B之圖示。第2圖的積體電路100B相似於第1圖的 積體電路100A,除了裸晶110D以及裸晶110E的層120沿著一個方向(例如Y方向)設置或堆疊在層115上方。因此,裸晶110C的層120與裸晶110D的層120可以面對其他。切換層120、層115的順序可以使得在堆疊不同裸晶110時有更高的靈活度。
第3圖根據一實施例繪示一裸晶對裸晶介面電路150以及連接至裸晶對裸晶介面電路150的金屬軌135、金屬軌155之圖示。在一些實施例中,介面電路150包含IO_back埠350、IO_front埠360、Data_in埠310、Data_out埠320、Is_front_to_back埠330以及Is_data_en埠340。在一種態樣中,介面電路150可以經由Is_front_to_back埠330以及Is_data_en埠340接收控制信號,並經由IO_back埠350、IO_front埠360、Data_in埠310以及Data_out埠320傳遞或接收一個或多個信號。在一些實施例中,介面電路150可以被不同的元件或是能夠執行本揭示文件描述的介面電路150的功能的電路所替代。
在一些實施例中,介面電路150包含IO_back埠350以及IO_front埠360,電性耦接到不同的裸晶110。在一種配置中,IO_back埠350連接到金屬軌135。介面電路150可以經由金屬軌135,在IO_back埠350接收或傳遞一個來自於不同的裸晶110的另一個介面電路150的信號。在一種配置中,IO_front埠360連接到金屬軌155。介面電路150可以經由金屬軌155,在 IO_front埠360接收或傳遞一個來自於不同的裸晶110的另一個介面電路150的信號。
在一些實施例中,介面電路150包含Data_in埠310以及Data_out埠320,電性耦接到同一個裸晶110中的一個或多個電路。介面電路150可以經由在裸晶110內延伸(例如沿著X方向延伸)的金屬軌連接到Data_in埠310以及Data_out埠320處的一個或多個電路。在Data_in埠310處,介面電路150可以接收到來自相同裸晶110中的一個或多個電路的一個信號。在Data_out埠320處,介面電路150可以傳遞一個信號到相同裸晶110中的一個或多個電路。
在一些實施例中,介面電路150包含Is_front_to_back埠330以及Is_data_en埠340,電性連接至一個控制器(未示出)。控制器可以設置在與介面電路150相同的裸晶110上,或是可以設置在不同的裸晶110上。介面電路150可以經由一個或多個金屬軌連接到控制器。在Is_front_to_back埠330以及Is_data_en埠340處,介面電路150可以接收來自控制器的控制信號,以及根據控制信號,在IO_back埠350、IO_front埠360、Data_in埠310及Data_out埠320處接收或是輸出信號。舉例而言,為了響應位於Is_data_en埠340處的低狀態“0”控制信號以及位於Is_front_to_back埠330處的高狀態“1”控制信號,介面電路150可以經由金屬軌155,在IO_front埠360 處接收信號(例如資料信號或時脈信號),並在IO_back埠350以及Data_out埠320處產生接收到的信號的複製信號。舉例而言,為了響應位於Is_data_en埠340處的低狀態“0”控制信號以及位於Is_front_to_back埠330處的低狀態“0”控制信號,介面電路150可以經由金屬軌135,在IO_back埠350處接收信號(例如資料信號或時脈信號),並在IO_front埠360以及Data_out埠320處產生接收到的信號的複製信號。舉例而言,為了響應位於Is_data_en埠340處的高狀態“1”控制信號,介面電路150可以經由相同裸晶110中的一個或多個電路,在Data_in埠310處接收信號(例如資料信號或時脈信號),並在IO_back埠350以及IO_front埠360處產生接收到的信號的複製信號。
第4圖根據一些實施例繪示一展示裸晶對裸晶介面電路150A、150B、150C的連接之電路圖。在一些實施例中,介面電路150A對應於裸晶110A的介面電路150,介面電路150B對應於裸晶110B的介面電路150,介面電路150C對應於裸晶110C的介面電路150。在一種配置中,介面電路150A包含埠360AA...360AD,經由多個沿著Y方向延伸的金屬軌(例如金屬軌135、金屬軌155),分別連接至介面電路150B的埠350BA...350BD。在一種配置中,介面電路150B包含埠360BA...360BD,經由多個沿著Y方向延伸的金屬軌(例如金屬軌135、金屬軌155),分別連接至介面電路 150C的埠350CA...350CD。在此配置條件下,介面電路150A、150B、150C可以以同步的方式交換資料。
在一種配置中,介面電路150B可以傳遞或是提供一個資料信號或是一個時脈信號至介面電路150A以及介面電路150C。在一種實施方式中,介面電路150B包含緩衝電路410B、緩衝電路430B以及正反器420B。緩衝電路430B可以接收來至裸晶110B中的電路的一個時脈信號,並在埠350BB以及埠360BB產生接收到的時脈信號的複製時脈信號。緩衝電路430B也可以將一個時脈信號的複製時脈信號傳遞或是輸出至正反器420B的時脈埠。正反器420B可以在“D”輸入埠接收到一個資料信號(例如從裸晶110B中的電路),並與時脈埠的複製時脈信號同步,在“Q”輸出埠輸出資料信號。舉例而言,響應於時脈埠的複製時脈信號的上升邊緣,正反器420B可以在“Q”輸出埠輸出從“D”輸入埠接收到的資料信號。緩衝電路410B可以從正反器420B的“Q”輸出埠接收到資料信號,並在埠350BA、360BA產生資料信號的複製資料信號。
在一種配置中,介面電路150A可以從介面電路150B接收到一個資料信號與一個時脈信號。在一種實施方式中,介面電路150A包含緩衝電路410A、緩衝電路430A以及正反器420A。緩衝電路410A可以經由埠360AA,從緩衝電路410B接收到複製資料信號,並產生此複製資料信號的另一複製資料信號。緩衝電路410A可 以將此另一複製資料信號傳遞或是輸出至正反器420A的“D”輸入埠。同時,緩衝電路430A可以經由埠360AB,從緩衝電路430B接收到複製時脈信號,並產生此複製時脈信號的另一複製時脈信號。緩衝電路430A可以將此另一複製時脈信號傳遞或是輸出至正反器420A的時脈埠。正反器420A可以在“D”輸入埠接收到另一複製資料信號,並與時脈埠的另一複製時脈信號同步,在“Q”輸出埠輸出或儲存另一複製資料信號。舉例而言,響應於時脈埠的另一複製時脈信號的上升邊緣,正反器420A可以在“Q”輸出埠輸出或儲存從“D”輸入埠接收到的另一複製資料信號。
在一種配置中,介面電路150C可以在埠350CA以及埠350CB處,從介面電路150B接收到一個資料信號與一個時脈信號。在一種實施方式中,介面電路150C包含緩衝電路410C、緩衝電路430C以及正反器420C。緩衝電路410C、緩衝電路430C以及正反器420C可以以類似於介面電路150A的緩衝電路410A、緩衝電路430A以及正反器420A的方式操作。因此,為簡潔起見,此處省略對其重複部分的詳細描述。
在一種配置中,介面電路150A可以傳遞或提供一個資料信號與一個時脈信號至介面電路150B。在一種實施方式中,介面電路150A包含緩衝電路440A、緩衝電路460A以及正反器450A。緩衝電路440A可以接收來至緩衝電路430A的一個時脈信號,並在埠360AC產 生接收到的時脈信號的複製時脈信號。緩衝電路440A也可以將一個複製時脈信號傳遞或是輸出至正反器450A的時脈埠。正反器450A可以在“D”輸入埠接收到一個資料信號(例如從裸晶110A中的電路),並與時脈埠的複製時脈信號同步,在“Q”輸出埠輸出資料信號。舉例而言,響應於時脈埠的複製時脈信號的上升邊緣,正反器450A可以在“Q”輸出埠輸出從“D”輸入埠接收到的資料信號。緩衝電路460A可以從正反器450A的“Q”輸出埠接收到資料信號,並在埠360AD產生資料信號的複製資料信號。
在一種配置中,介面電路150B可以從介面電路150A接收複製資料信號以及複製時脈信號。在一種實施方式中,介面電路150B包含緩衝電路440B、緩衝電路460B以及正反器450B。緩衝電路460B可以經由埠350BD,從緩衝電路460A接收到複製資料信號,並產生此複製資料信號的另一複製資料信號。緩衝電路460B可以將此另一複製資料信號傳遞或是輸出至正反器450B的“D”輸入埠。同時,緩衝電路440B可以經由埠350BC,從緩衝電路440A接收到複製時脈信號,並產生此複製時脈信號的另一複製時脈信號。緩衝電路440B也可以將此另一複製時脈信號傳遞或是輸出至正反器450B的時脈埠。正反器450B可以在“D”輸入埠接收到另一複製資料信號,並與時脈埠的另一複製時脈信號同步,在“Q”輸出埠輸出或儲存另一複製資料信號。舉例而言,響應於時脈埠 的另一複製時脈信號的上升邊緣,正反器450B可以在“Q”輸出埠儲存或輸出從“D”輸入埠接收到的另一複製資料信號。緩衝電路460B也可以產生一個複製資料信號的額外複製資料信號,並在埠360BD處將此額外複製資料信號傳遞或輸出。類似地,緩衝電路440B可以產生一個複製時脈信號的額外複製時脈信號,並在埠360BC處將此額外複製時脈信號傳遞或輸出。
在一種配置中,介面電路150C可以在埠350CC以及埠350CD處,從介面電路150B接收到一個資料信號與一個時脈信號。在一種實施方式中,介面電路150C包含緩衝電路440C、緩衝電路460C以及正反器450C。緩衝電路440C、緩衝電路460C以及正反器450C可以以類似於介面電路150B的緩衝電路440B、緩衝電路460B以及正反器450B的方式操作。因此,為簡潔起見,此處省略對其重複部分的詳細描述。
介面電路150A、150B、150C可以以同步的方式與彼此溝通。如前文所述,時脈信號可以透過緩衝電路430A、430B、430C以及440A、440B、440C在不同的介面電路150A、150B、150C之間共享或傳遞。此外,與時脈信號同步的資料信號可由緩衝電路410B傳送,並由緩衝電路410A、410C接收。此外,與時脈信號同步的資料信號可由緩衝電路460A傳送,並由緩衝電路460B、460C接收。因此,介面電路150A、150B、150C可以彼此共享同步資料。
第5圖根據一些實施例,繪示一在多個沿著一個方向堆疊的裸晶中傳遞信號的方法500之流程圖。在一些實施例中,方法500由裸晶110B中的介面電路150B所執行。在一些實施例中,方法500由其他實體執行。在一些實施例中,方法500包含比第5圖所示更多、更少或不同的操作。
在操作510中,介面電路150B從前一裸晶110A的介面電路150A接收信號。此信號可以是一個資料信號或是一個時脈信號。介面電路150B可以透過垂直金屬軌(例如沿著Y方向延伸的金屬軌)接收信號。垂直金屬軌可以是金屬軌135。
在操作520中,介面電路150B產生一個接收到的信號的複製信號。此複製信號可以包含與接收到的信號相同的資訊,但可能與接收到的信號有一定程度的延遲。舉例而言,複製信號的電壓或邏輯狀態可以與接收到的信號的電壓或邏輯狀態相同。
在操作530中,介面電路150B將複製信號傳遞到後續裸晶110C的介面電路150C。介面電路150B可以透過另一個垂直金屬軌(例如沿著Y方向延伸的金屬軌)傳遞信號。垂直金屬軌可以是金屬軌155。
不同裸晶110中的介面電路150可以以時間效率高的方式傳遞或交換信號。在一種態樣中,裸晶110的介面電路150可以在裸晶110的不同金屬軌135、155的電力負載之間電性隔離。因此,介面電路150B可以驅動 的是金屬軌135以及金屬軌155,而不是不同裸晶110中,其餘大量沿著Y方向堆疊的金屬軌。因此,介面電路150的電容負載可以減少,以達到提高速度的目的。
第6圖根據一些實施例,展示用於產生積體電路的系統600的圖示。在一些實施例中,系統600包含一個設備610,經由例如一個網路連結,設備610提供一個積體電路佈局設計630(以下稱為佈局設計630)給一個製造設施690。設備610可以是一個由使用者(或是一個電路設計者)操作的運算設備。佈局設計630可以指示對應於IC的各種結構的一組多邊形的位置和大小。佈局設計630可以是圖形資料庫系統(graphic database system,GDSII)文件格式。製造設施690可以接收佈局設計630並依照佈局設計630製造多個積體電路。
在一些實施例中,設備610包含一個或多個處理器615以及儲存指令的非暫時性電腦可讀媒介620,當一個或多個處理器615執行了儲存的指令,一個或多個處理器615會執行用於產生佈局設計630的各種流程或操作。在一些實施例中,非暫時性電腦可讀媒介620儲存了應用軟體,包含電路模擬器650、模型產生器660以及佈局產生器675。這些應用可以幫助設備610的使用者產生佈局設計630。在一些實施例中,非暫時性電腦可讀媒介620儲存比第6圖中所示的更多、更少或不同的應用。
在一些實施例中,模擬器650是用於模擬或預測電路設計的性能的應用軟體。模擬器650可以針對各種應 用的條件來模擬電路設計的性能。舉例來說,模擬器650可以在各種製程極限下執行裸晶的暫態模擬。製程極限的示例包含慢角、最壞角、快角、最佳角等。在一種態樣中,根據製程變異,位於同一裸晶不同角落的電路可能具有不同的特性。透過執行不同製程極限下的模擬,可以測試電路的可靠性以提高產量。模擬器650可以對邏輯閘層次設計、邏輯層次設計或它們的組合執行模擬。使用者可以根據模擬結果,調整或修改積體電路的邏輯閘層次設計或邏輯層次設計。
在一些實施例中,模型產生器660是一個用於產生積體電路的裸晶的時序模型的應用軟體。在一種方法中,模型產生器660可以在各種製程極限下,組合裸晶的模擬結果。裸晶的時序模型使得模擬器650可以以如第7圖至第8A-8C圖所述的有效率的方式,執行沿著一個方向(例如Y方向)堆疊的多個裸晶的模擬。
在一些實施例中,佈局產生器675是用於產生佈局設計630的應用軟體。在一種態樣中,佈局產生器675提供圖形使用者介面,允許使用者繪製或定義對應於各種佈局元件的多邊形的位置以及尺寸。在一種態樣中,佈局產生器675可以以邏輯閘層次設計或邏輯層次設計為基準,自動產生佈局設計630。佈局產生器675可以以圖形資料庫系統(GDSII)文件格式產生佈局設計630。
第7圖根據一些實施例繪示流程圖,展示一種執行多個沿著一個方向堆疊的裸晶110的積體電路(例如積 體電路100)的電路模擬的方法700。方法700可以由第6圖的設備610來執行。在一些實施例中,方法700由其他實體執行。在一些實施例中,方法700包含比第7圖中所示更多、更少或不同的操作。
在操作710中,設備610在不同的製程極限下,對單個裸晶執行模擬。舉例而言,模擬器650可以在不同的操作條件(或不同的製程極限)下,對從金屬軌135的一端傳遞到金屬軌155的另一端的信號執行暫態模擬。
在操作720中,設備610根據來自於操作710的模擬結果,為單個裸晶產生時序模型。在一種態樣中,時序模型可以代表在不同操作條件(或不同製程極限)下,從金屬軌135的一端傳遞到金屬軌155的另一端的預測性能信號。舉例而言,模型產生器660可以組合在不同操作條件(或不同製程極限)下執行的不同模擬結果以產生時序模型。
在操作730中,設備610根據時序模型,對沿著一個方向(例如Y方向)堆疊的多個裸晶執行模擬。舉例而言,模型產生器660可以產生單個裸晶的時序模型的複製時序模型。複製時序模型可能是串接的。每個複製時序模型可以代表相對應的裸晶110。模擬器650可以根據串接的時序模型的複製時序模型來執行積體電路100的模擬。舉例而言,模擬器650可以以時序模型的複製時序模型為基準,執行一個信號的時序分析,此信號經由介面電路150以及多個裸晶110的金屬軌135、金屬軌155,從第一裸 晶(例如裸晶110A)傳遞到最後裸晶(例如裸晶110C)。根據在操作730中所執行的模擬,可以修改電路設計,也可以產生佈局設計630,佈局設計630描述或指示電路設計的各種元件的位置以及形狀。
就好處而言,設備610可以以有效率的方式執行積體電路的模擬,此積體電路包含沿著一個方向(例如Y方向)堆疊的多個裸晶110。在一種態樣中,多個裸晶110的金屬軌135、金屬軌155的電力負載透過多個裸晶110的介面電路150,彼此電性隔離或分離,使得每個裸晶110可以獨立建模。因此,可以針對各種製程極限下,進行單個裸晶110的電路模擬,以產生時序模型,且時序模型可以應用於執行沿著一個方向(例如Y方向)堆疊的多個裸晶110的電路模擬。不執行在各種製程極限下多個裸晶110的全面性的電路模擬,而是以單個裸晶110的時序模型為基準,執行多個裸晶110的電路模擬,可以節省用於執行電路模擬的運算資源(例如記憶體空間以及處理能力)。
第8A圖根據一些實施例繪示一圖示,展示一裸晶110在不同製程極限下的多個模擬結果810A、810B、810C。在一個示例中,模擬器650可以針對從金屬軌135的末端傳遞到金屬軌155的末端,在各種製程極限的信號來執行暫態模擬。舉例而言,模擬結果810A是在一個單元(或電晶體)的慢角以及一個導線寄生電容的最壞角下,進行暫態模擬的結果。舉例而言,模擬結果810B是在一 個單元(或電晶體)的慢角以及一個導線寄生電阻的最佳角下,進行暫態模擬的結果。舉例而言,模擬結果810C是在一個單元(或電晶體)的快角以及一個導線寄生電容的最佳角下,進行暫態模擬的結果。
第8B圖根據一些實施例繪示一圖示,展示一包含一裸晶的多個模擬結果的時序模型820。在一個示例中,模型產生器660可以組合模擬結果810A、810B、810C以產生時序模型820。
第8C圖根據一些實施例繪示一圖示,展示多個沿著同一方向(例如Y方向)堆疊串接排列的裸晶110A、110B、110C的時序模型820A、820B、820C。模型產生器660可以產生單個裸晶110的時序模型810的複製時序模型820A、820B、820C。時序模型810的複製時序模型820A、820B、820C為串接的狀態。每個時序模型820A、820B、820C可以代表一個相對應的裸晶110。模擬器650可以根據時序模型810的複製時序模型820A、820B、820C,依序執行積體電路100的模擬。舉例來說,模擬器650可以以時序模型810的複製時序模型820A、820B、820C為基準,對信號進行時序分析,此信號經由介面電路150以及多個裸晶110的金屬軌135、155,從第一裸晶(例如裸晶110A)傳遞到最後裸晶(例如管芯110C)。
在一種態樣中,多個裸晶110的金屬軌135、金屬軌155的電力負載透過多個裸晶110的介面電路150, 彼此電性隔離或分離,使得每個裸晶110可以獨立建模。因此,可以針對各種製程極限下,進行單個裸晶110的電路模擬,以產生時序模型810,且進一步可以產生時序模型810的複製時序模型820A、820B、820C,以執行沿著一個方向(例如Y方向)堆疊的多個裸晶110的電路模擬。不執行在各種製程極限下多個裸晶110的全面性的電路模擬,而是以時序模型810的複製時序模型820A、820B、820C為基準,執行多個裸晶110的電路模擬,可以節省用於執行電路模擬的運算資源(例如記憶體空間以及處理能力)。
第9圖根據一些實施例,繪示一製造一積體電路的方法900之流程圖。應理解,可以在第9圖中繪示的方法900之前、期間及/或之後執行額外的操作。在一些實施例中,根據本揭示文件公開的各種佈局設計,方法900可以用來形成積體電路。
在方法900的操作910中,產生積體電路的佈局設計。操作910由一個處理設備(例如第6圖的處理器615或第10圖的處理器1002)來執行,此處理設備用於執行產生佈局設計的指令。在一種方法中,佈局設計是透過使用者介面,放置一個或多個標準單元的佈局設計的方式來產生。在一種方法中,佈局設計由執行合成工具的處理器來自動產生,此合成工具將邏輯設計(例如Verilog)轉換為相對應的佈局設計。在一些實施例中,佈局設計以圖形資料庫系統(GDSII)文件格式呈現。
在方法900的操作920中,基於佈局設計製造積體電路。在一些實施例中,方法900的操作920包含以佈局設計為基準,製造一個或多個遮罩,以及以一個或多個遮罩為基準,製造積體電路。在一種方法中,操作920包含操作930、935、940。
在一種方法中,方法900的操作930包含形成一個包含一個第一層(例如前層120C)以及一個第二層(例如背層115C)的第一裸晶(例如裸晶110C)。第一層可以是絕緣層,第二層可以是半導體層。第二層可以形成或設置在一個方向(例如Y方向)上。在一些實施例中,操作930包含形成穿越第一層以及第二層的金屬軌。在一種方法中,第一金屬軌(例如金屬軌155C)沿著一個方向(例如Y方向)延伸並穿過第一層,而第二金屬軌(例如金屬軌135C)沿著一個方向(例如Y方向)延伸並穿過第二層。在一些實施例中,操作930包含在第二層中形成一個第一介面電路(例如介面電路150C)。第一介面電路可以用於在第一金屬軌以及第二金屬軌之間傳遞信號,且同時在第一金屬軌的電力負載以及第二金屬軌的電力負載之間電性分離。
在一種方法中,方法900的操作935包含形成一個包含一個第三層(例如前層120B)以及一個第四層(例如背層115B)的第二裸晶(例如裸晶110B)。第三層可以是絕緣層,第四層可以是半導體層。第四層可以形成或設置在一個方向(例如Y方向)上。在一些實施例中,操 作935包含形成穿越第三層以及第四層的金屬軌。在一種方法中,第三金屬軌(例如金屬軌155B)沿著一個方向(例如Y方向)延伸並穿過第三層,而第四金屬軌(例如金屬軌135B)沿著一個方向(例如Y方向)延伸並穿過第四層。在一種方法中,可以在第一裸晶以及第二裸晶之間形成一個導電凸塊(例如導電凸塊165BC),以便在第二金屬軌以及第三金屬軌之間電性耦合。在一些實施例中,操作935包含在第四層中形成一個第二介面電路(例如介面電路150B)。第二介面電路可以用於在接收來自於第一介面電路的信號,並在第三金屬軌以及第四金屬軌之間傳遞信號,且同時在第三金屬軌的電力負載以及第四金屬軌的電力負載之間電性分離。
在一種方法中,方法900的操作940包含形成一個包含一個第五層(例如前層120A)以及一個第六層(例如背層115A)的第三裸晶(例如裸晶110A)。第五層可以是絕緣層,第六層可以是半導體層。第六層可以形成或設置在一個方向(例如Y方向)上。在一些實施例中,操作940包含形成穿越第五層以及第六層的金屬軌。在一種方法中,第五金屬軌(例如金屬軌155A)沿著一個方向(例如Y方向)延伸並穿過第五層,而第六金屬軌(例如金屬軌135A)沿著一個方向(例如Y方向)延伸並穿過第六層。在一種方法中,可以在第二裸晶以及第三裸晶之間形成一個導電凸塊(例如導電凸塊165AB),以便在第四金屬軌以及第五金屬軌之間電性耦合。在一些實施例 中,操作940包含在第六層中形成一個第三介面電路(例如介面電路150A)。第三介面電路可以用於在接收來自於第二介面電路的信號,並在第五金屬軌以及第六金屬軌之間傳遞信號,且同時在第五金屬軌的電力負載以及第六金屬軌的電力負載之間電性分離。
就好處而言,根據方法900形成的積體電路可以達到提高速度的目的。透過使用介面電路來產生複製信號以傳遞到後續裸晶,並同時將不同裸晶中的金屬軌的電力負載電性隔離或分離,可以減少金屬軌通過多個裸晶的電容負載。透過減少電容負載,可以提高積體電路中不同裸晶之間的通訊速度。
第10圖根據一些實施例,繪示設計並製造一積體電路佈局設計的系統1000之方塊圖。在一些實施例中,系統1000產生或佈置本揭示文件描述的一種或多種積體電路佈局設計。在一些實施例中,系統1000以本揭示文件描述的一種或多種積體電路佈局設計為基準,製造一種或多種積體電路。系統1000包含硬體處理器1002以及非暫時性電腦可讀儲存媒介1004,電腦可讀儲存媒介1004以例如儲存電腦程式碼1006(例如一組可執行指令)的方式編碼。電腦可讀儲存媒介1004使用在與用於產生積體電路的製造機器介接。處理器1002透過一個匯流排1008電性耦合到電腦可讀儲存媒介1004。處理器1002也透過匯流排1008電性耦合到輸入/輸出介面1010。網路介面1012也透過匯流排1008電性連接到處理器1002。 網路介面1012連接到網路1014,因此處理器1002以及電腦可讀儲存媒介1004能夠透過網路1014連接到外部元件。處理器1002用於執行在電腦可讀儲存媒介1004中寫入的電腦程式碼1006,以便使系統1000可以用於執行如方法1000中所述的部分或全部的操作。
在一些實施例中,處理器1002是一個中央處理單元(central processing unit,CPU)、一個多元處理器、一個分散式處理系統、一個特殊應用積體電路(application specific integrated circuit,ASIC)及/或適合的處理單元。
在一些實施例中,電腦可讀儲存媒介1004是電、磁、光、電磁、紅外線及/或半導體系統(或裝置或設備)。舉例而言,電腦可讀儲存媒介1004包含半導體或固態記憶體、磁帶、磁碟片、隨機存取記憶體(RAM)、唯讀記憶體(ROM)、硬碟及/或光碟片。在一些使用光碟片的實施例中,電腦可讀儲存媒介1004包含唯讀光碟片(CD-ROM)、可讀寫光碟片(CD-R/W)及/或數位多功能光碟片(DVD)。
在一些實施例中,儲存媒介1004儲存了用於讓系統1000執行方法900的電腦程式碼1006。在一些實施例中,儲存媒介1004也儲存了執行方法900所需的資訊以及在執行方法900期間產生的資訊,例如佈局設計1016、使用者介面1018、製造單元1020及/或一組可執行方法900中的操作的指令。
在一些實施例中,儲存媒介1004儲存用於與製造機器介接的指令(例如電腦程式碼1006)。此指令(例如電腦程式碼1006)能使處理器1002產生可以讓製造機器讀取的製造指令,以在製造流程中有效地實施方法900。
系統1000包含輸入/輸出介面1010。輸入/輸出介面1010耦接到外部電路。在一些實施例中,輸入/輸出介面1010包含鍵盤、小型鍵盤、滑鼠、軌跡球、軌跡板及/或游標方向鍵,用來向處理器1002傳送資訊以及指令。
系統1000也包含耦接到處理器1002的網路介面1012。網路介面1012讓系統1000與網路1014進行通信,且一個或多個其他電腦系統也連接到網路1014。網路介面1012包含無線網路介面,例如BLUETOOTH、WIFI、WIMAX、GPRS或WCDMA;或是有線網路介面,例如ETHERNET、USB或IEEE-13154。在一些實施例中,方法900在兩個或更多個系統1000中實施,且例如佈局設計、使用者介面以及製造單元等資訊,會透過網路1014在不同系統1000之間進行交換。
系統1000透過輸入/輸出介面1010或網路介面1012接收與佈局設計相關的資訊。此資訊會透過匯流排1008傳送到處理器1002,以確定使用於製造IC的佈局設計。此佈局設計接著會作為佈局設計1016,儲存在電腦可讀儲存媒介1004中。系統1000透過輸入/輸出介面1010或網路介面1012接收與使用者介面相關的資訊。此 資訊會作為使用者介面1018,儲存在電腦可讀儲存媒介1004中。系統1000透過輸入/輸出介面1010或網路介面1012接收與製造單元相關的資訊。此資訊會作為製造單元1020,儲存在電腦可讀儲存媒介1004中。在一些實施例中,製造單元1020包含由系統1000使用的製造資訊。
在一些實施例中,方法900為一個由處理器執行的獨立應用軟體。在一些實施例中,方法900為額外應用軟體中的一部分應用軟體。在一些實施例中,方法900為應用軟體的外掛程式。在一些實施例中,方法900為EDA工具中的一部分應用軟體。在一些實施例中,方法900為由EDA工具使用的應用軟體。在一些實施例中,EDA工具用於產生積體電路設備的佈局設計。在一些實施例中,佈局設計儲存於非暫時性電腦可讀儲存媒介中。在一些實施例中,佈局設計是使用例如VIRTUOSO®或是其他適合的佈局產生工具來產生。在一些實施例中,佈局設計是以網路連線表為基準所產生,而網路連線表是以概要設計為基準所創造出來的。在一些實施例中,方法900由一個製造設備所實施,在此方法中,使用了一組遮罩來製造積體電路,此組遮罩是以系統1000產生的一個或多個佈局設計為基準所製造。在一些實施例中,系統1000是一個製造設備(例如製造工具1022),此製造設備使用了一組遮罩來製造積體電路,此組遮罩是以本揭示文件的一個或多個佈局設計為基準所製造。在一些實施例中,第10圖的 系統1000產生的積體電路佈局設計比其他方法產生的積體電路佈局設計更小。在一些實施例中,第10圖的系統1000產生的積體電路佈局設計比其他方法產生的積體電路佈局設計佔用更少的面積。
第11圖根據本揭示文件的至少一實施例,繪示一積體電路製造系統1100以及積體電路製造流程之方塊圖。
在第11圖中,積體電路製造系統1100包含實體單位,例如負責設計、開發以及製造週期的設計廠1120、遮罩廠1130以及積體電路製造廠1140,及/或與製造積體電路設備1160相關的服務。系統1100中的實體單位由一個通訊網路所連接。在一些實施例中,通訊網路是一個單一網路。在一些實施例中,通訊網路是各種不同的網路,例如內部網路以及網際網路。通訊網路包含有線及/或無線的通訊頻道。每個實體單位可以與一個或多個其他實體單位互動,並向一個或多個其他實體單位提供服務,及/或從一個或多個其他實體接受服務。在一些實施例中,設計廠1120、遮罩廠1130以及積體電路製造廠1140中的兩個或更多個實體單位由一個公司所擁有。在一些實施例中,設計廠1120、遮罩廠1130以及積體電路製造廠1140中的兩個或更多個實體單位共存於一個公共設施中並使用公共資源。
設計廠(或設計團隊)1120產生一個積體電路設計佈局1122。積體電路設計佈局1122包含各種為積體電 路設備1160所設計的幾何模式。幾何模式對應於構成製造的積體電路設備1160的各種元件的金屬、氧化物或半導體層的模式。透過結合各種層以形成各種積體電路的特徵。舉例而言,積體電路設計佈局1122的一部分包含各種積體電路特徵,例如主動區、閘極區、源極區、汲極區、層間互連的金屬線或矽通孔,以及焊片的開口,以形成在半導體載板(例如矽晶片)以及設置在半導體載板上的各種材料層。設計廠1120實行適當的設計程序以形成積體電路設計佈局1122。設計程序包含邏輯設計、物理設計或佈局佈線中的一項或多項。積體電路設計佈局1122以一個或多個資料文件呈現,這些資料文件具有幾何模式的資訊。舉例而言,積體電路設計佈局1122可以用GDSII文件格式或是DFII文件格式來表示。
遮罩廠1130包含遮罩資料準備1132以及遮罩製造1134。遮罩廠1130使用積體電路設計佈局1122來製造一個或多個遮罩,再根據積體電路設計佈局1122,將遮罩用於製造積體電路設備1160的各個層。遮罩廠1130執行遮罩資料準備1132,其中積體電路設計佈局1122被轉換成具代表性的資料文件(“RDF”)。遮罩資料準備1132將RDF提供給遮罩製造1134。遮罩製造1134包含一個遮罩寫入器。遮罩寫入器將RDF轉換為載板上的圖像,例如遮罩或半導體晶圓。設計佈局由遮罩資料準備1132操縱,以符合遮罩寫入器的特定特性及/或積體電路製造廠1140的要求。在第11圖中,遮罩資料準備1132 以及遮罩製造1134被繪示為單獨的元件。在一些實施例中,遮罩資料準備1132以及遮罩製造1134可以統稱為遮罩資料準備。
在一些實施例中,遮罩資料準備1132包含光學鄰近效應修正(optical proximity correction,OPC),其使用微影增強技術來補償圖像誤差,例如可能由繞射、干涉、其他製程效應等引起的圖像誤差。OPC調整積體電路設計佈局1122。在一些實施例中,遮罩資料準備1132包含進一步的解析度增強技術(resolution enhancement technique,RET),例如離軸照明、亞解析度輔助特徵、相轉移遮罩、其他適合的技術等或其組合。在一些實施例中,也使用反向式微影技術(inverse lithography technology,ILT),其將OPC視為逆成像問題。
在一些實施例中,遮罩資料準備1132包含一個遮罩規則檢查器(mask rule checker,MRC),MRC使用一組遮罩創建規則檢查在OPC中經過處理的積體電路設計佈局,此組遮罩創建規則包含某些幾何及/或連通性限制以確保足夠的邊界範圍,以考慮半導體製程的變化性等。在一些實施例中,MRC修改積體電路設計佈局,以補償遮罩製造1134期間的限制,此動作可以取消由OPC執行的部分修改,以滿足遮罩創建規則。
在一些實施例中,遮罩資料準備1132包含平版印刷檢查(lithography process checking,LPC), LPC模擬由積體電路製造廠1140實施,以製造積體電路設備1160的流程。LPC以積體電路設計佈局1122為基準模擬此流程,以創造模擬製造的設備,例如積體電路設備1160。LPC模擬中的製程參數可以包含與積體電路製造週期中各種製程相關的參數、與用於製造積體電路的工具相關的參數及/或製造流程的其他面相。LPC考慮各種因素,例如空間影像對比度、焦深(“DOF”)、遮罩誤差增強因數(“MEEF”)以及其他適合的因數或其組合。在一些實施例中,在LPC創造模擬製造的設備之後,如果模擬設備的形狀不夠接近設計規則,則可以重複OPC及/或MRC以進一步細化積體電路設計佈局1122。
應理解,為了清楚起見,上述關於遮罩資料準備1132的描述已經經過簡化。在一些實施例中,遮罩資料準備1132包含附加的特徵,例如根據製造規則修改積體電路設計佈局的邏輯操作(logic operation,LOP)。此外,在遮罩資料準備1132期間應用於積體電路設計佈局1122的流程,可以以各種不同的順序執行。
在遮罩資料準備1132之後以及在遮罩製造1134期間,以修改過的積體電路設計佈局為基準,製造一個或一組遮罩。在一些實施例中,以修改過的積體電路設計佈局為基準,使用電子束(e-beam)或多個電子束的機構,在遮罩(光罩或倍縮光罩)上形成圖案。遮罩可以使用各種技術形成。在一些實施例中,使用二元技術形成遮罩。在一些實施例中,遮罩圖案包含不透明區域以及透明區域。 用於曝光覆蓋在晶片上的圖像敏感材料層(例如光阻劑)的輻射線,例如紫外(UV)線,被不透明區域阻擋並透射穿過透明區域。在一個示例中,二元遮罩包含一個透明載板(例如熔融石英)以及覆蓋在遮罩的不透明區域中的不透明材料(例如鉻)。在另一個示例中,使用了相位偏移技術來形成遮罩。在相位偏移遮罩(PSM)中,在遮罩上形成的圖案中的各種特徵具有適當的相位差,以提高解析度以及成像品質。在各種示例中,相位偏移遮罩可以是衰減PSM或交替PSM。遮罩製造1134產生的遮罩用於多種流程中。舉例而言,遮罩用於離子注入流程中,以在半導體晶圓中形成各種摻雜區,用於蝕刻流程中,以在半導體晶圓中形成各種蝕刻區域,及/或用在其他適合的流程中。
積體電路製造廠1140是一個積體電路製造實體單位,包含一個或多個用於製造各種不同積體電路產品的製造設施。在一些實施例中,積體電路製造廠1140是一個半導體代工廠。舉例而言,一個製造設施用於多個積體電路產品的前端製造(前段(FEOL)製程),而第二個製造設施可能提供用於積體電路產品的後端製造的內接以及封裝(後段(BEOL)製程),而第三個製造設施可以為代工廠實體單位提供其他服務。
積體電路製造廠1140使用由遮罩廠1130製造的遮罩(或多個遮罩)來製造積體電路設備1160。因此,積體電路製造廠1140至少間接地使用了積體電路設計佈局 1122來製造積體電路設備1160。在一些實施例中,半導體晶圓1142由積體電路製造廠1140使用遮罩(或多個遮罩)來製造,以形成積體電路設備1160。半導體晶圓1142包含其上形成的矽載板或其他具有材料層的適合的載板。半導體晶圓進一步包含一個或多個摻雜區、介電特徵、多級互連等(在後續製造步驟中形成)。
系統1100被示為具有設計廠1120、遮罩廠1130或積體電路製造廠1140的獨立組件或實體單元。然而,應理解,設計廠1120、遮罩廠1130或積體電路製造廠1140中的一個或多個是同一個組件或實體單位的一部分。
關於積體電路製造系統(例如第11圖的系統1100)以及與其相關聯的積體電路製造流程的詳細資訊可在例如2016年2月9日授權的美國專利第9256709號、2015年10月1日公佈的美國專利第20150278429號、2014年2月6日公佈的美國專利第20100040838號以及2007年8月21日授權的美國專利第7260442號中找到,其全文透過引用併入本文。
本敘述的一個態樣是關於一種積體電路。在一些實施例中,積體電路包含一個第一裸晶以及一個沿著一個方向設置在第一裸晶上方的第二裸晶。在一些實施例中,第二裸晶包含一個第一層以及一個沿著一個方向設置在第一層上方的第二層。在一些實施例中,第二裸晶包含沿著一個方向延伸穿過第一層,電性耦合到第一裸晶的第一金屬 軌,以及沿著一個方向延伸穿過第二層的第二金屬軌。在一些實施例中,第二裸晶包含設置在第二層中的第一介面電路。在一些實施例中,第一介面電路在第一金屬軌以及第二金屬軌之間傳遞信號,同時在第一金屬軌以及第二金屬軌的電力負載之間電性隔離。
本敘述的一個態樣是關於一種積體電路,其包含一個第一裸晶、一個第二裸晶以及一個第三裸晶,三者沿著一個方向堆疊。在一些實施例中,第一裸晶包含一個用於產生信號的第一介面電路。在一些實施例中,第二裸晶沿著該方向設置在第一裸晶上方。在一些實施例中,第二裸晶包含一個第二介面電路,以從第一介面電路接收信號並根據該信號產生複製信號。在一些實施例中,第三裸晶沿該方向設置在第二裸晶上方。在一些實施例中,第三裸晶包含一個第三介面電路,以從第二介面電路接收複製信號。
本敘述的一個態樣是關於一種產生一個積體電路的佈局設計的方法。在一些實施例中,該方法包含由處理器在製程極限內對積體電路的裸晶執行第一模擬。在一些實施例中,該方法包含根據第一模擬的結果,由處理器產生裸晶的時序模型。在一些實施例中,該方法包含由處理器對沿著一個方向堆疊的積體電路的多個裸晶執行第二模擬。每個裸晶皆可以以時序模型表示。在一些實施例中,該方法包含根據第二模擬的結果,由處理器產生包含沿著一個方向堆疊的多個裸晶的積體電路的佈局設計。
本敘述的一個態樣是關於一種組成一個積體電路的方法。在一些實施例中,該方法包含形成一個第一裸晶的第一層。在一些實施例中,該方法包含形成一個沿著一個方向延伸並穿過第一層的第一金屬軌。在一些實施例中,該方法包含一個沿著一個方向形成的第一裸晶的第二層。在一些實施例中,該方法包含形成一個沿著該方向延伸並穿過第二層的第二金屬軌。在一些實施例中,該方法包含在第二層中形成一個第一介面電路。在一些實施例中,第一介面電路在第一金屬軌以及第二金屬軌之間傳遞信號,同時在第一金屬軌的電力負載以及第二金屬軌的電力負載之間電性分離。在一些實施例中,該方法包含形成一個第二裸晶的第三層。在一些實施例中,該方法包含形成一個沿著該方向延伸並穿過第三層的第三金屬軌。在一些實施例中,該方法包含一個沿著該方向形成的第二裸晶的第四層。在一些實施例中,該方法包含在第四層中形成一個第二介面電路。在一些實施例中,第二介面電路透過第三金屬軌,從第一介面電路接收信號。
於一些實施例中,積體電路包含一個第一裸晶以及一個第二裸晶。第二裸晶沿著一個方向設置在第一裸晶上方,第二裸晶包含一個第一層、一個第二層、一個第一金屬軌、一個第二金屬軌以及一個第一介面電路。第二層沿著此方向設置在第一層上方。第一金屬軌沿著此方向延伸穿過第一層並電性耦接至第一裸晶。第二金屬軌沿著此方向延伸穿過第二層。第一介面電路設置於第二層,第一介 面電路在第一金屬軌以及第二金屬軌之間傳遞信號,同時在第一金屬軌的電力負載以及第二金屬軌的電力負載之間電性分離。
於一些實施例中,第一裸晶包含一個第三層、一個第四層、一個第三金屬軌以及一個第四金屬軌。第四層沿著此方向設置在第三層上方。第三金屬軌沿著此方向延伸穿過第三層。第四金屬軌沿著此方向延伸穿過第四層並電性耦接至第一金屬軌。
於一些實施例中,積體電路進一步包含一個導電凸塊,電性耦接在第一裸晶的第四金屬軌以及第二裸晶的第一金屬軌之間。
於一些實施例中,第一層以及第三層為絕緣層,第二層以及第四層為半導體層。
於一些實施例中,第一層以及第四層為絕緣層,第二層以及第三層為半導體層。
於一些實施例中,第二裸晶包含一個第二介面電路,設置於第四層。第二介面電路在第三金屬軌以及第四金屬軌之間傳遞信號,同時在第三金屬軌的電力負載以及第四金屬軌的電力負載之間電性分離。第一裸晶的第二介面電路經由第一金屬軌以及第四金屬軌,電性耦接至第二裸晶的第一介面電路。
於一些實施例中,該信號為一個資料信號。第一介面電路包含一個緩衝電路,用以經由第二金屬軌接收資料信號、產生此資料信號的複製資料信號,以及將複製資料 信號經由第一金屬軌以及第四金屬軌傳遞至第二介面電路。
於一些實施例中,第二裸晶進一步包含一個第五金屬軌,沿著此方向延伸穿過第一層,以電性耦接至第一裸晶。第一裸晶進一步包含一個第六金屬軌,沿著此方向延伸穿過第四層,以電性耦接至第二裸晶。第一介面電路進一步包含另一個緩衝電路,用以接收時脈信號、產生此時脈信號之複製時脈信號,以及將複製時脈信號經由第五金屬軌以及第六金屬軌傳遞至第二介面電路。
於一些實施例中,第一介面電路進一步包含一個正反器,用以接收來自於另一個緩衝電路的複製時脈信號,以及產生與複製時脈信號同步的資料信號。
於一些實施例中,積體電路進一步包含一個第三裸晶。第三裸晶包含一個第五層、一個第六層、一個第五金屬軌、一個第六金屬軌以及一個第三介面電路。第六層沿著此方向設置在第五層上方。第五金屬軌沿著此方向延伸穿過第五層。第六金屬軌沿著此方向延伸穿過第六層並電性耦接至第二裸晶。第三介面電路在第五金屬軌以及第六金屬軌之間傳遞信號,同時在第五金屬軌的電力負載以及第六金屬軌的電力負載之間電性分離。第三裸晶的第三介面電路經由第三金屬軌以及第五金屬軌,電性耦接至第二裸晶的第二介面電路。
於一些實施例中,積體電路包含一個第一裸晶、一個第二裸晶以及一個第三裸晶。第一裸晶包含一個第一介 面電路,第一介面電路產生一個信號。第二裸晶沿著一個方向設置在第一裸晶上方,第二裸晶包含一個第二介面電路,用以接收來自第一介面電路的信號,以及產生此信號的複製信號。第三裸晶沿著此方向設置在第二裸晶上方,第三裸晶包含一個第三介面電路,用以接收來自第二介面電路的複製信號。
於一些實施例中,第二介面電路用以經由沿著此方向延伸的第二裸晶的一個第一金屬軌,接收來自於第一介面電路的信號,以及經由沿著該此向延伸的第二裸晶的一個第二金屬軌,傳遞複製信號至第三介面電路。
於一些實施例中,第一金屬軌延伸穿過第二裸晶的一個半導體層,第二金屬軌延伸穿過第二裸晶的一個絕緣層。
於一些實施例中,積體電路進一步包含一個第一導電凸塊以及一個第二導電凸塊。第一導電凸塊設置在第一裸晶以及第二裸晶之間,第二介面電路用以經由第一導電凸塊接收信號。第二導電凸塊設置在第二裸晶以及第三裸晶之間,第三介面電路用以經由第二導電凸塊接收複製信號。
於一些實施例中,第三介面電路用以產生複製信號的另一個複製信號。
於一些實施例中,積體電路的形成方法包含形成一個第一裸晶的第一層、形成沿著一個方向延伸穿過第一層的第一金屬軌、沿著此方向形成一個第一裸晶的第二層、 形成沿著此方向延伸穿過第二層的第二金屬軌、在第二層形成一個第一介面電路、沿著此方向在第一裸晶上方形成一個第二裸晶的第三層、形成沿著此方向延伸穿過第三層的第三金屬軌、沿著該方向形成一個第二裸晶的第四層,以及在第四層形成一個第二介面電路。第一介面電路用以在第一金屬軌以及第二金屬軌之間傳遞信號,同時在第一金屬軌的電力負載以及第二金屬軌的電力負載之間電性分離。第二介面電路用以經由第三金屬軌接收來自於第一介面電路的信號。
於一些實施例中,積體電路的形成方法進一步包含在第一裸晶以及第二裸晶之間形成一個導電凸塊,以電性耦接在第二金屬軌以及第三金屬軌之間。
於一些實施例中,積體電路的形成方法進一步包含形成沿著此方向穿過第四層的第四金屬軌。第二介面電路用以在第三金屬軌以及第四金屬軌之間傳遞信號,同時在第三金屬軌的電力負載以及第四金屬軌的電力負載之間電性分離。
於一些實施例中,積體電路的形成方法進一步包含形成一個第三裸晶的第五層、形成沿著此方向延伸穿過第五層的第五金屬軌、沿著此方向形成一個第三裸晶的第六層,以及在第六層形成一個第三介面電路。第三介面電路用以經由第五金屬軌接收來自於第二介面電路的信號。
於一些實施例中,第一層以及第三層為絕緣層,第二層以及第四層為半導體層。
前文概述了數個實施例的特徵,使得熟習此項技術者可更好地理解本案的態樣。熟習此項技術者應瞭解,可易於使用本案作為設計或修改其他製程及結構的基礎以便實施本文所介紹的實施例的相同目的及/或實現相同優勢。熟習此項技術者亦應認識到,此類等效結構並未脫離本案的精神及範疇,並且可在不脫離本案的精神及範疇的情況下在本文中實施各種變化、取代及修改。
100A:積體電路
110A、110B、110C、110D、110E:裸晶
115A、115B、115C、115D、115E:背層
120A、120B、120C、120D、120E:前層
135A、135B、135C、155A、155B、155C:金屬軌
150A、150B、150C:裸晶對裸晶介面電路
165AB、165BC:導電凸塊

Claims (10)

  1. 一種積體電路,包含:一第一裸晶;以及一第二裸晶,沿著一方向設置在該第一裸晶上方,該第二裸晶包含:一第一層;一第二層,沿著該方向設置在該第一層上方;一第一金屬軌,沿著該方向延伸穿過該第一層並電性耦接至該第一裸晶;一第二金屬軌,沿著該方向延伸穿過該第二層;以及一第一介面電路,設置於該第二層,該第一介面電路在該第一金屬軌以及該第二金屬軌之間傳遞一信號,同時在該第一金屬軌的一電力負載以及該第二金屬軌的一電力負載之間電性分離。
  2. 如請求項1所述之積體電路,其中該第一裸晶包含:一第三層;一第四層,沿著該方向設置在該第三層上方;一第三金屬軌,沿著該方向延伸穿過該第三層;一第四金屬軌,沿著該方向延伸穿過該第四層並電性耦接至該第一金屬軌;以及一導電凸塊,電性耦接在該第一裸晶的該第四金屬軌以及該第二裸晶的該第一金屬軌之間。
  3. 如請求項2所述之積體電路, 其中該第一層包含絕緣層,該第二層包含半導體層;若該第三層包含絕緣層,該第四層包含半導體層;以及若該第三層包含半導體層,該第四層包含絕緣層。
  4. 如請求項2所述之積體電路,其中該第二裸晶包含:一第二介面電路,設置於該第四層,該第二介面電路在該第三金屬軌以及該第四金屬軌之間傳遞一信號,同時在該第三金屬軌的一電力負載以及該第四金屬軌的一電力負載之間電性隔離,該第一裸晶的該第二介面電路經由該第一金屬軌以及該第四金屬軌電性耦接至該第二裸晶的該第一介面電路;其中該信號為一資料信號,其中該第一介面電路包含一緩衝電路,用以:經由該第二金屬軌接收該資料信號;產生該資料信號的一複製資料信號;以及將該複製資料信號經由該第一金屬軌以及該第四金屬軌傳遞至該第二介面電路;其中該第二裸晶進一步包含一第五金屬軌,沿著該方向延伸穿過該第一層,以電性耦接至該第一裸晶;其中該第一裸晶進一步包含一第六金屬軌,沿著該方向延伸穿過該第四層,以電性耦接至該第二裸晶;其中該第一介面電路進一步包含另一緩衝電路,用以:接收一時脈信號;產生該時脈信號之一複製時脈信號;以及 將該複製時脈信號經由該第五金屬軌以及該第六金屬軌傳遞至該第二介面電路;以及其中該第一介面電路進一步包含一正反器,用以:接收來自於該另一緩衝電路的該複製時脈信號;以及產生與該複製時脈信號同步的該資料信號。
  5. 如請求項4所述之積體電路,進一步包含:一第三裸晶,包含:一第五層;一第六層,沿著該方向設置在該第五層上方;一第五金屬軌,沿著該方向延伸穿過該第五層;一第六金屬軌,沿著該方向延伸穿過該第六層並電性耦接至該第二裸晶;以及一第三介面電路,在該第五金屬軌以及該第六金屬軌之間傳遞一信號,同時在該第五金屬軌的一電力負載以及該第六金屬軌的一電力負載之間電性分離,該第三裸晶的該第三介面電路經由該第三金屬軌以及該第五金屬軌電性耦接至該第二裸晶的該第二介面電路。
  6. 一種積體電路,包含:一第一裸晶,其包含一第一介面電路,該第一介面電路產生一信號;一第二裸晶,沿著一方向設置在該第一裸晶上方,該第二裸晶包含一第二介面電路,用以接收來自該第一介面電路的該信號,以及產生該信號的一複製信號; 一第三裸晶,沿著該方向設置在該第二裸晶上方,該第三裸晶包含一第三介面電路,用以接收來自該第二介面電路的該複製信號以及產生該複製信號的另一複製信號;一第一導電凸塊,設置在該第一裸晶以及該第二裸晶之間,該第二介面電路用以經由該第一導電凸塊接收該信號;以及一第二導電凸塊,設置在該第二裸晶以及該第三裸晶之間,該第三介面電路用以經由該第二導電凸塊接收該複製信號。
  7. 如請求項6所述之積體電路,其中該第二介面電路用以:經由沿著該方向延伸的該第二裸晶的一第一金屬軌,接收來自於該第一介面電路的該信號,其中該第一金屬軌延伸穿過該第二裸晶的一半導體層;以及經由沿著該方向延伸的該第二裸晶的一第二金屬軌,傳遞該複製信號至該第三介面電路,其中該第二金屬軌延伸穿過該第二裸晶的一絕緣層。
  8. 一種積體電路的形成方法,包含:形成一第一裸晶的一第一層;形成沿著一方向延伸穿過該第一層的一第一金屬軌;沿著該方向形成該第一裸晶的一第二層;形成沿著該方向延伸穿過該第二層的一第二金屬軌;在該第二層形成一第一介面電路,其中該第一介面電路用以在該第一金屬軌以及該第二金屬軌之間傳遞一信號, 同時用以將該第一金屬軌的一電力負載以及該第二金屬軌的一電力負載電性隔離;沿著該方向在該第一裸晶上方形成該第二裸晶的一第三層;形成沿著該方向延伸穿過該第三層的一第三金屬軌;沿著該方向形成該第二裸晶的一第四層;在該第四層形成一第二介面電路,其中該第二介面電路用以經由該第三金屬軌接收來自於該第一介面電路的該信號;以及在該第一裸晶以及該第二裸晶之間形成一導電凸塊,以電性耦接在該第二金屬軌以及該第三金屬軌之間。
  9. 如請求項8所述之方法,進一步包含:形成沿著該方向穿過該第四層的一第四金屬軌,其中該第二介面電路用以在該第三金屬軌以及該第四金屬軌之間傳遞該信號,同時用以將該第三金屬軌的一電力負載與該第四金屬軌的一電力負載電性隔離;形成一第三裸晶的一第五層;形成沿著該方向延伸穿過該第五層的一第五金屬軌;沿著該方向形成該第三裸晶的一第六層;以及在該第六層組成一第三介面電路,其中該第三介面電路用以經由該第五金屬軌接收來自於該第二介面電路的該信號。
  10. 如請求項8所述之方法,其中該第一層以及該第三層為絕緣層,其中該第二層以及該第四層為半導體 層。
TW110132708A 2020-09-03 2021-09-02 積體電路以及積體電路的形成方法 TWI769075B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063074153P 2020-09-03 2020-09-03
US63/074,153 2020-09-03
US17/363,121 US11658158B2 (en) 2020-09-03 2021-06-30 Die to die interface circuit
US17/363,121 2021-06-30

Publications (2)

Publication Number Publication Date
TWI769075B true TWI769075B (zh) 2022-06-21
TW202230693A TW202230693A (zh) 2022-08-01

Family

ID=78681471

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110132708A TWI769075B (zh) 2020-09-03 2021-09-02 積體電路以及積體電路的形成方法

Country Status (3)

Country Link
US (2) US11658158B2 (zh)
CN (1) CN113725154B (zh)
TW (1) TWI769075B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115377085A (zh) * 2022-08-09 2022-11-22 中国科学院自动化研究所 一种无线互连装置及系统

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170263536A1 (en) * 2016-03-11 2017-09-14 Nanya Technology Corporation Chip package having tilted through silicon via
US20180076180A1 (en) * 2012-09-06 2018-03-15 Toshiba Memory Corporation Semiconductor device having stacked chips
TW202002188A (zh) * 2018-06-25 2020-01-01 台灣積體電路製造股份有限公司 三維積體電路結構
CN110867434A (zh) * 2018-08-28 2020-03-06 爱思开海力士有限公司 包括桥接晶片的堆叠封装
TW202021047A (zh) * 2018-11-28 2020-06-01 台灣積體電路製造股份有限公司 堆疊元件

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3779524B2 (ja) * 2000-04-20 2006-05-31 株式会社東芝 マルチチップ半導体装置及びメモリカード
CN1845324A (zh) * 2005-04-08 2006-10-11 钰创科技股份有限公司 堆叠式多重积体电路祼晶封装组合结构
KR101176187B1 (ko) * 2007-11-21 2012-08-22 삼성전자주식회사 스택형 반도체 장치 및 이 장치의 직렬 경로 형성 방법
US8106520B2 (en) * 2008-09-11 2012-01-31 Micron Technology, Inc. Signal delivery in stacked device
US20100270668A1 (en) * 2009-04-28 2010-10-28 Wafer-Level Packaging Portfolio Llc Dual Interconnection in Stacked Memory and Controller Module
US9429983B1 (en) * 2013-09-12 2016-08-30 Advanced Processor Architectures, Llc System clock distribution in a distributed computing environment
US8258619B2 (en) * 2009-11-12 2012-09-04 International Business Machines Corporation Integrated circuit die stacks with translationally compatible vias
US9331062B1 (en) * 2013-12-06 2016-05-03 Altera Corporation Integrated circuits with backside power delivery
US10297631B2 (en) * 2016-01-29 2019-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Metal block and bond pad structure
CN110364539B (zh) * 2018-03-26 2021-09-10 北京齐碳科技有限公司 一种可分离式导电接触结构及其制备方法
US20220278084A1 (en) * 2019-09-25 2022-09-01 Intel Corporation Molded interconnects in bridges for integrated-circuit packages
US11804479B2 (en) * 2019-09-27 2023-10-31 Advanced Micro Devices, Inc. Scheme for enabling die reuse in 3D stacked products
US20220262766A1 (en) * 2021-02-12 2022-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Through-Dielectric Vias for Direct Connection and Method Forming Same
US20220399294A1 (en) * 2021-06-14 2022-12-15 Intel Corporation Microelectronic assemblies having a hybrid bonded interposer for die-to-die fan-out scaling
US20220406751A1 (en) * 2021-06-22 2022-12-22 Intel Corporation Quasi-monolithic hierarchical integration architecture
US20220415814A1 (en) * 2021-06-23 2022-12-29 Intel Corp Microelectronic assemblies having topside power delivery structures
US20220415815A1 (en) * 2021-06-23 2022-12-29 Intel Corporation Microelectronic assemblies having topside power delivery structures

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180076180A1 (en) * 2012-09-06 2018-03-15 Toshiba Memory Corporation Semiconductor device having stacked chips
US20170263536A1 (en) * 2016-03-11 2017-09-14 Nanya Technology Corporation Chip package having tilted through silicon via
TW202002188A (zh) * 2018-06-25 2020-01-01 台灣積體電路製造股份有限公司 三維積體電路結構
CN110867434A (zh) * 2018-08-28 2020-03-06 爱思开海力士有限公司 包括桥接晶片的堆叠封装
TW202021047A (zh) * 2018-11-28 2020-06-01 台灣積體電路製造股份有限公司 堆疊元件

Also Published As

Publication number Publication date
US20230260970A1 (en) 2023-08-17
US20220068888A1 (en) 2022-03-03
CN113725154B (zh) 2023-08-29
CN113725154A (zh) 2021-11-30
US11658158B2 (en) 2023-05-23
TW202230693A (zh) 2022-08-01

Similar Documents

Publication Publication Date Title
US11853675B2 (en) Method for optimizing floor plan for an integrated circuit
TWI771617B (zh) 積體電路、積體電路形成方法及設計系統
US11984441B2 (en) Integrated circuit with backside power rail and backside interconnect
US11887978B2 (en) Power switch for backside power distribution
US20240020452A1 (en) Multiple Power Domains Using Nano-sheet Structures
CN113053873A (zh) 集成电路
US20230260970A1 (en) Die to die interface circuit
US20240096803A1 (en) Diagonal backside power and signal routing for an integrated circuit
US11616055B2 (en) Integrated circuit and method of forming the same
US20210272605A1 (en) Cell structures and power routing for integrated circuits
US20230369144A1 (en) System and method for measuring device inside through-silicon via surroundings
US20230268339A1 (en) Semiconductor cell and active area arrangement
US11538507B1 (en) Header circuit placement in memory device
US12009356B2 (en) Integrated circuit and method of forming the same
US20230394216A1 (en) Integrated circuit device and manufacturing method of the same
US20240086609A1 (en) Integrated circuit design method, system and computer program product
US20240039518A1 (en) Multiple supply voltage tracks and standard cells
US20240055029A1 (en) Cell structures and power routing for integrated circuits