TWI757276B - 用於邊角移除之卡盤及用於邊角移除前之晶圓定心方法 - Google Patents

用於邊角移除之卡盤及用於邊角移除前之晶圓定心方法 Download PDF

Info

Publication number
TWI757276B
TWI757276B TW106110459A TW106110459A TWI757276B TW I757276 B TWI757276 B TW I757276B TW 106110459 A TW106110459 A TW 106110459A TW 106110459 A TW106110459 A TW 106110459A TW I757276 B TWI757276 B TW I757276B
Authority
TW
Taiwan
Prior art keywords
wafer
gas
chuck
support arms
support pins
Prior art date
Application number
TW106110459A
Other languages
English (en)
Other versions
TW201803009A (zh
Inventor
亞倫 路易斯 拉布里
羅伯特 林登 布倫德
奇安 歐文 斯威尼
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201803009A publication Critical patent/TW201803009A/zh
Application granted granted Critical
Publication of TWI757276B publication Critical patent/TWI757276B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Weting (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)

Abstract

一種在邊角移除(EBR)製程期間支撐晶圓用的卡盤包含:一可旋轉的中心轂,其具有自該可旋轉的中心轂向外延伸的複數支撐臂;複數支撐銷,位於該複數支撐臂的複數末端上;複數氣體通道,延伸通過該複數支撐銷的複數上表面;及該複數支撐臂中的複數氣體管,該複數氣體管係用以將氣體供給至該複數氣體通道或將真空供給至該複數氣體通道。該複數支撐臂可包含複數對準凸輪,該複數對準凸輪可自遠離該晶圓之一外圍的一外非對準位置旋轉至晶圓受到定心處的一內對準位置。為了供給氣體至或施加真空力至該複數支撐銷中的該複數氣體出口,該可旋轉的中心轂可具有一氣體入口及與該複數支撐臂中之該複數氣體輸送管流體交流的複數氣體輸送接口。氣體可藉由連接至該氣體入口的一加壓氣體源而被供給至該複數氣體出口且吸力可藉由連接至該氣體入口的一真空源而被施加至該複數氣體出口。在定心期間,該晶圓係漂浮在一氣體墊上降低該複數支撐銷的磨損。

Description

用於邊角移除之卡盤及用於邊角移除前之晶圓定心方法
此發明係關於支撐半導體晶圓用的卡盤技術。更具體而言,其係關於邊角移除(EBR)製程前之晶圓定心方法,在邊角移除製程中液態蝕刻劑針對晶圓的外緣移除非所欲的金屬。
在典型的銅鑲嵌製程中,形成期望的導電路徑通常始於金屬的薄物理氣相沉積(PVD)然後形成較厚的電填充層(其係由電鍍形成)。PVD製程通常為濺射。為了最大化晶圓之可用區域(在文中有時被稱為「主動表面區域」)的尺寸並藉此最大化每片晶圓所產生之積體電路的數目,電填充金屬必須被沉積至極靠近半導體晶圓之邊緣之處。是以,必須使金屬能物理氣相沉積至晶圓的整個前側上。PVD金屬通常會沉積在主動表面電路區域外側的前緣區域及在某些程度上沉積在背側成為此製程步驟的副產物。
由於可設計電鍍設備將電鍍溶液排除於非所欲區域如晶圓邊緣與外側之外,因此可較輕易地控制金屬的電填充。將電鍍溶液限制至晶圓主動表面之電鍍設備的一實例為加州聖荷西之諾發系統所販售的SABRETM 夾殼式電鍍設備,其亦被載於E. Patton等人在1997年11月13日申請之名為「Clamshell Apparatus For Electrochemically Treating Semiconductor Wafers」的美國專利US 6,156,167中,將其所有內容包含於此作為參考。
由於各種理由,電填充後仍殘留在晶圓邊緣上的PVD金屬是非所欲的。例如,晶圓邊緣上的PVD金屬不適合後續的沉積且傾向於剝落而產生非所欲的粒子。相對地,晶圓之主動內區域上的PVD金屬僅簡單地被厚且平均的電填充金屬所覆蓋然後由CMP平坦化磨至介電材料。此大部分為介電材料的平坦表面為阻障層如氮化矽或碳化矽所覆蓋,氮化矽或碳化矽皆能良好附著至介電材料並協助接續膜層的黏著。不幸地,阻障層係如沉積在晶圓邊緣區域上方的PVD金屬層通常薄且不平均,因此可允許金屬遷移至介電材料中。當金屬為銅時此問題尤其重要。
為了解決此些問題,半導體設備可能必須要能蝕刻非所欲的殘留金屬層。在設計適合的蝕刻系統時可能會遇到各種困難。例如,邊角移除(EBR)的一主要限制為相對長的製程時間。較小的技術節點能大幅縮減薄膜層的電鍍時間。為了實現產能增加,一般高度期望能縮減所有非電鍍製程如EBR的持續時間。額外的問題包含在EBR製程期間控制蝕刻區域。一般期望能最小化晶圓主動區域中之電填充金屬的損失並同時完全移除周圍的邊角(即縮減沉積金屬的「斜邊寬度」。總言之,期望能有較佳的邊角移除方法及設備。共讓渡之2008年8月27日由K. Ganesan等人所申請之名為「Apparatus And Method For Edge Bevel Removal Of Copper From Silicon Wafers」的美國專利US 8,419,964揭露一種施行EBR用的設備,在此設備中晶圓係受到具有複數支撐銷與複數對準銷之可旋轉的卡盤所支撐,將上述專利包含於此作為參考。共讓渡之2000年4月25日由S. Mayer等人所申請之名為「Wafer Chuck For Use In Edge Bevel Removal Of Copper From Silicon Wafers」的美國專利US 6,537,416中揭露了一種適合的卡盤,將上述專利包含於此作為參考。在晶圓定心期間,晶圓在橡膠支撐銷上滑移,這可導致磨損與粒子問題。期望能延長支撐銷的損耗並減少在晶圓定心期間的粒子生成。
根據一實施例,一種邊角移除(EBR)製程前定心半導體晶圓的方法,其包含:(a)將一晶圓傳輸至一可旋轉之卡盤上,該可旋轉之卡盤具有至少三個支撐臂及該些支撐臂之外部處的複數支撐銷;(b)將該晶圓下降至該複數支撐銷上;(c)將加壓氣體供給至在該複數支撐銷之一上表面中具有複數氣體出口的複數氣體通道俾使該晶圓漂浮在流出該複數支撐銷之該上表面中之該複數氣體出口之氣體所形成的複數氣體墊上;(d)當該晶圓漂浮在該複數氣體墊時藉著使該晶圓移動橫跨該複數支撐銷而定心該晶圓;(e)及施加真空至該複數氣體通道俾使該晶圓被真空夾持至該複數支撐銷。
在定心後,該方法更包含:(f)旋轉該晶圓;(g)利用包含去離子水的一預沖洗液體預沖洗該晶圓;(h)藉著增加該晶圓之一旋轉速度而薄化該預沖洗液體的一膜層;及(i)將液態蝕刻劑的液流輸送至靠近該晶圓之一邊角區之該預沖洗液體之該經薄化的膜層中俾使該液態蝕刻劑擴散通過該預沖洗液體之該經薄化的膜層並實質上選擇性地自該邊角區移除非所欲的金屬。
在一實施例中,該卡盤包含六個支撐臂,每一該支撐臂具有與該複數支撐銷中之該複數氣體通道中之一對應氣體通道流體交流的一氣體輸送管,其中在(c)期間氣體流出該六個支撐銷之該複數上表面中之該複數氣體出口且在(e)期間真空被供給至該六個氣體通道的每一氣體通道。
在一實施例中,該複數支撐銷為複數彈性吸杯,其傾向於在該晶圓滑移橫跨該複數支撐銷時黏住晶圓。這使得該晶圓「被黏住並滑移」而產生粒子。藉著使氮氣流出該複數吸杯,能減少該複數吸杯的磨損並避免在該複數支撐銷上定心(或移動)晶圓期間產生粒子。氮氣流出該複數吸杯亦消除複數唇封式支撐銷的捲曲或起伏。
較佳地,該氣體係以至少一psi的一壓力輸送至該複數氣體通道。
該複數支撐臂可包含複數可旋轉的對準凸輪,其中在(d)期間該複數對準凸輪自遠離該晶圓之一外圍的一外位置旋轉至晶圓受到定心處的一內位置。該複數支撐臂較佳地自一可旋轉的中心轂向外延伸,該可旋轉的中心轂具有一氣體入口及與該複數支撐臂中之該複數氣體輸送管流體交流的複數氣體輸送接口,其中在(c)期間氣體被供給至該氣體入口並流出該複數氣體輸送接口而流至該複數支撐銷中的該複數氣體通道。為了支撐該晶圓,在(e)中將一真空力供給至該氣體入口並藉由該複數支撐銷將吸力施加至該晶圓之下側上的複數位置。該複數對準凸輪可包含複數上樞軸連接件與複數下樞軸連接件,其中在(d)期間該複數對準凸輪係藉由附接至該複數下樞軸連接件的複數桿而繞著該複數上樞軸連接件旋轉。較佳地該複數對準凸輪係以樞軸方式附接至該複數支撐臂俾使其可在複數對準位置與複數非對準位置之間移動,在該複數對準位置之處該複數對準凸輪的複數上部將該晶圓定心而在該複數非對準位置之處該複數對準凸輪的該複數上部係位於該晶圓下方。為了乾燥該晶圓,該方法更包含:(j)將該複數對準凸輪旋轉至該複數對準位置;及(k)在施加真空至該複數氣體通道俾以將該晶圓真空夾持至該複數支撐銷時,以至少750 rpm的一乾燥速度旋轉該晶圓以乾燥該晶圓。
根據另一實施例,一種在邊角移除(EBR)製程期間支撐晶圓用的卡盤,包含:一可旋轉的中心轂,其具有自該可旋轉的中心轂向外延伸的複數支撐臂;複數支撐銷,位於該複數支撐臂的複數末端上;複數氣體通道,延伸通過該複數支撐銷的複數上表面;及該複數支撐臂中的複數氣體輸送管,該複數氣體輸送管係用以將氣體供給至該複數氣體通道或將真空供給至該複數氣體通道。
該卡盤可包含六個支撐臂,每一該支撐臂具有與該複數支撐銷中之該複數氣體通道中之一對應氣體通道流體交流的一氣體輸送管。較佳地該複數支撐銷為安裝於該複數支撐臂之該複數末端處所設置之複數金屬支撐件上的複數橡膠杯。該複數金屬支撐件中可具有複數垂直延伸的孔以將該複數支撐臂中的該複數氣體輸送管連接至該複數支撐銷中的該複數氣體通道。
該複數支撐臂可包含複數對準凸輪,該複數對準凸輪可自遠離該晶圓之一外圍的一外非對準位置旋轉至晶圓受到定心處的一內對準位置。為了供給氣體至或施加真空力至該複數支撐銷中的該複數氣體出口,該可旋轉的中心轂可具有一氣體入口及與該複數支撐臂中之該複數氣體輸送管流體交流的複數氣體輸送接口。氣體可藉由連接至該氣體入口的一加壓氣體源而被供給至該複數氣體出口且吸力可藉由連接至該氣體入口的一真空源而被施加至該複數氣體出口。
該複數對準凸輪可包含複數上樞軸連接件及複數下樞軸連接件,其中該複數對準凸輪藉由附接至該複數下樞軸連接件的複數桿而繞著該複數上樞軸連接件旋轉。在一配置中,該卡盤包含位於60°、120°、180°、240°、300°、及360°徑向位置處的六個支撐臂,位於60°、180°、及300°處的支撐臂包含對準凸輪而位於120°、240°、及360°的支撐臂不包含對準凸輪。包含該對準凸輪的該複數支撐臂可包含其中具有複數氣體輸送管的複數上臂及其中具有複數致動桿的複數下臂,該複數致動桿係附接至該複數對準凸輪,俾以在該複數致動桿之複數末端移動遠離該中心轂時使該複數對準凸輪朝向該晶圓的外圍旋轉,並在該複數致動桿之該複數末端朝向該中心轂移動時使該複數對準凸輪旋轉遠離該晶圓的該外圍。
為了提供對文中實施例的全面瞭解,已在下面的詳細說明中最舉各種特定實施例。然而熟知此項技藝當瞭解,可在缺乏此些特定細節或使用替代性元件或製程的情況下實施申請專利範圍所主張的發明。在其他情況中不詳細說明已知的製程、程序及元件以免不必要地模糊申請專利範圍所主張之發明的態樣。
文中所謂的「半導體晶圓」為積體電路生產期間各種製造階段之任何一者處的半導體基板。本發明中所指的一標準半導體晶圓具有300 mm的直徑、0.75 mm的厚度、及約0.15毫米的近似曲率半徑(見SEMI規格M1.15-0997)。當然,亦可使用其他尺寸的半導體晶圓如直徑200 mm的矽晶圓(見SEMI規格M1-0298)。文中所述的許多製程參數係與晶圓尺寸相依。例如,旋轉速度係特別針對300 mm且和其他直徑成反比。因此,300 mm晶圓用的400 rpm旋轉速度會大致上等於200 mm晶圓用的600 rpm旋轉速度。
在下述的實施例中說明一種經改良的晶圓卡盤,其可提供支撐銷的延長損耗能力及在電填充後之模組(PEM)中的晶圓製程期間的較少粒子生成,電填充後之模組(PEM)係被設計用以進行邊角移除(EBR)及額外的製程如預沖洗、沖洗、酸沖、及乾燥。電填充後之模組(PEM)可用以進行在晶圓上藉由鑲嵌製程之銅電填充後的各種製程步驟。
圖1顯示電填充系統107,其包含三個不同的電填充模組109、111、及113。系統107亦包含三個不同的電填充後之模組115、117、及119。此些模組中的每一模組可被用來進行下列功能:晶圓受到模組109、111、及113之電填充後的邊角移除、背側蝕刻、及酸清理。系統107亦包含化學稀釋模組121與中央電填充浴123。此為一槽,其容納用以在電填充模組中作為電鍍浴的化學溶液。系統107亦包含給劑系統133,其儲存與輸送電鍍浴用的化學添加劑。化學稀釋模組121儲存並混合欲在電填充後之模組中使用的化學品。過濾與泵抽單元137過濾中央浴123用的電鍍溶液並將其泵抽至電填充模組。最後,電子單元139提供操作系統107所需要的電與介面控制。單元139亦對系統提供電源。在操作中,包含機器手臂125的機器人自一晶圓盒如晶圓盒129A或129B選擇複數晶圓如晶圓127。機器手臂125可使用真空附接而附接至晶圓127。
為了確保晶圓127在機器手臂125上能適當對準以被精準地輸送至電填充模組,機器手臂125將晶圓127傳送至對準件131。在一較佳的實施例中,對準件131包含複數對準臂,機器手臂125將晶圓127推至複數對準臂並使晶圓127貼著複數對準臂。當晶圓127係貼著複數對準臂適當對準時,機器手臂125移動至相對於複數對準臂的一預設位置。機器手臂125接著重新附接至晶圓127並將晶圓127輸送至複數電填充模組中的一者如電填充模組109。在電填充模組109處,晶圓127受到銅金屬的電填充。電填充模組109使用來自中央浴123的電解液。
在電填充操作完成後,機器手臂125自電填充模組109移除晶圓127並將晶圓127傳送至複數電填充後之模組中的一者如模組117。在模組117處,藉由化學稀釋模組121所提供的蝕刻劑溶液自晶圓上的某些位置(即邊角區域與背側)蝕刻移除非所欲的銅。
晶圓127較佳地在電填充後之模組117內精確對準而不使用對準件131。為了達到此目的,電填充後之模組可設置如文中他處所指的對準卡盤。在替代性的實施例中,在電填充之後並在模組117中邊角移除之前,使晶圓127另行在對準件131內對準。
在電填充後之模組117中的製程完畢後,機器手臂125自模組取回晶圓127並將晶圓127送回晶圓盒129A。自該處可將晶圓盒提供予其他系統如化學機械研磨系統以進行更進一步的製程。
圖2概略地例示包含一製程室的電填充後之模組,半導體晶圓224在製程室中旋轉。晶圓224倚靠在晶圓卡盤226上,晶圓卡盤226對晶圓224施加旋轉動作。製程室裝設有排放口及相關的排放線,使提供至製程室的各種液體流得以被移除供廢物處理。
馬達控制卡盤226的旋轉。馬達應容易控制且應在各種的旋轉速度之間平滑切換。其可置於製程室內或製程室外。在某些實施例中,為了保護馬達不受液態蝕刻劑損害,馬達置於製程室外且藉由密封件與製程室分離,旋轉桿軸穿過密封件。旋轉中之桿軸的任何搖晃應小(如<0.05毫米)俾使流體噴嘴相對於晶圓的位置不會實質變化或在晶圓不受對準或夾持元件限制時不會自其中心搖動。較佳地馬達可(以能受控制的方式)快速地加速與減速旋轉速度介於0至約2000 rpm之間的卡盤226與晶圓224。馬達速度與其他操作應可藉由電腦控制。
卡盤226可具有任何適合的設計以在各種旋轉速度期間支撐晶圓224。其亦可為蝕刻製程促進晶圓224的對準。下面將說明晶圓卡盤的數種特定較佳實例。
製程室可具有任何適當的設計以將液態蝕刻劑限制於其內部並允許各種流體輸送至晶圓224。其應由抗蝕刻劑材料所建造且包含在蝕刻與清理期間所用之各種流體與氣體流用的接口與噴嘴。
EBR製程200可藉由電填充後之模組施行。製程始於機器手臂將晶圓放置到製程用的模組卡盤上。晶圓通常被放在一組支撐銷上並加以對準,此組支撐銷以摩擦力將晶圓固定即便當晶圓後續旋轉時亦同。在機器手臂縮回後,將去離子水施加至晶圓正面並以約200-400 rpm的速度旋轉晶圓以預沖洗晶圓去除先前步驟所留下來的任何粒子與污染物。接著關閉去離子水並將晶圓旋轉至上至介於約350-500 rpm的速度,產生去離子水(濕膜穩定)的一均勻薄層。此濕膜穩定能促進蝕刻劑在晶圓的前側上均勻分佈。此時,最後自晶圓邊緣撤回用以在卡盤中精準對準晶圓的任何對準銷或夾具。
在濕膜穩定後,進行邊角金屬的實際移除。通常利用在末端或靠近末端處具有噴嘴開口的薄噴嘴管將EBR蝕刻劑施加至晶圓表面。當以此方式將小量的流體分配至表面上時,可大致上產生三個流制。第一流制為邊珠,其中表面張力主宰流體行為。第二流制為黏性流,其中黏滯力主宰。第三流制為慣性流,其中慣性力主宰且流體傾向於噴霧。在一特定的實例中,一EBR分配臂被放置到晶圓邊緣上方並以下列條件進行EBR:針對200毫米的晶圓,總共約2至4毫升的蝕刻劑以約0.25至2毫升/秒(更較佳地約0.5至1毫升/秒)的流率輸送。
在液態蝕刻劑的所需量被施加至晶圓邊緣後,再次將去離子水施加至晶圓正面作為EBR後的沖洗。此去離子水之施加會大致上持續整個背側蝕刻與背側沖洗的接續操作俾以保護晶圓不受任何過度背側蝕刻劑噴灑的影響及損害。當施加去離子水時,分配臂將蝕刻劑噴嘴移離晶圓。
大致上約同時,以去離子水預沖洗晶圓背側,晶圓背側的濕膜穩定狀態幾乎等於晶圓正面的(如晶圓旋轉速度被維持在約350至500 rpm)。在去離子水流至晶圓背側完成後,以大致上EBR所用的相同蝕刻劑進行背側蝕刻(BSE)操作。在一特定的實施例中,液態蝕刻劑的薄噴射流(一開始具有0.02至0.04吋之直徑)對準晶圓背側的中心。較佳地蝕刻劑係由直徑約0.02至0.04吋且長度至少約直徑5倍的管狀噴嘴所輸送。接著此蝕刻劑被分配至晶圓的整個背側上。BSE的目的在於移除在PVD銅之晶種層形成期間形成在晶圓背側上的任何殘餘銅。
通常利用噴灑噴嘴施加BSE蝕刻劑。雖然有重力,但表面張力使蝕刻劑能大致上與晶圓底部保持接觸夠久的時間以進行BSE。由於複數卡盤臂可干涉蝕刻劑在晶圓背側的噴灑,因此可變化BSE期間噴灑噴嘴的角度以確保蝕刻劑的完整施加。由於晶圓大致上受到緊密接觸晶圓背側之複數支撐銷的上支撐,因此大致上以兩個不同的速度進行製程以確保蝕刻劑適當地流於整個表面上方。例如,在BSE的部分期間晶圓可以約350 rpm的速度旋轉,接著在BSE的剩餘期間以500-700 rpm的速度旋轉。在兩種速度處被複數臂阻擋的背側部分不同,是以確保完整覆蓋。總體來看,BSE製程通常持續1-4秒並使用1至5立方公分的下述較佳蝕刻劑以將背側上的銅濃度降低至少於每cm2 基板5·10-10 原子。
在BSE後,以去離子水沖洗晶圓的兩側(或至少晶圓的背側)以沖去自BSE殘留的任何液態蝕刻劑、粒子、及污染物。接著將施加至前側端的去離子水流及約2至4毫升的稀釋酸(大致上低於約15重量%的酸)施加至晶圓正面以移除剩餘的金屬氧化物並移除相關的變色。在一特定的實施例中,酸係以約2 cc/秒的流率施加。在酸沖洗後,再次將去離子水施加至晶圓的兩側或至少前側以自晶圓沖去酸。在一特定的實施例中,去離子水係以300-400毫升/分鐘的流率施加約15-30秒。最後若期望可旋轉晶圓並在雙側以氮氣吹乾晶圓。一般而言,任何乾燥步驟係以約750-2000 rpm的速度進行約10至60秒且當晶圓到達約750 rpm時需要夾持晶圓。夾持機制的許多實施例皆為可能的,下面將更詳細的討論某些者。在PEM中的此製程完畢後,機器手臂舉起晶圓並將其放到晶圓盒中。
再回到圖1與2,將更進一步地說明PEM的某些特徵。首先應注意,晶圓224藉由靜摩擦而倚靠在複數支撐銷305(位於複數晶圓卡盤臂301上)上。較佳地複數支撐銷305的位置離晶圓224的邊緣約5至20毫米更較佳地約5至10毫米。複數支撐銷的設計係由需要供給足夠摩擦以到達下列目的所決定:(1)若晶圓的對準稍微偏離中心(即當對準至邊角移除之規格的容裕時),使晶圓不飛離卡盤;(2)當晶圓自靜止加速(以通常50至300 rpm/秒,在特定實施例中以100 rpm/秒的速度)至EBR旋轉速度時晶圓會不滑動;及(3)不會脫落或產生粒子。然而當晶圓的旋轉速度增加時,由於小量的對準失準及相關的離子力,晶圓會到達倚靠在複數支撐銷上之靜摩擦可能無法再限制晶圓的速度。為了避免晶圓在各種速度下飛離卡盤226,可使用複數夾置凸輪307。下面將說明適合凸輪的設計。現在僅需簡單瞭解,在定義的晶圓旋轉速度下,複數夾置凸輪可旋轉進入能將晶圓224鎖在固定位的位置。
接下來應注意,分配臂303具有在製程的蝕刻步驟期間支撐分配噴嘴256並將噴嘴移動至晶圓224上方之精確控制位置的功能。分配臂的設計尤其不限。其可自晶圓上方下移、自側邊往中間、自邊緣往中間擺動、自上方向下旋轉、或上述動作的任何組合。然而,噴嘴的位置最好能再現性地精準至小於約0.5 mm(更通常小於約0.2 mm)內以使整個受到蝕刻的區域受到機械控制。任何適合的氣動致動器、螺線管、馬達控制的齒輪、或伺服控制馬達可作動分配臂。分配臂應將分配噴嘴精準地移動至晶圓邊緣並使噴嘴移動不擋道,使晶圓能被傳送進出卡盤。建構的材料應能抵抗所用的特定化學蝕刻溶液。若使用文中所揭露的較佳蝕刻劑,某些不銹鋼(如303、625、316L等)、陶瓷(Al2 O3 、氧化鋯)、鉭、及塗覆有塑膠的金屬(聚丙烯、聚乙烯、PTFE、PVDF)皆為良好的選擇,因為其能抵抗化學攻擊且具有充分的機械強度(不蠕變或流動)維持必要的嚴格機械容裕。晶圓卡盤可應用類似的設計考量。
如圖3中所示,卡盤226包含可旋轉的中心轂230,可旋轉的中心轂具有自該可旋轉的中心轂向外延伸的複數支撐臂301。複數支撐銷305係位於複數支撐臂301的複數末端上。在下面參考圖4所述的實施例中,支撐臂可包含與支撐銷305中之氣體通道流體交流的氣體管。支撐銷較佳地為為安裝於支撐臂301之末端處之金屬支撐件上的橡膠杯。
在圖3的實施例中,對準凸輪307為包含樞軸定心指309的一多件元件,樞軸定心指309可自晶圓受到定心處的一向內位置旋轉至遠離晶圓之外圍的一向外位置(未顯示)。為了避免晶圓在卡盤226的旋轉期間滑離複數支撐銷305,在某一旋轉速度下離心力使對準凸輪的一元件向外搖擺並使定心指309樞軸轉動至向內位置。在某些製程步驟期間,對準指309可造成非所欲的液體噴濺而導致晶圓的非均勻清理。
為了解決此問題,圖4中所示的卡盤226a包含其中具有複數氣體管311的複數支撐臂301a,複數支撐銷305a中包含複數氣體通道313使晶圓224在定心期間漂浮於氣體墊上並在EBR製程期間被真空夾持。複數支撐銷305a較佳地為安裝至複數金屬支撐件315上的複數橡膠杯,複數金屬支撐件315具有將複數流體管311連接至複數氣體通道313的複數孔317。
圖4實施例亦包含經修改的對準凸輪307a,其中補充支撐臂301b中包含一致動桿319,致動桿319可自中心轂230向外移動遠離以樞軸轉動對準凸輪307a。如圖4中所示,對準凸輪307a具有一上樞軸連接件321與一下樞軸連接件323。致動桿319的外端係附接至下樞軸連接件323而對準凸輪307a係附接至上樞軸連接件321。是以,當致動桿319自中心轂230移動遠離時,對準凸輪307a的上端朝向晶圓224的外圍向內移動而定心晶圓224或避免晶圓224在卡盤226的高速旋轉期間滑離複數支撐銷305a。
如圖4中所示,複數支撐臂301a中的複數氣體管311可將氣體供給至複數氣體通道313使晶圓224漂浮於複數支撐銷305a上方或將真空供給至複數氣體通道313以真空夾持晶圓224於複數支撐銷305a上。為了使晶圓漂浮於複數支撐銷305a上方,可以1 psi或更高的壓力以持續短期間如0.5至5秒較佳地1秒的脈衝方式將氣體供給至複數氣體通道313。例如,可以1至5 psi較佳地約2 psi的壓力將氮氣供給至複數氣體通道以在晶圓定心期間使晶圓漂浮。
在一較佳的實施例中,卡盤226a包含六個支撐臂301a,每一支撐臂具有與複數支撐銷305a中之複數氣體通道313中之一對應氣體通道313流體交流的一氣體輸送管311。複數支撐臂301b包含複數對準凸輪307a,如圖4中所示,複數對準凸輪307a可自遠離晶圓224之外圍的一外非對準位置旋轉至晶圓224受到定心處的一內對準位置。為了將氣體供給至或將真空力施加至複數支撐銷305a中的複數氣體出口,可旋轉的中心轂230a可具有一氣體入口232及與複數支撐臂301a中之複數氣體輸送管311流體交流的複數氣體輸送接口234。氣體可藉由連接至氣體入口232的加壓氣體源236而供給至複數氣體出口,吸力可藉由連接至氣體入口的真空源238而供給至複數氣體出口。
如上所述,複數對準凸輪307a可包含複數上樞軸連接件321及複數下樞軸連接件323,其中複數可旋轉之凸輪307a可藉由附接至複數下樞軸連接件323的複數致動桿319而繞著複數上樞軸連接件旋轉。在一配置中,卡盤226a包含位於60°、120°、180°、240°、300°、及360°徑向位置處的六個支撐臂,位於徑向位置60°、180°、及300°處的支撐臂包含對準凸輪307a而位於徑向位置120°、240°、及360°處的支撐臂不包含對準凸輪307a。包含對準凸輪307a的複數支撐臂可包含其中具有複數氣體輸送管311的複數上臂301a及其中具有複數致動桿319的複數下臂301b,複數致動桿319係附接至複數對準凸輪307a俾以在複數致動桿319之複數末端移動遠離中心轂230a時使複數對準凸輪307a的複數上端朝向晶圓224的外圍旋轉並在複數致動桿319之複數末端朝向中心轂230a移動時使複數對準凸輪307a的複數上端旋轉遠離該晶圓224的外圍。在定心晶圓期間,機器人將晶圓放置到複數支撐銷305a上,當晶圓224係受到複數支撐銷305a支撐時,以足以使晶圓漂浮在複數支撐銷305a上的壓力將氣體供給至複數氣體通道313,複數對準凸輪307a自一外位置旋轉至一或多個對準凸輪307a接觸晶圓之外圍的一內位置並將晶圓移動至晶圓中心對準卡盤226a之中心軸的一位置。
典型的鑲嵌製程始於在先前所形成之可能已被蝕刻出溝槽與通孔的介電層中形成線路徑。複數線定義出半導體晶圓上欲填充導電材料之各種裝置之間的導電路徑。製程接著沉積薄擴散阻障層以避免導電材料擴散進入介電層中。擴散阻障層的適合材料包含鉭、氮化鉭、鎢、鈦、及鈦鎢。在一典型的的實施例中,阻障層係由PVD製程如濺射所形成。下一操作涉及沉積導電晶種層以為電填充操作期間的電流路徑提供均勻的導電表面。此操作可使用PVD方法。接著在晶種層上方以較厚的銅電填充晶圓。電填充持續直到線路徑完全填充至介電層的上表面。
一般期望能將儘可能多的晶圓表面用於主動電路。雖然在電鍍期間大致上可能提供某些遮蔽,但對於PVD而言類似的遮蔽並非如此直覺。因此,在PVD晶種層形成期間,銅會被沉積在某些非所欲的區域如邊角區域。在電填充期間厚銅沉積可能會導致更高的電流聚集在此區域,將甚至更多的金屬添加至該非所欲的區域而在晶圓邊緣上形成類邊角形狀。此邊角在後續的CMP期間可能會輕易地破損離開並損傷晶圓表面上的裝置。因此,必須以EBR及/或背側蝕刻(BSE)製程完成邊角移除。
在EBR製程中,將蝕刻劑之薄流施加至晶圓的前邊緣。在某些實施例中,在黏性流的條件下施加蝕刻劑以在預沖洗液體之薄化層上方維持薄的蝕刻劑層。通常以對應至流率與噴嘴位向的某些徑向速度施加蝕刻劑。此外,藉由因晶圓旋轉所產生的離心力迫使蝕刻劑於晶圓邊緣。此兩種力量的組合加上重力與表面張力能使蝕刻劑向外流動、在邊緣側向下流動並流至背側上的數毫米處,完成所有此些三個區域的非所欲金屬的移除。在EBR後,大致上藉由CMP將電鍍銅向下平坦化至介電材料以為後續製程(大致上為添加接續的介電材料與金屬化層)作準備。
EBR製程細節
晶圓開始以如約150-400 rpm的速度旋轉,將去離子水施加至晶圓的前側。晶圓旋轉具有能將去離子水均勻施加分佈於晶圓表面上並自晶圓表面移除過多水的功能。此預沖洗移除自先前製程步驟殘留的粒子與污染物。又,預沖洗能潤濕在先前製程步驟後可能仍是乾燥的晶圓前側。在一實施例中,預沖洗操作僅使用去離子水而不使用酸。取決於沖洗水溫度、電鍍化學品、去離子水流率、及晶圓的旋轉速度,預沖洗操作以200-800 ml/分鐘的流率進行介於1至5秒之間的任何時間。有時期望使用熱沖洗水以加速預沖洗效率。因此,取決於操作經濟可使用20至50°C的去離子水。
常期望能在晶圓表面上產生一均勻水膜。使用在電鍍期間排除晶圓邊緣的「殼式」或其他晶圓夾持設備通常會導致晶圓邊緣部分乾燥但其他部分卻濕潤。若蝕刻劑係分佈在不均勻潤濕的邊緣上方可能會導致蝕刻製程無效或甚至損害晶圓。
在施加蝕刻劑之區域中期望可具有均勻但薄的水層。較薄得膜層使蝕刻劑更快速地擴散至金屬且在金屬之經蝕刻的邊緣處提供較小的斜邊寬度。為了產生較薄的薄膜,在預沖洗操作之後關閉去離子水並在一相對較短的期間(例如在某些實施例中約1至5秒)內實質上增加晶圓旋轉速度(如在某些實施例中增加至約400-1300 rpm)以使濕膜薄化。在一特定的實施例中,晶圓係以約600-1200 rpm的速度旋轉約1.5-3秒。此些參數可取決於晶圓尺寸、可以各種界面活性劑修改之預沖洗液的表面張力、及其他因素。較高的旋轉速度使預沖洗液的膜層經歷較大的離心力。此力係自晶圓中心向外導向,因此能自晶圓移除某些預沖洗液。又,較高的離心力可提供膜層的較佳均勻度。又,濕膜薄化操作的較高的旋轉速度能促進預沖洗液自晶圓表面蒸發而更進一步薄化剩餘液體的膜層。然而,旋轉速度不應超過薄水層失去其均勻度(即分開)的程度,否則晶圓會失去其對準。
濕膜薄化操作可包含將稀釋液體輸送至晶圓的邊緣區域或整個表面。稀釋液體可減少表面張力並增加所得溶液的蒸氣壓。經降低的表面張力可改變邊角區處膜層的接觸角而導致較小的液珠。同時,較高的蒸氣壓能增加蒸發。例如,可經由噴嘴以類似於在EBR操作期間輸送蝕刻劑的方式將高蒸氣壓有機溶劑如異丙醇(IPA)輸送至預沖洗液體的上部上。
亦可預先加熱稀釋流體並與其他液體或載氣一起施加以更進一步加熱邊緣液體並薄化液層,更進一步地降低表面張力與黏度。緊接著在預沖洗操作之後及加速晶圓之前可輸送稀釋流體。在另一實施例中,可在加速晶圓的期間或之後施加稀釋流體。
濕膜薄化操作可包含使用碰撞流動氣體以助於自外圍物理移除過多的流體。在此類操作中,流過晶圓外圍附近之噴嘴的定向氣體噴射流在液體床上施加動量及新增的力,迫使被夾帶的流體向外遠離邊角並使其能快速地薄化。
在一通常需要較低速度及氣體流率的替代性方案中,邊緣液珠薄化技術將吹過噴嘴的一液體表面張力縮減氣體流(通常為氣體、蒸氣、或氣溶膠形式的有機化合物)施加至液體邊珠層的表面上。表面張力縮減流的分子被吸附至晶圓表面上的液體層中。藉著使一可溶的表面張力縮減吸附物通過空氣-液體的界面上方可降低黏著至晶圓之液體的空氣-液體界面張力,藉此改變離子力與表面張力之間的平衡並薄化邊珠。適合的表面張力縮減化學品傾向於揮發性、可溶於水、且具有空間分離的極性與非極性分子團,因此類似於大部分的界面活性劑,其可使非極性基團對準表面並使極性基團對準流體的內部區域,藉此減少表面能與力。異丙醇(IPA)為以蒸氣或氣溶膠形式使用以達到此液層薄化結果的一典型實例。其他實例包含具有親水與斥水分子基團的其他醇類(乙醇、丁醇)、胺類(乙胺與丙胺)、酮類(MEK)、及醛類(乙醛)。從不同的角度來看,可選擇薄化液體大幅增加預沖洗液的蒸氣壓。在一實施例中,可使用25-120 kHz的超音波振盪操作以產生IPA氣溶膠並協助氣體快速地擴散進入邊緣珠流。通常而言,取決於噴嘴的配置與其他製程參數,IPA係以在載氣(如氮氣)中2至30%莫耳分量約1 ml/分鐘至100 ml/分鐘的流率饋送。亦可使用其他蒸氣與氣溶膠,液珠之物理(流動氣體的力量)與化學(較小表面張力)移除的組合亦為可能。
膜薄化操作產生大致上均勻的薄水性層。接著如在EBR操作所述將蝕刻劑輸送至晶圓邊緣區域中的此水性層的上部上並使蝕刻劑擴散通過該水性層而接觸金屬。較薄的預沖洗層提供較快的蝕刻劑擴散及較少的稀釋。又,蝕刻劑係局部分佈在邊緣區域而非朝向晶圓中心擴散通過水性層而造成斜邊寬度的增加。
製程持續而進行邊角移除(EBR)操作。在某些實施例中,200 mm的晶圓係以約150-400 rpm更較佳地約200至250 rpm的速度旋轉,300 mm的晶圓係以約175至225 rpm的速度旋轉。此旋轉速度能確保整個邊緣區域皆覆以EBR蝕刻劑。在濕膜薄化操作期間的晶圓的加速度及在EBR操作期間的減速度可以能確保晶圓在卡盤中持續對準的速率進行。在某些實施例中,當使用典型的塑膠支撐銷(如PPS或PVDF)時,旋轉速率不超過約150 rpm/秒。只要支撐銷不碎裂或產生粒子,可使用具有較大摩擦係數的支撐銷。
通常利用在末端或靠近末端處具有噴嘴開口的薄管將EBR蝕刻劑與邊珠液表面張力減少流施加至晶圓表面。當以此方式將小量的蝕刻劑分配至表面上時,可大致上產生三個流制,三個流制中的任一者可以是適合的。第一流制為邊珠,其中表面張力主宰流體行為。第二流制為黏性流,其中黏滯力主宰。第三流制為慣性流,其中慣性力主宰且流體傾向於噴霧。可在下列條件下進行EBR操作:總共約2至14毫升的蝕刻劑以約0.25至2毫升/秒(更較佳地約0.3至0.5毫升/秒)的流率輸送。輸送量取決於欲移除的薄膜厚度、晶圓尺寸、化學蝕刻劑的濃度、旋轉速度、及蝕刻劑溫度。
蝕刻劑可在數個階段中輸送。例如,蝕刻劑可在兩階段中輸送:高流率階段之後較低流率階段。在高流率階段期間蝕刻劑可以約0.25-0.35 ml/秒的流率輸送約1-5秒之後在低流率階段中以約0.10-0.20 ml/秒的流率輸送約10-30秒。高流率階段能幫助EBR蝕刻劑克服預沖洗薄膜的表面張力阻抗並快速地擴散通過膜層。在此階段處,由於薄膜原本無蝕刻劑因此能促進蝕刻劑在薄膜內擴散。然而,此階段的持續期間不應超過蝕刻劑飽和薄膜所需的時間。接著低流率階段供給大量之EBR用的蝕刻劑。低流率應小到足以避免蝕刻劑過度擴散進入晶圓的主動部分,這可導致較寬的斜邊。此階段的流率與持續時間可取決於晶圓直徑(邊角的長度)、邊角的厚度、預沖洗薄膜的厚度、及其他因素。過量的蝕刻劑可導致較寬的斜邊寬度。在針對300-mm晶圓上之上至約0.75微米厚之邊角最佳化的特定實施例中,在約15-20秒內輸送約2-4 ml的蝕刻劑。
蝕刻劑可包含酸及氧化劑。可用之酸的實例包含硫酸、氫鹵酸、鉻酸、及硝酸。在一實施例中,銅EBR用的蝕刻劑可為H2 SO4 (硫酸)與H2 O2 (過氧化氫)在水中的溶液。在一特定的實施例中,蝕刻劑包含介於約15重量%至25重量%之間的H2 SO4 及介於約20重量%至35重量%之間的H2 O2 。預沖洗液的較薄膜層可使蝕刻劑中有較高的酸濃度。可使用其他氧化劑如過硫酸鹽S2 O8 -2 及濃的HNO3 (約30%在水中)。可使用傾向於與解離金屬形成錯合物的中性及鹼性蝕刻劑如甘氨酸或乙二胺及pH值約9的過氧化氫。一般而言,液態蝕刻劑應具有與蝕刻系統相匹配的物理特性如表面張力、接觸角、及黏度。
在液態蝕刻劑的所需量被施加至晶圓邊緣後,可將去離子水施加至晶圓正面作為EBR後的沖洗。可將去離子水施加至整個晶圓而非僅施加至晶圓邊緣。此去離子水之施加會大致上持續整個背側蝕刻與背側沖洗的接續操作俾以保護晶圓不受任何過度背側蝕刻劑噴灑的影響及損害。當施加去離子水時,分配臂將蝕刻劑噴嘴移離晶圓。
雖然為了清楚瞭解的目的已稍微詳細地說明前述發明,但應瞭解,可在隨附申請專利範圍的範疇內進行某些變化與修改。應注意,有許多替代方式可實施本發明。因此,文中的實施例應被解釋為說明性而非限制性的且本發明不限於文中所列舉的細節。文中所列舉的所有文獻皆包含於此作為所有目的的參考。
107‧‧‧電填充系統 109‧‧‧電填充模組 111‧‧‧電填充模組 113‧‧‧電填充模組 115‧‧‧電填充後之模組 117‧‧‧電填充後之模組 119‧‧‧電填充後之模組 121‧‧‧化學稀釋模組 123‧‧‧中央電填充浴 125‧‧‧機器手臂 127‧‧‧晶圓 129A‧‧‧晶圓盒 129B‧‧‧晶圓盒 131‧‧‧對準件 133‧‧‧給劑系統 137‧‧‧過濾與泵抽單元 139‧‧‧電子單元 200EBR‧‧‧製程 224‧‧‧晶圓 226‧‧‧晶圓卡盤 226a‧‧‧晶圓卡盤 230‧‧‧中心轂 230a‧‧‧中心轂 232‧‧‧氣體入口 234‧‧‧氣體輸送接口 236‧‧‧加壓氣體源 238‧‧‧真空源 256‧‧‧分配噴嘴 301‧‧‧卡盤臂/支撐臂 301a‧‧‧支撐臂 301b‧‧‧補充支撐臂 303‧‧‧分配臂 305‧‧‧支撐銷 305a‧‧‧支撐銷 307‧‧‧凸輪 307a‧‧‧凸輪 309‧‧‧樞軸定心指/對準指 311‧‧‧氣體管 313‧‧‧氣體通道 315‧‧‧金屬支撐件 317‧‧‧孔 319‧‧‧致動桿 321‧‧‧上樞軸連接件 323‧‧‧下樞軸連接件
圖1之方塊圖例示用以在鑲嵌製程中形成銅線之一群模組。
圖2為邊角移除(EBR)元件的透視圖,邊角移除(EBR)元件可用在電填充後之模組。
圖3顯示EBR用之卡盤之複數支撐臂、複數支撐銷、及複數對準凸輪的細節。
圖4顯示卡盤之一支撐臂的細節,其中在定心期間可使用氣體漂浮晶圓且在EBR期間可將真空施加至晶圓。
301‧‧‧卡盤臂/支撐臂
303‧‧‧分配臂
305‧‧‧支撐銷
307‧‧‧凸輪
224‧‧‧晶圓
226‧‧‧晶圓卡盤
256‧‧‧分配噴嘴

Claims (20)

  1. 一種定心半導體晶圓的方法,其包含:(a)將一晶圓傳輸至一可旋轉之卡盤上,該可旋轉之卡盤具有至少三個支撐臂及該些支撐臂之外部處的複數支撐銷;(b)將該晶圓下降至該複數支撐銷上;(c)將加壓氣體供給至該複數支撐銷內的複數氣體通道,該複數支撐銷在該複數支撐銷之一上表面中具有複數氣體出口,俾使該晶圓漂浮在流出該複數支撐銷之該上表面中之該複數氣體出口之氣體所形成的複數氣體墊上;(d)當該晶圓漂浮在該複數氣體墊時藉著使該晶圓移動橫跨該複數支撐銷而定心該晶圓;及(e)供給真空至該複數支撐銷內的該複數氣體通道,俾使該晶圓被真空夾持至各支撐銷的上表面,進而在一晶圓處理製程期間使相同之該複數支撐銷上之相同晶圓的至少一晶圓漂浮及真空夾持循環得以進行。
  2. 如申請專利範圍第1項之定心半導體晶圓的方法,更包含:(f)旋轉該晶圓;(g)利用包含去離子水的一預沖洗液體預沖洗該晶圓;(h)藉著增加該晶圓之一旋轉速度而薄化該預沖洗液體的一膜層;及(i)將液態蝕刻劑之液流輸送至靠近該晶圓之一邊角區之該預沖洗液體之該經薄化的膜層中,俾使該液態蝕刻劑擴散通過該預沖洗液體之該經薄化的膜層並實質上選擇性地自該邊角區移除非所欲的金屬。
  3. 如申請專利範圍第1項之定心半導體晶圓的方法,其中該卡盤包含六個支撐臂,每一該支撐臂具有與該複數支撐銷中之該複數氣體通道中之一對應氣體通道流體交流的一氣體輸送管,其中在(c)期間氣體流出該六個支撐銷之該複數上表面中之該複數氣體出口。
  4. 如申請專利範圍第1項之定心半導體晶圓的方法,其中該卡盤包含六個支撐臂,每一該支撐臂具有與該複數支撐銷中之該複數氣體通道中之一對 應氣體通道流體交流的一氣體輸送管,其中在(e)期間真空被供給至該六個氣體通道的每一者。
  5. 如申請專利範圍第1項之定心半導體晶圓的方法,其中該氣體係以至少一psi的壓力輸送至該複數氣體通道。
  6. 如申請專利範圍第1項之定心半導體晶圓的方法,其中該等支撐臂包含複數可旋轉的對準凸輪,其中在(d)期間該複數對準凸輪自遠離該晶圓之一外圍的一外位置旋轉至晶圓受到定心處的一內位置,且當該複數對準凸輪接近該晶圓之該外圍時氣體係以脈衝方式被供給至該複數氣體通道。
  7. 如申請專利範圍第1項之定心半導體晶圓的方法,其中該等支撐臂自一可旋轉的中心轂向外延伸,該可旋轉的中心轂具有一氣體入口及與該等支撐臂中之複數氣體輸送管流體交流的複數氣體輸送接口,其中在(c)期間氣體被供給至該氣體入口並流出該複數氣體輸送接口而流至該複數支撐銷中的該複數氣體通道。
  8. 如申請專利範圍第7項之定心半導體晶圓的方法,其中在(e)中將一真空力供給至該氣體入口並藉由該複數支撐銷將吸力施加至該晶圓之下側上的複數位置。
  9. 如申請專利範圍第6項之定心半導體晶圓的方法,其中該複數對準凸輪包含複數上樞軸連接件與複數下樞軸連接件,其中在(d)期間該複數對準凸輪係藉由附接至該複數下樞軸連接件的複數桿而繞著該複數上樞軸連接件旋轉。
  10. 如申請專利範圍第2項之定心半導體晶圓的方法,其中複數對準凸輪係以樞軸方式附接至該等支撐臂俾使其可在複數對準位置與複數非對準位置之間移動,在該複數對準位置之處該複數對準凸輪的複數上部將該晶圓定心,而在該複數非對準位置之處該複數對準凸輪的該複數上部係位於該晶圓下 方,該方法更包含:(j)將該複數對準凸輪旋轉至該複數對準位置;及(k)在施加真空至該複數氣體通道俾以將該晶圓真空夾持至該複數支撐銷時,以至少750rpm的一乾燥速度旋轉該晶圓以乾燥該晶圓。
  11. 一種在邊角移除(EBR)製程期間支撐晶圓用的卡盤,包含:一可旋轉的中心轂,其具有自該可旋轉的中心轂向外延伸的複數支撐臂;複數支撐銷,位於該複數支撐臂的複數末端上;複數氣體通道,延伸通過該複數支撐銷的複數上表面;及該複數支撐臂中的複數氣體輸送管,該複數氣體輸送管係用以將加壓氣體供給至該複數氣體通道,俾使該晶圓漂浮在流出該複數支撐銷之該複數上表面中之複數氣體出口之氣體所形成的複數氣體墊上,或該複數氣體輸送管用以將真空供給至該複數氣體通道,其中該複數支撐臂的至少一些者包含複數對準凸輪,該複數對準凸輪可自遠離該晶圓之一外圍的一外非對準位置旋轉至該晶圓受到定心處的一內對準位置,包含該複數對準凸輪的該複數支撐臂包含其中具有該複數氣體輸送管的複數上臂及其中具有複數致動桿的複數下臂,該複數致動桿係附接至該複數對準凸輪。
  12. 如申請專利範圍第11項之在邊角移除(EBR)製程期間支撐晶圓用的卡盤,其中該卡盤包含六個支撐臂,每一該支撐臂具有與該複數支撐銷中之該複數氣體通道中之一對應氣體通道流體交流的一氣體輸送管。
  13. 如申請專利範圍第11項之在邊角移除(EBR)製程期間支撐晶圓用的卡盤,其中該複數支撐銷為安裝於該複數支撐臂之複數末端處所設置之複數金屬支撐件上的複數橡膠杯。
  14. 如申請專利範圍第13項之在邊角移除(EBR)製程期間支撐晶圓用的卡盤,其中該複數金屬支撐件中具有複數垂直延伸的孔以將該複數支撐臂中的該複數氣體輸送管連接至該複數支撐銷中的該複數氣體通道。
  15. 如申請專利範圍第11項之在邊角移除(EBR)製程期間支撐晶圓用的卡盤,其中包含複數對準凸輪的該複數支撐臂藉由該複數致動桿從該可旋轉的中心轂朝外的移動,而可自遠離該晶圓之該外圍的該外非對準位置旋轉至該晶圓受到定心處的該內對準位置。
  16. 如申請專利範圍第11項之在邊角移除(EBR)製程期間支撐晶圓用的卡盤,其中該可旋轉的中心轂具有一氣體入口及與該複數支撐臂中之該複數氣體輸送管流體交流的複數氣體輸送接口。
  17. 如申請專利範圍第16項之在邊角移除(EBR)製程期間支撐晶圓用的卡盤,更包含連接至該氣體入口的一加壓氣體源及連接至該氣體入口的一真空源。
  18. 如申請專利範圍第11項之在邊角移除(EBR)製程期間支撐晶圓用的卡盤,其中該複數對準凸輪包含複數上樞軸連接件及複數下樞軸連接件,其中該複數對準凸輪藉由附接至該複數下樞軸連接件的該複數致動桿而繞著該複數上樞軸連接件旋轉。
  19. 如申請專利範圍第18項之在邊角移除(EBR)製程期間支撐晶圓用的卡盤,其中該卡盤包含位於60°、120°、180°、240°、300°、及360°之徑向位置處的六個該支撐臂,位於60°、180°、及300°處的該支撐臂包含該對準凸輪而位於120°、240°、及360°的該支撐臂不包含該對準凸輪。
  20. 如申請專利範圍第11項之在邊角移除(EBR)製程期間支撐晶圓用的卡盤,其中該複數致動桿係附接至該複數對準凸輪,俾以在該複數致動桿之複數末端自該可旋轉的中心轂向外移動時使該複數對準凸輪朝向該晶圓的外圍旋轉,並在該複數致動桿之該複數末端朝向該可旋轉的中心轂移動時使該複數對準凸輪旋轉遠離該晶圓的該外圍。
TW106110459A 2016-04-06 2017-03-29 用於邊角移除之卡盤及用於邊角移除前之晶圓定心方法 TWI757276B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/092,222 US10373858B2 (en) 2016-04-06 2016-04-06 Chuck for edge bevel removal and method for centering a wafer prior to edge bevel removal
US15/092,222 2016-04-06

Publications (2)

Publication Number Publication Date
TW201803009A TW201803009A (zh) 2018-01-16
TWI757276B true TWI757276B (zh) 2022-03-11

Family

ID=59998229

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106110459A TWI757276B (zh) 2016-04-06 2017-03-29 用於邊角移除之卡盤及用於邊角移除前之晶圓定心方法

Country Status (4)

Country Link
US (2) US10373858B2 (zh)
KR (2) KR102364504B1 (zh)
CN (1) CN107342245B (zh)
TW (1) TWI757276B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101650398B1 (ko) * 2015-09-11 2016-08-24 (주)코맷 계측기용 웨이퍼 센터링 디바이스
US10373858B2 (en) 2016-04-06 2019-08-06 Lam Research Corporation Chuck for edge bevel removal and method for centering a wafer prior to edge bevel removal
US11244841B2 (en) 2017-12-01 2022-02-08 Elemental Scientific, Inc. Systems for integrated decomposition and scanning of a semiconducting wafer
US10811299B2 (en) 2018-05-04 2020-10-20 Lam Research Corporation Wafer chuck assembly
KR102162187B1 (ko) 2018-08-31 2020-10-07 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
CN112309825A (zh) * 2019-07-24 2021-02-02 南亚科技股份有限公司 传送晶圆的机械手臂及晶圆清洗装置
CN112018019B (zh) * 2020-08-11 2023-04-28 四川旭茂微科技有限公司 一种跳线吸盘及跳线吸取机构
KR20230090848A (ko) * 2021-12-15 2023-06-22 삼성전자주식회사 기판 건조 장치 및 그 방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020106445A1 (en) * 2001-02-02 2002-08-08 Matsushita Electric Industrial Co., Ltd. Chemical liquid coating device and chemical liquid coating method
US20030168174A1 (en) * 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US20050110291A1 (en) * 2003-07-11 2005-05-26 Nexx Systems Packaging, Llc Ultra-thin wafer handling system
US7284760B2 (en) * 2003-08-07 2007-10-23 Nanophotonics Ag Holding device for disk-shaped objects
US20100219920A1 (en) * 2009-02-27 2010-09-02 Novellus Systems, Inc. Magnetically actuated chuck for edge bevel removal
US20160049326A1 (en) * 2014-08-14 2016-02-18 Disco Corporation Transfer unit

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3523706A (en) 1967-10-27 1970-08-11 Ibm Apparatus for supporting articles without structural contact and for positioning the supported articles
US3717381A (en) 1969-07-25 1973-02-20 Texas Instruments Inc Transporting and positioning system
US3976330A (en) 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4024944A (en) 1975-12-24 1977-05-24 Texas Instruments Incorporated Semiconductor slice prealignment system
US4219110A (en) 1977-09-08 1980-08-26 Hirohiko Ubukata Wafer probe apparatus with pneumatic wafer orienting mechanism
US4242038A (en) 1979-06-29 1980-12-30 International Business Machines Corporation Wafer orienting apparatus
DE59406900D1 (de) 1993-02-08 1998-10-22 Sez Semiconduct Equip Zubehoer Träger für scheibenförmige Gegenstände
US6099056A (en) 1996-05-31 2000-08-08 Ipec Precision, Inc. Non-contact holder for wafer-like articles
US6156167A (en) 1997-11-13 2000-12-05 Novellus Systems, Inc. Clamshell apparatus for electrochemically treating semiconductor wafers
US6126382A (en) * 1997-11-26 2000-10-03 Novellus Systems, Inc. Apparatus for aligning substrate to chuck in processing chamber
DE19755694C2 (de) 1997-12-16 2000-05-31 Sez Semiconduct Equip Zubehoer Handhabungsvorrichtung für dünne, scheibenförmige Gegenstände
WO1999041022A1 (en) 1998-02-14 1999-08-19 Strasbaugh Accurate positioning of a wafer
US6109677A (en) 1998-05-28 2000-08-29 Sez North America, Inc. Apparatus for handling and transporting plate like substrates
US5967578A (en) 1998-05-29 1999-10-19 Sez North America, Inc. Tool for the contact-free support of plate-like substrates
US6217034B1 (en) 1998-09-24 2001-04-17 Kla-Tencor Corporation Edge handling wafer chuck
US6405101B1 (en) 1998-11-17 2002-06-11 Novellus Systems, Inc. Wafer centering system and method
US6167893B1 (en) 1999-02-09 2001-01-02 Novellus Systems, Inc. Dynamic chuck for semiconductor wafer or other substrate
US6537416B1 (en) 1999-10-01 2003-03-25 Novellus Systems, Inc. Wafer chuck for use in edge bevel removal of copper from silicon wafers
US7780867B1 (en) 1999-10-01 2010-08-24 Novellus Systems, Inc. Edge bevel removal of copper from silicon wafers
US6309981B1 (en) 1999-10-01 2001-10-30 Novellus Systems, Inc. Edge bevel removal of copper from silicon wafers
DE19948572A1 (de) 1999-10-08 2001-04-19 Infineon Technologies Ag Vorrichtung zum Handling von Halbleiterscheiben
US6402843B1 (en) 1999-12-07 2002-06-11 Trusi Technologies, Llc Non-contact workpiece holder
US6363623B1 (en) 2000-06-02 2002-04-02 Speedfam-Ipec Corporation Apparatus and method for spinning a work piece
US6827092B1 (en) 2000-12-22 2004-12-07 Lam Research Corporation Wafer backside plate for use in a spin, rinse, and dry module and methods for making and implementing the same
US6578853B1 (en) 2000-12-22 2003-06-17 Lam Research Corporation Chuck assembly for use in a spin, rinse, and dry module and methods for making and implementing the same
US6558964B2 (en) 2000-12-27 2003-05-06 Lam Research Corporation Method and apparatus for monitoring a semiconductor wafer during a spin drying operation
US6601888B2 (en) 2001-03-19 2003-08-05 Creo Inc. Contactless handling of objects
US6743296B2 (en) 2001-10-12 2004-06-01 Taiwan Semiconductor Manufacturing Co., Ltd Apparatus and method for self-centering a wafer in a sputter chamber
JP3956350B2 (ja) * 2002-03-25 2007-08-08 東京エレクトロン株式会社 位置決め機能を有する基板処理装置及び位置決め機能を有する基板処理方法
US6932558B2 (en) 2002-07-03 2005-08-23 Kung Chris Wu Wafer aligner
US7972970B2 (en) 2003-10-20 2011-07-05 Novellus Systems, Inc. Fabrication of semiconductor interconnect structure
US8530359B2 (en) 2003-10-20 2013-09-10 Novellus Systems, Inc. Modulated metal removal using localized wet etching
US7611322B2 (en) 2004-11-18 2009-11-03 Intevac, Inc. Processing thin wafers
JP2006173462A (ja) * 2004-12-17 2006-06-29 Disco Abrasive Syst Ltd ウェーハの加工装置
KR100829923B1 (ko) 2006-08-30 2008-05-16 세메스 주식회사 스핀헤드 및 이를 이용하는 기판처리방법
US8580078B2 (en) * 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
JP2008270474A (ja) * 2007-04-19 2008-11-06 Yaskawa Electric Corp プリアライナー装置、それを備えた搬送システム及び半導体製造装置
US8057601B2 (en) 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US8277165B2 (en) 2007-09-22 2012-10-02 Dynamic Micro System Semiconductor Equipment GmbH Transfer mechanism with multiple wafer handling capability
US8419964B2 (en) 2008-08-27 2013-04-16 Novellus Systems, Inc. Apparatus and method for edge bevel removal of copper from silicon wafers
JP5439583B2 (ja) 2009-04-16 2014-03-12 スス マイクロテク リソグラフィー,ゲーエムベーハー 一時的なウェハーボンディング及びデボンディングのための改善された装置
US9637822B2 (en) * 2009-10-09 2017-05-02 Cree, Inc. Multi-rotation epitaxial growth apparatus and reactors incorporating same
JP5810517B2 (ja) 2010-12-02 2015-11-11 富士電機株式会社 吸着装置および吸着方法
CN202220200U (zh) * 2011-07-04 2012-05-16 中微半导体设备(上海)有限公司 一种用于化学气相沉积工艺的反应器
US9117856B2 (en) 2011-07-06 2015-08-25 Tel Nexx, Inc. Substrate loader and unloader having an air bearing support
US9653338B2 (en) 2013-12-23 2017-05-16 Kla-Tencor Corporation System and method for non-contact wafer chucking
US10373858B2 (en) 2016-04-06 2019-08-06 Lam Research Corporation Chuck for edge bevel removal and method for centering a wafer prior to edge bevel removal

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020106445A1 (en) * 2001-02-02 2002-08-08 Matsushita Electric Industrial Co., Ltd. Chemical liquid coating device and chemical liquid coating method
US20030168174A1 (en) * 2002-03-08 2003-09-11 Foree Michael Todd Gas cushion susceptor system
US20050110291A1 (en) * 2003-07-11 2005-05-26 Nexx Systems Packaging, Llc Ultra-thin wafer handling system
US7284760B2 (en) * 2003-08-07 2007-10-23 Nanophotonics Ag Holding device for disk-shaped objects
US20100219920A1 (en) * 2009-02-27 2010-09-02 Novellus Systems, Inc. Magnetically actuated chuck for edge bevel removal
US20160049326A1 (en) * 2014-08-14 2016-02-18 Disco Corporation Transfer unit

Also Published As

Publication number Publication date
US20170294332A1 (en) 2017-10-12
CN107342245A (zh) 2017-11-10
CN107342245B (zh) 2023-08-08
US20190341291A1 (en) 2019-11-07
TW201803009A (zh) 2018-01-16
US10971388B2 (en) 2021-04-06
KR102477342B1 (ko) 2022-12-13
US10373858B2 (en) 2019-08-06
KR20170114935A (ko) 2017-10-16
KR102364504B1 (ko) 2022-02-16
KR20220024371A (ko) 2022-03-03

Similar Documents

Publication Publication Date Title
TWI757276B (zh) 用於邊角移除之卡盤及用於邊角移除前之晶圓定心方法
US9685353B2 (en) Apparatus and method for edge bevel removal of copper from silicon wafers
JP5013685B2 (ja) 基板処理においてメニスカスを用いるための装置および方法
US6309981B1 (en) Edge bevel removal of copper from silicon wafers
US6967174B1 (en) Wafer chuck for use in edge bevel removal of copper from silicon wafers
US6586342B1 (en) Edge bevel removal of copper from silicon wafers
KR100717445B1 (ko) 에지 비드 제거/회전형 세척 건조(ebr/srd)모듈
JP4758694B2 (ja) 近接型プロキシミティプロセスヘッド
JP4676230B2 (ja) 基板処理装置及び基板処理方法
KR101690325B1 (ko) 에지 베벨 제거용 자기 작동식 척
KR102239421B1 (ko) 기판 처리 방법 및 기판 처리 장치
KR20170077052A (ko) 기판 처리 장치 및 기판 처리 방법
JP2006501360A (ja) 無電界メッキシステム
KR20140023212A (ko) 기판 처리 장치 및 기판 처리 방법
US20070246079A1 (en) Multi zone shower head for cleaning and drying wafer and method of cleaning and drying wafer
US10563298B1 (en) Wafer chuck with aerodynamic design for turbulence reduction
US8011116B2 (en) Substrate proximity drying using in-situ local heating of substrate
US20020048953A1 (en) Chemical mixture for copper removal in electroplating systems
TWI789706B (zh) 後電填充模組及用於後電填充模組的校準方法
TWI833899B (zh) 基板處理裝置及基板處理方法
CN114959843A (zh) 后电填充模块及用于后电填充模块的校准方法
JP2005260087A (ja) 基板処理装置および基板処理方法