TWI749275B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI749275B
TWI749275B TW107141533A TW107141533A TWI749275B TW I749275 B TWI749275 B TW I749275B TW 107141533 A TW107141533 A TW 107141533A TW 107141533 A TW107141533 A TW 107141533A TW I749275 B TWI749275 B TW I749275B
Authority
TW
Taiwan
Prior art keywords
layer
metal alloy
alloy layer
epitaxial structure
epitaxial
Prior art date
Application number
TW107141533A
Other languages
English (en)
Other versions
TW201926708A (zh
Inventor
王菘豊
蔡邦彥
奧野泰利
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201926708A publication Critical patent/TW201926708A/zh
Application granted granted Critical
Publication of TWI749275B publication Critical patent/TWI749275B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/456Ohmic electrodes on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • H01L29/7854Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection with rounded corners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate

Abstract

一種半導體裝置包含第一及第二磊晶結構、第一及第二頂部金屬合金層、及第一及第二底部金屬合金層。第一及第二磊晶結構具有不同的剖面。第一及第二頂部金屬合金層分別與第一及第二磊晶結構接觸。第一及第二底部金屬合金層分別與第一及第二磊晶結構接觸且分別在第一及第二頂部金屬合金層下方。第一頂部金屬合金層及第一底部金屬合金層是由不同的材料製成。

Description

半導體裝置及其製造方法
本揭露是有關於一種半導體裝置以及一種半導體裝置的製造方法。
電晶體包含用於形成源區域及汲極區域的半導體區域。由於在金屬接觸插塞與半導體區域之間的接觸電阻是高的,因此金屬矽化物在半導體區域(例如矽區域、鍺區域、鍺矽區域)的表面上形成,以降低接觸電阻。接觸插塞的形成是為了用來接觸矽化物區域,且在接觸插塞與矽化物區域之間的接觸電阻是低的。
一種半導體裝置包含第一及第二磊晶結構、第一及第二頂部金屬合金層、及第一及第二底部金屬合金層。第一及第二磊晶結構具有不同的剖面。第一及第二頂部金屬合金層分別與第一及第二磊晶結構接觸。第一及第二底部金屬合金層分別與第一及第二磊晶結構接觸且分別在第一及第二頂部金屬合金層下方。第一頂部金屬合金層及第一底部 金屬合金層為由不同的材料製成,且第一頂部金屬合金層與第一磊晶結構包含相同的元素。
一種半導體裝置包含第一磊晶結構、第二磊晶結構、第一及第二頂部金屬合金層、以及第一及第二底部金屬合金層。第一磊晶結構具有朝向上方的朝上刻面以及朝向下方的朝下刻面。第二磊晶結構具有朝向上方的朝上刻面以及朝向下方的朝下刻面。第一磊晶結構及第二磊晶結構具有不同導電類型。第一及第二頂部金屬合金層分別與第一磊晶結構的朝上刻面及第二磊晶結構的朝上刻面接觸。第一及第二底部金屬合金層分別與第一磊晶結構的朝下刻面及第二磊晶結構的朝下刻面接觸。第一頂部金屬合金層及第一底部金屬合金層具有不同功函數。
一種用於製造半導體裝置的方法包含在基板上方形成第一及第二磊晶結構,其中第一及第二磊晶結構具有不同導電類型,且第一及第二磊晶結構各者具有朝向上方的朝上刻面及朝向下方的朝下刻面。第一及第二金屬層分別在第一及第二磊晶結構的朝上刻面上形成。第一及第二金屬層以及第一及第二磊晶結構經退火以在第一磊晶結構的朝上刻面上形成第一頂部金屬合金層並在第二磊晶結構的朝上刻面上形成第二頂部金屬合金層。第三金屬層至少在第一及第二磊晶結構的朝下刻面上形成。第一及第三金屬層具有不同金屬。第三金屬層以及第一及第二磊晶結構經退火以在第一磊晶結構的朝下刻面上形成第一底部金屬合金層並在第二磊晶結構的朝下刻面上形成第二底部金屬合金層。
102:第一區域
102’:第一區域
104:第二區域
104’:第二區域
110:基板
112:半導體鰭片
114:半導體鰭片
120:絕緣結構
210:虛擬介電層
220:虛擬閘極結構
310:間隔件結構
312:間隔件殘留物
314:間隔件殘留物
314(314i):間隔件殘留物
314(314o):間隔件殘留物
410:第一遮罩層
420:第一磊晶結構
420’:第一磊晶結構
422:頂面
424:側壁
426:底面
432:第一磊晶層
434:第二磊晶層
434’:第一磊晶層
436:第三磊晶層
436’:第二磊晶層
510:第二遮罩層
520:第二磊晶結構
520’:第二磊晶結構
522:頂面
526:底面
526’:表面
532:第四磊晶層
534:第五磊晶層
534’:第三磊晶層
536:第六磊晶層
536’:第四磊晶層
610:第一接觸蝕刻終止層
620:第一層間介電層
702:開口
710:金屬閘極堆疊
712:虛擬介電層
714:金屬閘電極
910:第一金屬層
920:第二金屬層
930:過量金屬層
1010:第一頂部金屬合金層
1020:第二頂部金屬合金層
1210:第三金屬層
1220:第四金屬層
1310:第三頂部金屬合金層
1320:第四頂部金屬合金層
1330:第一底部金屬合金層
1340:第二底部金屬合金層
1410:第二接觸蝕刻終止層
1420:第二層間介電層
1422:開口
1424:開口
1510:接觸件
1512:接觸件
1514:填充材料
1520:接觸件
1522:阻擋層
1524:填充材料
B-B:線段
W1~W5:寬度(厚度)
T1~T5:垂直厚度
L1、L2:延伸距離
D1、D2:距離
H:蝕刻量
Hs:高度
θ:角度
當結合隨附圖式閱讀時,自以下詳細描述將很好地理解本揭示內容的態樣。應注意,根據工業中的標準實務,各個特徵並非按比例繪製。事實上,出於論述清晰之目的,可任意增大或縮小各個特徵之尺寸。
第1圖至第15圖繪示根據本揭露的一些實施例之半導體裝置在各個步驟的製造方法。
第16圖至第22圖繪示根據本揭露的一些實施例之半導體裝置在各個步驟的製造方法。
第23圖繪示根據本揭露的一些實施例之半導體裝置的剖面圖。
以下揭示內容提供許多不同實施例或實例,以便實施所提供標的之不同特徵。下文描述部件及排列之特定實例以簡化本揭示內容。當然,此等僅為實例且並不意欲為限制。例如,以下描述中在第二特徵上方或第二特徵上形成第一特徵可包括以直接接觸形成第一特徵及第二特徵的實施例,且亦可包括在第一特徵與第二特徵之間形成額外特徵以使得第一特徵及第二特徵可不處於直接接觸的實施例。另外,本揭示內容可在各個實例中重複元件符號及/或字母。 此重複係出於簡便性及清晰的目的且本身並不指示所論述之各個實施例及/或配置之間的關係。
另外,為了便於描述,本文可使用空間相對性術語(諸如「之下」、「下方」、「下部」、「上方」、「上部」及類似者)來描述諸圖中所圖示之一個元件或特徵與另一元件(或多個元件)或特徵(或多個特徵)之關係。除了諸圖所描繪之定向外,空間相對性術語意欲包含使用或操作中裝置之不同定向。設備可經其他方式定向(旋轉90度或處於其他定向)且由此可類似解讀本文所使用之空間相對性描述詞。
鰭片可藉由任何合適的方法來圖案化。舉例來說,鰭片可使用一個或多個光微影製程(包含雙圖案化或多圖案化製程)來圖案化。一般來說,雙圖案化或多圖案化製程結合光微影製程及自動對準製程,進而允許產生具有如相較於可另外使用單一直接的光微影製程獲得的間距來得小的間距的圖案。舉例來說,在一個實施例中,使用光微影製程在基板上方形成並圖案化犧牲層。使用自動對準製程在圖案化的犧牲層旁邊形成間隔件。隨後,移除犧牲層,剩餘的間隔件可接著用來圖案化鰭片。
本揭露之實施例提供了用於形成半導體裝置及所得結構的一些改良方法。這些實施例將於後續在有關於在散裝矽基板上形成具有單個鰭片或多個鰭片的鰭式場效電晶體的上下文中描述。
第1圖至第15圖繪示根據本揭露的一些實施例之半導體裝置在各個步驟的製造方法。在一些實施例中,第1圖至第15圖所示的半導體裝置可為在加工積體電路(IC)或其一部分的期間所製造的中間裝置,這些中間裝置或其一部分可包含靜態隨機存取記憶體(SRAM)、邏輯電路、被動元件(如電晶體、電容器及電感器)及/或主動元件(如p型場效電晶體(PFET)、n型場效電晶體(NFET)、多閘極場效電晶體、金屬氧化物半導體場效電晶體(MOSFET)、互補金屬氧化物半導體(CMOS)電晶體、雙極性電晶體、高壓電晶體、高頻電晶體、其他記憶體細胞及其組合)。
第1圖繪示根據本揭露之一些實施例的半導體裝置的透視圖。提供基板110,基板110具有第一區域102及第二區域104。在一些實施例中,基板110可包含矽(Si)。另外,基板110可包含鍺(Ge)、鍺矽、砷化鎵(GaAs)或其他合適的半導體材料。在一些替代實施例中,基板110可包含磊晶層。此外,基板110可包含具有埋藏介電層於其中的絕緣體上半導體(semiconductor-on-insulator,SOI)結構。埋藏介電層可為如埋藏氧化物(BOX)層。絕緣體上半導體結構可藉由被視為佈植氧分離(separation by implantation of oxygen,SIMOX)技術的方法、晶圓接合、選擇性磊晶生長(selective epitaxial growth,SEG)或其他合適的方法來形成。
複數個半導體鰭片112及複數個半導體鰭片114分別形成於基板110的第一區域102及第二區域104上 方。半導體鰭片112及114用以作為電晶體的通道及源/汲極的特徵。應注意到,第1圖中的半導體鰭片112及114的數量為說明性的,且不應以此限制本揭露所主張的範疇。此外,一個或多個虛擬鰭片可設置於鄰近半導體鰭片112及/或半導體鰭片114的兩個側面,以改良圖案化製程中的圖案逼真度。
半導體鰭片112及114可例如藉由使用光微影技術圖案化及蝕刻基板110來形成。在一些實施例中,在基板110上方沉積光阻材料層(未繪示出)。此光阻材料層根據期望圖案(在此情形下為半導體鰭片112及114)來照射(暴露),並顯影以移除光阻材料的一部分。剩餘光阻材料保護下層材料不受後續加工操作(如蝕刻)的影響。應注意到,也可在蝕刻製程中使用其他遮罩,如氧化物或氮化矽遮罩。半導體鰭片112及114可由與基板110相同的材料製成,且可繼續延伸或從基板110突出。半導體鰭片112及114可為固有的,或適當地摻雜n型摻雜物或p型摻雜物。
在一些其他實施例中,半導體鰭片112及114可磊晶生長。舉例來說,下層材料的暴露部分(如基板110的暴露部分)可於磊晶製程中使用以形成半導體鰭片112及114。遮罩可用於在磊晶生長製程期間控制半導體鰭片112及114的形狀。
在基板110中形成複數個絕緣結構120(如淺溝槽絕緣物(STI))以分隔各種裝置。形成絕緣結構120可包含在基板110中蝕刻溝槽並由絕緣材料(如氧化矽、氮化矽或 氮氧化矽)填充溝槽。填充的溝槽可具有多層結構,如具有氮化矽填充溝槽的熱氧化物襯墊層。在一些實施例中,絕緣結構120可使用製程序列產生,此製程序列如:生長墊片氧化物;形成低壓化學氣相沉積(LPCVD)氮化物層;使用光阻及遮罩來圖案化淺溝槽絕緣物的開口;在基板110中蝕刻溝槽(以形成半導體鰭片112及114);選擇性地生長熱氧化物溝槽襯墊以改良溝槽界面;以氧化物填充溝槽;使用化學機械平坦化方法(CMP)以移除過量氧化物;以及使熱氧化物溝槽襯墊及氧化物凹陷以形成絕緣結構120,使得半導體鰭片112及114的頂部從絕緣結構120的頂面突出。
參見第2圖,保形地形成虛擬介電層210以覆蓋半導體鰭片112、114及絕緣結構120。在一些實施例中,虛擬介電層210可為包含二氧化矽、氮化矽、高介電常數介電材料或其他合適的材料。在各種實施例中,虛擬介電層210可藉由原子層沉積製程、化學氣相沉積製程、亞大氣壓化學氣相沉積(SACVD)製程、可流動化學氣相沉積製程、物理氣相沉積製程或其他合適的製程來沉積。舉例來說,虛擬介電層210可用於防止由後續加工(如虛擬閘極結構的後續形成)對半導體鰭片112及114的破壞。
虛擬閘極結構220形成於虛擬介電層210、半導體鰭片112、114及絕緣結構120上方。在一些實施例中,虛擬閘極層(未繪示出)可在虛擬介電層210上方形成,且隨後經圖案化以形成虛擬閘極220。在一些實施例中,虛擬閘極220可由聚晶矽(poly-Si)、聚晶鍺矽(poly-SiGe)或其他 合適的材料來製成。若採用閘極先製(gate-first)技術,則虛擬閘極結構220及虛擬介電層210用以作為閘極及閘極介電層。
參見第3圖,移除虛擬介電層210未由虛擬閘極結構220覆蓋的部分以暴露半導體鰭片112及114的一些部分。隨後,間隔件結構310至少在虛擬閘極結構220及虛擬介電層210的相對側上形成。間隔件結構310可包含密封間隔件及主間隔件(未繪示出)。間隔件結構310包含一種或多種介電材料,如氧化矽、氮化矽、氮氧化矽、矽化氰、矽碳氧氮化合物(SiCxOyNz)或其組合。密封間隔件在虛擬閘極結構220的側壁上形成,且主間隔件在密封間隔件上形成。間隔件結構310可使用沉積方法來形成,此沉積方法如電漿輔助化學氣相沉積(PECVD)、低壓化學氣相沉積(LPCVD)、亞大氣壓化學氣相沉積(SACVD)等。形成間隔件結構310可包含毯覆式形成間隔件層,並隨後執行蝕刻操作以移除間隔件層的水平部分。剩餘的間隔件層的垂直部分形成間隔件結構310。在一些實施例中,當執行間隔件層之蝕刻操作時使絕緣結構120凹陷,且蝕刻量H為從約0.5nm至約20nm之範圍中。
在一些實施例中,存在間隔件殘留物312及314,間隔件殘留物312及314為間隔件結構310在蝕刻間隔件層之操作中未被移除的剩餘部分。特別地,在間隔件層沉積製程的操作中,間隔件層也覆蓋半導體鰭片112及114。當蝕刻間隔件層以形成間隔件結構310時,回拉蝕刻 (pullback-etched)間隔件層在半導體鰭片112及114的側壁上的部分。部分的間隔件結構310因此在蝕刻及形成間隔件殘留物312/314之後保留在絕緣結構120與半導體鰭112/114之間的角落。然而,在一些其他實施例中,可省略間隔件殘留物312及/或314。在一些實施例中,間隔件殘留物312的垂直厚度T1為從約0.5nm至約30nm之範圍中。在一些實施例中,間隔件殘留物314的垂直厚度T2為從約0.5nm至約30nm之範圍中。
參見第4圖,第一遮罩層410在基板110的第二區域104的上方形成,且暴露出基板110的第一區域102。也就是說,半導體鰭片112不由第一遮罩層410覆蓋,而半導體鰭片114由第一遮罩層410覆蓋。第一磊晶結構420隨後藉由執行如選擇性生長製程形成於半導體鰭片112未由虛擬閘極結構220、間隔件結構310及第一遮罩層410覆蓋的部分上。第一磊晶結構420藉由磊晶生長半導體材料來形成。半導體材料包含:單元素半導體材料,如鍺(Ge)或矽(Si);化合物半導體材料,如砷化鎵(GaAs)或砷化鋁鎵(AlGaAs);或半導體合金,如鍺矽(SiGe)或磷砷化鎵(GaAsP)。第一磊晶結構420具有合適的晶體結構取向(例如(110)及(111)晶體結構取向),使得第一磊晶結構420具有六邊形剖面。舉例來說,第一磊晶結構420的頂面422及底面426為(111)刻面(即頂面422為朝向上方的向上刻面,且底面426為朝向下方的向下刻面),且第一磊晶結構420的側壁424為(110)刻面(側壁刻面)。第一磊晶結構420可彼 此分離或合併在一起,如第4圖所示。在一些實施例中,第一磊晶結構420為源/汲極磊晶結構。在一些實施例中,期望N型裝置,第一磊晶結構420可包含磊晶生長磷化矽(SiP)或碳化矽(SiC)。磊晶製程包含化學氣象沉積技術(例如氣相磊晶(VPE)及/或超高真空化學氣相沉積(UHV-CVD))、分子束磊晶及/或其他合適的製程。
在一些實施例中,第一磊晶結構420包含在半導體鰭片112上形成的第一磊晶層432、在第一磊晶層432上形成的第二磊晶層434以及在第二磊晶層434上形成的第三磊晶層436。第一磊晶層432、第二磊晶層434及第三磊晶層436為具有彼此不同且與半導體鰭片112不同的晶格常數的結晶半導體層,如矽、碳化矽、磷化碳矽、磷化矽、鍺及鍺化矽。當使用碳化矽、磷化矽及/或磷化碳矽時,第一磊晶層432之碳或磷的濃度不同於第二磊晶層434及第三磊晶層436之碳或磷的濃度。在一些實施例中,第十三至第十五族半導體層用於第一磊晶層432、第二磊晶層434及第三磊晶層436中的至少一個。在一些其他實施例中,僅第一磊晶層432、第二磊晶層434及第三磊晶層436中的其中一個或兩個形成,且在一些其他實施例中,更多磊晶層形成。
參見第5圖,移除第4圖的第一遮罩層410,並且在基板110的第一區域102上方形成第二遮罩層510,而暴露基板110的第二區域104。也就是說,半導體鰭片112及第一磊晶結構420由第二遮罩層510覆蓋,而半導體鰭片114未由第二遮罩層510覆蓋。使第二鰭片114未由虛擬閘 極結構220及間隔件結構310覆蓋的部分凹陷,且隨後藉由執行例如選擇性生長製程在半導體鰭片114的凹陷部分上形成第二磊晶結構520。第二磊晶結構520藉由磊晶生長半導體材料來形成。半導體材料包含:單元素半導體材料,如鍺(Ge)或矽(Si);化合物半導體材料,如砷化鎵(GaAs)或砷化鋁鎵(AlGaAs);或半導體合金,如鍺矽(SiGe)或磷砷化鎵(GaAsP)。第二磊晶結構520具有合適的晶體結構取向(例如(100)晶體結構取向),使得第二磊晶結構520具有菱形剖面。在一些實施例中,第二磊晶結構520的頂面522及底面526為(100)刻面(即頂面522為朝向上方的向上刻面,且底面526為朝向下方的向下刻面)。第二磊晶結構520可合併在一起或彼此分離,如第5圖所示。在一些實施例中,第二磊晶結構520包含源/汲極磊晶結構。在一些實施例中,其中期望P型裝置,第二磊晶結構520可包含磊晶生長的鍺矽(SiGe)。第一磊晶結構420及第二磊晶結構520具有不同的導電類型。磊晶製程包含化學氣象沉積技術(例如氣相磊晶(VPE)及/或超高真空化學氣相沉積(UHV-CVD))、分子束磊晶及/或其他合適的製程。
在一些實施例中,第二磊晶結構520包含在半導體鰭片114上形成的第四磊晶層532、在第四磊晶層532上形成的第五磊晶層534、以及在第五磊晶層534上形成的第六磊晶層536。第四磊晶層532、第五磊晶層534及第六磊晶層536為具有彼此不同且與半導體鰭114不同的晶格常數的結晶半導體層,如矽、碳化矽、磷化碳矽、磷化矽、鍺 及鍺化矽。當使用矽化鍺時,第四磊晶層532之鍺的濃度不同於第五及第六磊晶層534及536之鍺的濃度。在一些實施例中,第十三至第十五族半導體層用於第四磊晶層532、第五磊晶層534及第六磊晶層536中的至少一個。在一些其他實施例中,僅第四磊晶層532、第五磊晶層534及第六磊晶層536中的其中一個或兩個形成,且在一些其他實施例中,更多磊晶層形成。
參見第6圖,移除第5圖的第二遮罩層510。隨後,第一接觸蝕刻終止層(CESL)610在第一磊晶結構420、第二磊晶結構520、虛擬閘極結構220、間隔件結構310及絕緣結構120上方保形地形成。在一些實施例中,第一接觸蝕刻終止層610不在第二磊晶結構520的合併部分下方形成。在一些實施例中,第一接觸蝕刻終止層610可為一個或多個應力層。在一些實施例中,第一接觸蝕刻終止層610具有拉伸應力且由氮化矽形成。在一些其他實施例中,第一接觸蝕刻終止層610包含如氮氧化物的材料。在又一些其他實施例中,第一接觸蝕刻終止層610可具有包含複數個層的複合結構,如在氧化矽層之上的氮化矽層。第一接觸蝕刻終止層610可使用電漿輔助化學氣相沉積(PECVD)形成,然而,也可使用其他合適的方法,如低壓化學氣相沉積(LPCVD)及原子層沉積(ALD)等。
隨後,第一層間介電層(ILD)620在第一接觸蝕刻終止層610上形成。第一層間介電層620可藉由化學氣相沉積(CVD)、高密度電漿化學氣相沉積、旋塗、濺鍍或其 他合適的方法來形成。在一些實施例中,第一層間介電層620包含氧化矽。在一些其他實施例中,第一層間介電層620可包含氮氧化矽、氮化矽或低介電常數材料。隨後,執行平坦化製程(如化學機械平坦化(CMP)製程)以平坦化第一接觸蝕刻終止層610及第一層間介電層620以暴露虛擬閘極結構220。
參見第7圖,採用替代閘極(RPG)製程方案。在替代閘極製程方案中,虛擬多晶矽閘極(在此情形下為虛擬閘極結構220(參見第6圖))提前形成且隨後由金屬閘極替代。在一些實施例中,移除虛擬閘極結構220以形成具有間隔件結構310作為其側壁的開口702。在一些其他實施例中,也移除虛擬介電層210(參見第6圖)。另外,在一些實施例中,移除虛擬閘極結構220,而保留虛擬介電層210。虛擬閘極結構220(及虛擬介電層210)可藉由乾式蝕刻、濕式蝕刻或乾式及濕式蝕刻的組合來移除。
閘極介電層712在開口702中保形地形成。虛擬介電層712在半導體鰭片112及/或114上方。閘極介電層712可為具有與二氧化矽的介電常數(κ)相比較高的介電常數的高介電常數介電層,即κ大於3.9。閘極介電層712可包含氧化鑭、氧化鋁、氧化鋯、氧化鈦、五氧化二鉭、氧化釔、鈦酸鍶(STO)、鈦酸鋇(BTO)、氧化鋇鋯、氧化鉿鋯、氧化鉿鑭、氧化鉿矽、氧化鑭矽、氧化鋁矽、氧化鉿鉭、氧化鉿鈦、氧化(鋇鍶)鈦(BST)、氧化鋁或其他合適的材料。閘極 介電層712藉由合適的技術來沉積,如原子層沉積、化學氣相沉積、物理氣相沉積、熱氧化、其組合或其他合適的技術。
形成至少一個金屬層於開口702中且於閘極介電層712上。隨後,執行化學機械平坦化(CMP)製程以平坦化金屬層及閘極介電層712以在開口702中形成金屬閘極堆疊710。金屬閘極堆疊710跨過半導體鰭片112及/或114上方。金屬閘極堆疊710包含閘極介電層712以及在閘極介電層712上方的金屬閘電極714。金屬閘電極714可包含功函數金屬層、覆蓋層、填充層及/或在金屬閘極堆疊中期望的其他合適的層。功函數金屬層可包含n型及/或p型功函數金屬。示例性n型功函數金屬包含鈦、銀、鋁化鉭、碳化鉭鋁、氮化鉭鋁、碳化鉭、氮化鉭碳、氮化鉭矽、錳、鋯、其他合適的n型功函數材料或其組合。示例性p型功函數金屬包含氮化鈦、氮化鉭、釕、鉬、鋁、氮化鎢、矽化鋯、矽化鉬、矽化鉭、矽化鎳、其他合適的p型功函數材料或其組合。功函數金屬層可具有多個層。功函數金屬層可藉由化學氣相沉積、物理氣相沉積、電鍍及/或其他合適的製程來沉積。在一些實施例中,金屬閘電極714為包含p型功函數金屬層的p型金屬閘極。在一些實施例中,金屬閘電極714中的覆蓋層可包含耐火金屬及其氮化物(如氮化鈦、氮化鉭、氮化鎢、氮化鈦矽、氮化鉭矽)。覆蓋層可藉由物理氣相沉積、化學氣相沉積、金屬有機化學氣相沉積(MOCVD)或原子沉沉積等來沉積。在一些實施例中,金屬閘電極714中的填充層可 包含鎢(W)。填充層可藉由原子沉沉積、物理氣相沉積、化學氣相沉積或其他合適的製程來沉積。
參見第8A圖及第8B圖,第8B圖為沿第8A圖之線段B-B截取的剖面圖。第7圖之第一層間介電層620經移除以暴露第一接觸蝕刻終止層610。在一些實施例中,完全移除第一層間介電層620。在一些其他實施例中,從第一磊晶結構420及第二磊晶結構520周圍的區域移除部分第一層間介電層620。隨後,第一接觸蝕刻終止層610在第一磊晶結構420及第二磊晶結構520上方的部分經移除以暴露第一磊晶結構420的頂面422及第二磊晶結構520的頂面522。第一磊晶結構420的側壁424與底面426及第二磊晶結構520的底面526仍以接觸蝕刻終止層610覆蓋。在一些實施例中,第一接觸蝕刻終止層610藉由執行例如反應性離子蝕刻(RIE)製程或其他合適的製程來各向異性地蝕刻。各向異性蝕刻意味著材料中不同方向上有著不同蝕刻速率。也就是說,各向異性蝕刻移除在不同方向上以不同速率蝕刻的材料。舉例來說,在第8A圖及第8B圖中,各向異性蝕刻相較於第一接觸蝕刻終止層610的水平部分更快速地移除其垂直部分。因此,移除第一接觸蝕刻終止層610在頂面422及522上方的部分並且留下第一接觸蝕刻終止層610的其他部分。此外,在此步驟中還移除接觸蝕刻終止層610在絕緣結構120上方的部分。
參見第9圖,第9圖為沿與第8B圖相同的線段截取。金屬材料在第8B圖的結構上方定向地(或各向異性地) 形成,使得第一金屬層910及第二金屬層920分別在頂面422及522上方形成。用於沉積金屬材料的各向異性沉積方法可為一種提供定向沉積使得在水平表面上沉積相較於垂直表面上更多金屬材料的方法。例如,各向異性沉積方法可為準直物理氣相沉積(PVD)方法,其中,導引金屬材料在實質上平行於示例性半導體結構的垂直方向的方向中往下。本文所使用的術語「實質上」可用於修飾任何定量表示,其可以允許變化而不導致其有關的基本功能的改變。另外,各向異性沉積方法可以採用射頻物理氣相沉積(RFPVD)濺鍍及/或具有固定電壓基板偏置,即應用到基板的固定電壓偏置。此外,各向異性沉積方法可為離子化學氣相沉積或其他合適的製程。沉積速率取決於入射粒子的入射角,導致在第一接觸蝕刻終止層610的頂面422上有著相較於表面更高的沉積速率。因此,第一金屬層910與第一磊晶結構420的頂面422接觸而不與其他表面接觸,且第二金屬層920與第二磊晶結構520的頂面522接觸而不與其他表面接觸。在一些實施例中,形成部分的金屬材料於絕緣結構120上方以形成過量金屬層930。金屬材料(即第一金屬層910、第二金屬層920及過量金屬層930)為由鎳、鈷、鉑、鎢、釕、其組合或其他合適的材料製成。第一金屬層910及第二金屬層920具有高功函數,如從約4.4eV至約5.2eV之範圍中。
參見第10圖,退火製程執行於第一金屬層910(參見第9圖)、第二金屬層920(參見第9圖)、第一磊晶結構420及第二磊晶結構520上以分別形成第一頂部金屬合 金層1010及第二頂部金屬合金層1020。若第一磊晶結構420及第二磊晶結構520為由矽製成,則退火製程也被視為矽化製程。矽化製程將第一磊晶結構420及第二磊晶結構520的表面部分轉化為矽化物接觸件(即在此情形下為第一頂部金屬合金層1010及第二頂部金屬合金層1020)。矽化處理包含沉積金屬材料(即在此情形下為第一金屬層910及第二金屬層920),此金屬材料與矽(Si)進行矽化反應。為了在第一磊晶結構420及第二磊晶結構520上形成矽化物接觸件,第一金屬層910及第二金屬層920分別在第一磊晶結構420的頂面422以及第二磊晶結構520的頂面522上毯覆式地沉積。在將晶圓加熱至金屬與第一磊晶結構420及第二磊晶結構520的矽反應以形成接觸件的溫度之後,移除未反應的金屬(如第9圖的過量金屬層930)。矽化物接觸件保留在第一磊晶結構420及第二磊晶結構520上方,而未反應的金屬從其他區域移除。
參見第11圖,移除第10圖的剩餘第一接觸蝕刻終止層610,使得第一磊晶結構420之側壁424與底面426及第二磊晶結構520的底面526暴露出來。此外,頂面422由第一頂部金屬合金層1010覆蓋,且頂面522由第二頂部金屬合金層1020覆蓋。在一些實施例中,第一接觸蝕刻終止層610藉由執行如化學濕式蝕刻製程或其他合適的製程來各向同性蝕刻。「各向同性蝕刻」為並非定向蝕刻的蝕刻製程。各向同性蝕刻移除在蝕刻方向上以實質上相同的速率蝕 刻的材料。也就是說,各向同性蝕刻不在單一方向上蝕刻,而是水平地及垂直地蝕刻至第一接觸蝕刻終止層610中。
參見第12圖,另一金屬材料在第11圖之結構上方保形地(或不定向地)形成,使得第三金屬層1210及第四金屬層1220分別在第一磊晶結構420及第二磊晶結構520上形成。也就是說,第三金屬層1210與第一頂部金屬合金層1010及第一磊晶結構420的側壁424與底面426接觸,且第四金屬層1220與第二頂部金屬合金層1020及第二磊晶結構520的表面526接觸。在一些實施例中,第四金屬層1220不在第二磊晶結構420的合併部分下方形成。第三金屬層1210及第四金屬層1220為由鈦、鉺、釔、鐿、銪、鋱、鎦、釷、鈧、鉿、鋯、鉭、其組合或其他合適的材料製成。第三金屬層1210及第四金屬層1220具有低功函數,例如,從約2.5eV至約4.4eV之範圍中。也就是說,第三金屬層1210及第四金屬層1220具有與如第9圖所示的第一金屬層910及第二金屬層920的功函數相比較低的功函數。第三金屬層1210及第四金屬層1220為藉由執行保形地(或各向同性)沉積製程(如電漿輔助化學氣相沉積、低壓化學氣相沉積或其他合適的製程)來形成。也就是說,金屬材料不是僅在一個方向上方沉積,而是在第一磊晶結構420及第二磊晶結構520的不同方向上方沉積。
參見第13圖,另一退火製程在第一頂部金屬合金層1010(參見第12圖)、第二頂部金屬合金層1020(參見第12圖)、第三金屬層1210(參見第12圖)、第四金屬層 1220(參見第12圖)、第一磊晶結構420及第二磊晶結構520上執行。第一頂部金屬合金層1010、第三金屬層1210、及第一磊晶結構420經退火以形成第三頂部金屬合金層1310,第二頂部金屬合金層1020、第四金屬層1220、及第二磊晶結構520經退火以形成第四頂部金屬合金層1320。第三金屬層1210及第一磊晶結構420經退火以形成第一底部金屬合金層1330,且第四合金層1220及第二磊晶結構520經退火以形成第二底部金屬合金層1340。若第一磊晶結構420及第二磊晶結構520為由矽製成,則退火製程也被視為矽化製程。在退火製程之後,移除未反應的金屬。第三頂部金屬合金層1310與第一磊晶結構420的頂面422接觸,第四頂部金屬合金層1320與第二磊晶結構520的頂面522接觸,第一底部金屬合金層1330與第一磊晶結構420的側壁424及底面426接觸,且第二底部金屬合金層1330與第二磊晶結構520的底面526接觸。
在一些實施例中,第三頂部金屬合金層1310及第四頂部金屬合金層1320包含高氟化鎢金屬(包含鎳、鈷、鉑、鎢、釕或其組合)及低氟化鎢金屬(包含鈦、鉺、釔、鐿、銪、鋱、鎦、釷、鈧、鉿、鋯、鉭或其組合),且第一底部金屬合金層1330及第二底部金屬合金層1340包含低氟化鎢金屬(包含鈦、鉺、釔、鐿、銪、鋱、鎦、釷、鈧、鉿、鋯、鉭或其組合)。因此,第三頂部金屬合金層1310及第四頂部金屬合金層1320具有與第一底部金屬合金層1330及第二底部金屬合金層1340相比較高的功函數。對於第一磊 晶結構420,在第一底部金屬合金層1330與第一磊晶結構420之間的接觸面積大於在第三頂部金屬合金層1310與第一磊晶結構420之間的接觸面積,使得N型裝置(即在此情形下為第一磊晶結構420、第一底部金屬合金層1330、及第三頂部金屬合金層1310)的源/汲極特徵的等效功函數為在第一底部金屬合金層1330與第三頂部金屬合金層1310的功函數之間,但接近第一底部金屬合金層1330。對於第二磊晶結構520,在第四頂部金屬合金層1320與第二磊晶結構520之間的接觸面積大於在第二底部金屬合金層1340與第二磊晶結構520之間的接觸面積,使得P型裝置(即在此情形下為第二磊晶結構520、第二底部金屬合金層1340、及第四頂部金屬合金層1320)的源/汲極特徵的等效功函數為在第二底部金屬合金層1340與第四頂部金屬合金層1320的功函數之間,但接近第四頂部金屬合金層1320。因此,N型及P型裝置的源/汲極特徵具有不同功函數。在一些實施例中,第三頂部金屬合金層1310具有從約2nm至約7nm之範圍中的厚度,第四頂部金屬合金層1320具有從約2nm至約7nm之範圍中的厚度,第一底部金屬合金層1330具有從約2nm至約6nm之範圍中的厚度,且第二底部金屬合金層1340具有從約2nm至約6nm之範圍中的厚度。若層1310、1320、1330、及1340的厚度過小,如小於約2nm,則源/汲極特徵的肖特基能障受到影響,且源/汲極特徵的電性質變得更差。
參見第14圖,第二接觸蝕刻終止層(CESL)1410在第13圖之結構上方保形地形成。在一些實施例中,接觸蝕刻終止層1410不在第二磊晶結構520的合併部分下方形成。在一些實施例中,第二接觸蝕刻終止層1410可為一個或多個應力層。在一些實施例中,第二接觸蝕刻終止層1410具有拉伸應力且由氮化矽形成。在一些其他實施例中,第二接觸蝕刻終止層1410包含如氮氧化物的材料。在又一些其他實施例中,第二接觸蝕刻終止層1410可具有包含複數個層的複合結構,如在氧化矽層之上的氮化矽層。第二接觸蝕刻終止層1410可使用電漿輔助化學氣相沉積(PECVD)形成,然而,也可使用其他合適的方法,如低壓化學氣相沉積(LPCVD)及原子層沉積(ALD)等。
隨後,於第二接觸蝕刻終止層上形成第二層間介電層(ILD)1420。第二接觸蝕刻終止層1420可藉由化學氣相沉積(CVD)、高密度電漿化學氣相沉積、旋塗、濺鍍或其他合適的方法來形成。在一些實施例中,第二化學氣相沉積1420包含氧化矽。在一些其他實施例中,第二化學氣相沉積1420可包含氮氧化矽、氮化矽或低介電常數材料。
參見第15圖,藉由各種方法(包含乾式蝕刻、濕式蝕刻或乾式蝕刻及濕式蝕刻的組合)部分移除第二接觸蝕刻終止層1420及第二接觸蝕刻終止層1410以形成複數個開口1422及1424。開口1422及1424延伸穿過第二接觸蝕刻終止層1420及第二接觸蝕刻終止層1410且分別暴露第三頂部金屬合金層1310及第四頂部金屬合金層1320。
接觸件1510及1520分別在開口1422及1424中形成,且分別在第三頂部金屬合金層1310及第四頂部金屬合金層1320上方。接觸件1510及1520分別且電性連接至第一磊晶結構420及第二磊晶結構520。接觸件1510包含阻擋層1512及在阻擋層1512上方形成的填充材料1514。接觸件1520包含阻擋層1522及在阻擋層1522上方形成的填充材料1524。在一些實施例中,金屬材料可在開口1422及1424中填充,且金屬材料之過量部分藉由執行平坦化製程以形成填充材料1514及1524來移除。在一些實施例中,阻擋層1512及1522可包含一或多層材料,如鈦、氮化鈦、鈦鎢或其組合。在一些實施例中,填充材料1514及1524可由如鎢、鋁、銅或其他合適的材料製成。在一些實施例中,接觸件1510/1520的深度為從約15nm至約60nm之範圍中,此取決於節點及半導體鰭片的高度。
在第15圖中,第三頂部金屬合金層1310及第一底部金屬合金層1330在第三磊晶層436上形成。第三頂部金屬合金層1310及第一底部金屬合金層1330藉由在第三磊晶層436與其上形成之金屬層的材料之間的反應來形成。第一磊晶結構420中的一個的第三磊晶層436與第一磊晶結構420中的另一個的第三磊晶層436分離。在一些實施例中,第一底部金屬合金層1330彼此分離,如第15圖所示;在一些其他實施例中,第一底部金屬合金層1330填充於兩個第一磊晶結構420之間的空間;在又一些其他實施例中,一個或多個孔隙及/或孔洞在第一底部金屬合金層1330中且在 兩個第一磊晶結構420之間形成。孔隙剖面的形狀可包含菱形、圓形、卵形或不規則形狀。形狀可為對稱或不對稱的。孔隙的數量在一些實施例中可小至一,且在一些其他實施例中大於一。多個孔隙以及在孔隙之間的空間的大小可實質上相同或不同。
在一些實施例中,半導體鰭片112具有從約4nm至約10nm之範圍中的寬度(厚度)W1;第一磊晶層432具有從約0nm至約3nm之範圍中的寬度(厚度)W2;第二磊晶層434具有從約2nm至約8nm之範圍中的寬度(厚度)W3;以及第三磊晶層436具有從約0nm至約3nm之範圍中的寬度(厚度)W4。
此外,第四頂部金屬合金層1320及第二底部金屬合金層1340在第六磊晶層536上形成。第四頂部金屬合金層1320及第二底部金屬合金層1340藉由在第六磊晶層536與其上形成之金屬層的材料之間的反應來形成。如第15圖所示,第二磊晶結構520中的一個第六磊晶層536與第二磊晶結構520中的另一個第六磊晶層536合併。
在一些實施例中,第四磊晶層532具有從約0nm至約3nm之範圍中的厚度(高度)T3;第五磊晶層534具有從約2nm至約8nm之範圍中的厚度(高度)T4;且第六磊晶層536具有從約0nm至約3nm之範圍中的厚度T5。此外,第四磊晶層532之寬度W5可大於、小於或等於第四磊晶層532之厚度T3。例如,在一些實施例中,T3:W5=1:1.2 至1:2。在一些實施例中,在兩個半導體鰭片114之間的空間大於約15nm。
此外,應注意到,雖然在第15圖中,在半導體鰭片114之相對側面上形成的間隔件殘留物314具有相同高度,但間隔件殘留物314在一些其他實施例中可具有不同高度。舉例來說,在兩個半導體鰭片114之間形成的間隔件殘留物314i高於在半導體鰭片114之相對側面上形成的間隔件殘留物314i。這是因為在兩個半導體鰭片114之間的緻密空間導致在第3圖中的蝕刻操作期間緩慢的蝕刻速率。在一些實施例中,在間隔件殘留物314i與314o之間的差為從0nm至約10nm之範圍中。
在第15圖中,第一磊晶結構420與第三頂部金屬合金層1310及第一底部金屬合金層1330接觸。因此,第一磊晶結構420之等效功函數可藉由應用與第三頂部金屬合金層1310及第一底部金屬合金層1330不同的材料來調節。此外,第二磊晶結構520與第四頂部金屬合金層1320及第二底部金屬合金層1340接觸。雖然第三及第四頂部金屬合金層1310及1320具有相同功函數,且第一及第二底部金屬合金層1330及1340具有相同功函數,但由於第一磊晶結構420及第二磊晶結構520具有不同剖面,因此裝置在區域102及104上的源/汲極特徵具有不同等效功函數。因此,N型及P型裝置可在相同金屬合金層形成製程中且在不具有額外光圖案化流的情況下實現其期望的源/汲極等效功函數。
根據本揭露的一些實施例之半導體裝置在各個步驟的製造方法。提前執行第1圖至第7圖的製造製程。由於相關製造細節與第1圖至第7圖類似,因此後文將不再重複在此方面的描述。參見第16圖,移除第7圖的第一層間介電層620以暴露第7圖的第一接觸蝕刻終止層610。隨後,移除第一接觸蝕刻終止層610以暴露第一磊晶結構420及第二磊晶結構520。在此階段中,暴露第一磊晶結構420的頂面422、側壁424與底面426及第二磊晶結構520的頂面522及底面526。
參見第17圖,金屬材料在第16圖之結構上方定向地(或各向異性地)形成,使得第一金屬層910及第二金屬層920分別在頂面422及522上方形成。也就是說,第一金屬層910與第一磊晶結構420的頂面422接觸且不與其他表面接觸,且第二金屬層920與第二磊晶結構520之頂面522接觸且不與其他表面接觸。在一些實施例中,形成部分的金屬材料於絕緣結構120上方以形成過量金屬層930。第一金屬層910及第二金屬層920為由鎳、鈷、鉑、鎢、釕、其組合或其他合適的材料製成。第一金屬層910及第二金屬層920具有高功函數,例如,從約4.4eV至約5.2eV之範圍中。第一金屬層910及第二金屬層920藉由執行定向沉積製程(如物理氣相沉積、REPVD、離子化學氣相沉積或其他合適的製程)來形成。
參見第18圖,退火製程在第一金屬層910(參見第17圖)、第二金屬層920(參見第17圖)、第一磊晶結構420 及第二磊晶結構520上執行以分別形成第一頂部金屬合金層1010及第二頂部金屬合金層1020。若第一磊晶結構420及第二磊晶結構520為由矽製成,則退火製程也被視為矽化製程。在退火製程之後,移除未反應的金屬(如第17圖的過量金屬層930)。
參見第19圖,另一金屬材料在第18圖的結構上方保形地(或各向異性地)形成,使得第三金屬層1210及第四金屬層1220分別在第一磊晶結構420及第二磊晶結構520上形成。也就是說,第三金屬層1210與第一頂部金屬合金層1010及第一磊晶結構420的側壁424與底面426接觸,且第四金屬層1220與第二頂部金屬合金層1020及第二磊晶結構520的底面526接觸。在一些實施例中,第四金屬層1220不在第二磊晶結構420的合併部分下方形成。第三金屬層1210及第四金屬層1220為由鈦、鉺、釔、鐿、銪、鋱、鎦、釷、鈧、鉿、鋯、鉭、其組合或其他合適的材料製成。第三金屬層1210及第四金屬層1220具有低功函數,例如,從約2.5eV至約4.4eV之範圍中。也就是說,第三金屬層1210及第四金屬層1220具有與如第9圖所示的第一金屬層910及第二金屬層920的功函數相比較低的功函數。第三金屬層1210及第四金屬層1220藉由執行保形沉積製程(如電漿輔助化學氣相沉積、電漿輔助原子層沉積或其他合適的製程)形成。
參見第20圖,另一退火製程在第一頂部金屬合金層1010(參見第19圖)、第二頂部金屬合金層1020(參見第 19圖)、第三金屬層1210(參見第19圖)、第四金屬層1220(參見第19圖)、第一磊晶結構420及第二磊晶結構520上執行。第一頂部金屬合金層1010、第三金屬層1210、及第一磊晶結構420經退火以形成第三頂部金屬合金層1310,第二頂部金屬合金層1020、第四金屬層1220、及第二磊晶結構520經退火以形成第四頂部金屬合金層1320,第三金屬層1210及第一磊晶結構420經退火以形成第一底部金屬合金層1330,且第四合金層1220及第二磊晶結構520經退火以形成第二底部金屬合金層1340。若第一磊晶結構420及第二磊晶結構520為由矽製成,則退火製程也被視為矽化製程。在退火製程之後,移除未反應的金屬。第三頂部金屬合金層1310與第一磊晶結構420的頂面422接觸,第四頂部金屬合金層1320與第二磊晶結構520的頂面522接觸,第一底部金屬合金層1330與第一磊晶結構420的側壁424及底面426接觸,且第二底部金屬合金層1330與第二磊晶結構520的底面526接觸。
在一些實施例中,第三頂部金屬合金層1310及第四頂部金屬合金層1320包含高氟化鎢金屬(包含鎳、鈷、鉑、鎢、釕或其組合)及低氟化鎢金屬金屬(包含鈦、鉺、釔、鐿、銪、鋱、鎦、釷、鈧、鉿、鋯、鉭或其組合),且第一底部金屬合金層1330及第二底部金屬合金層1340包含低氟化鎢金屬(包含鈦、鉺、釔、鐿、銪、鋱、鎦、釷、鈧、鉿、鋯、鉭或其組合)。因此,第三頂部金屬合金層1310及第四頂部金屬合金層1320具有與第一底部金屬合金層 1330及第二底部金屬合金層1340相比較高的功函數。對於第一磊晶結構420,在第一底部金屬合金層1330與第一磊晶結構420之間的接觸面積大於在第三頂部金屬合金層1310與第一磊晶結構420之間的接觸面積,使得N型裝置(即在此情形下為第一磊晶結構420、第一底部金屬合金層1330、及第三頂部金屬合金層1310)的源/汲極特徵的等效功函數為在第一底部金屬合金層1330與第三頂部金屬合金層1310的功函數之間,但接近第一底部金屬合金層1330。對於第二磊晶結構520,在第四頂部金屬合金層1320與第二磊晶結構520之間的接觸面積大於在第二底部金屬合金層1340與第二磊晶結構520之間的接觸面積,使得P型裝置(即在此情形下為第二磊晶結構520、第二底部金屬合金層1340、及第四頂部金屬合金層1320)的源/汲極特徵的等效功函數為在第二底部金屬合金層1340與第四頂部金屬合金層1320的功函數之間,但接近第四頂部金屬合金層1320。因此,N型及P型裝置的源/汲極特徵具有不同功函數。
參見第21圖,第二接觸蝕刻終止層(CESL)1410在第20圖之結構上方保形地形成。在一些實施例中,接觸蝕刻終止層1410不在第二磊晶結構520的合併部分下方形成。在一些實施例中,第二接觸蝕刻終止層1410可為一個或多個應力層。在一些實施例中,第二接觸蝕刻終止層1410具有拉伸應力且由氮化矽形成。在一些其他實施例中,第二接觸蝕刻終止層1410包含如氮氧化物的材料。在又一些其他實施例中,第二接觸蝕刻終止層1410可具有包 含複數個層的複合結構,如在氧化矽層之上的氮化矽層。第二接觸蝕刻終止層1410可使用電漿輔助化學氣相沉積(PECVD)形成,然而,也可以使用其他合適的方法,如低壓化學氣相沉積(LPCVD)或原子層沉積(ALD)等。
隨後,第二層間介電層(ILD)1420於第二接觸蝕刻終止層1410上形成。第二層間介電層1420可藉由化學氣相沉積(CVD)、高密度電漿化學氣相沉積、旋塗、濺鍍或其他合適的方法來形成。在一些實施例中,第二層間介電層1420包含氧化矽。在一些其他實施例中,第二層間介電層1420可包含氮氧化矽、氮化矽或低介電常數材料。
參見第22圖。藉由各種方法(包含乾式蝕刻、濕式蝕刻或乾式蝕刻及濕式蝕刻的組合)部分移除第二層間介電質1420及第二層間介電質1410以形成複數個開口1422及1424。開口1422及1424延伸穿過第二層間介電層1420及第二層間介電層1410且分別暴露第三頂部金屬合金層1310及第四頂部金屬合金層1320。
接觸件1510及1520分別在開口1422及1424中形成,並且分別在第三頂部金屬合金層1310及第四頂部金屬合金層1320上方。接觸件1510及1520分別且電性連接至第一磊晶結構420及第二磊晶結構520。接觸件1510包含阻擋層1512及在阻擋層1512上方形成的填充材料1514。接觸件1520包含阻擋層1522及在阻擋層1522上方形成的填充材料1524。在一些實施例中,金屬材料可在開口1422及1424中填充,且金屬材料之過量部分藉由執行平坦化製程 以形成填充材料1514及1524來移除。在一些實施例中,阻擋層1512及1522可包含一或多層材料,如鈦、氮化鈦、鈦鎢或其組合。在一些實施例中,填充材料1514及1524可由如鎢、鋁、銅或其他合適的材料製成。
在一些實施例中,第15圖及第22圖中的N型裝置(區域102上方的裝置)可為N型目標關鍵尺寸(TCD)裝置,且第15圖及第22圖中的P型裝置(區域104上方的裝置)可為P型目標關鍵尺寸裝置。第23圖為根據本揭露之一些實施例的半導體裝置的剖面圖。半導體裝置包含基板110的第一區域102’上方的N型裝置以及基板110的第二區域104’上方的P型裝置。半導體裝置可為部分的靜態隨機存取記憶體(SRAM)裝置。P型裝置可為上拉(PU)電晶體,且N型裝置可為下拉(PD)電晶體或穿過閘極(PG)電晶體。應注意到,在第23圖中N型裝置及P型裝置的數量為說明性的,且不應限制本揭露所主張的範疇。
N型裝置包含第一磊晶結構420’及鄰近第一磊晶結構420’的閘極結構(如第7圖所示的金屬閘極堆疊710),且P型裝置包含第二磊晶結構520’及鄰近第二磊晶結構520’的閘極結構(如第7圖所示的金屬閘極堆疊710)。第一磊晶結構420’包含在半導體鰭片112上形成的第一磊晶層434’以及在第一磊晶層434’上形成的第二磊晶層436’。第一及第二磊晶層434’及436’為具有彼此不同且與半導體鰭片112不同的晶格常數的結晶半導體層,如矽、碳化矽、磷化矽碳、磷化矽、鍺及鍺化矽。當使用碳化矽、磷化矽及 /或磷化矽碳時,第一磊晶層434’之碳或磷的濃度不同於第二磊晶層436’之碳或磷的濃度。在一些實施例中,第十三至第十五族半導體層用於第一及第二磊晶層434’及436’中的至少一個。在一些其他實施例中,僅形成第一及第二磊晶層434’及436’的其中一個,且在一些其他實施例中,形成更多磊晶層。在一些實施例中,第一磊晶結構420’從半導體鰭片112的側壁延伸的橫向延伸距離L1小於約7nm。
第三頂部金屬合金層1310及第一底部金屬合金層1330在第二磊晶層436’上形成。第三頂部金屬合金層1310及第一底部金屬合金層1330藉由在第二磊晶層436’與其上形成之金屬層的材料之間的反應來形成。
每一個第二磊晶結構520’均包含在半導體鰭片114上形成的第三磊晶層534’及在第三磊晶層534’上形成的第四磊晶層536’。第三及第四磊晶層534’及536’為具有彼此不同且與半導體鰭片112不同的晶格常數的結晶半導體層,如矽、碳化矽、磷化矽碳、磷化矽、鍺及鍺化矽。當使用鍺化矽時,第三磊晶層534’之鍺的濃度不同於第四磊晶層536’之鍺的濃度。在一些實施例中,第十三至第十五族半導體層用於第三及第四磊晶層534’及536’中的至少一個。在一些其他實施例中,僅形成第三及第四磊晶層534’及536’的其中一個,且在一些其他實施例中,形成更多磊晶層。在一些實施例中,第二磊晶結構520’從半導體鰭片114的側壁延伸的橫向延伸距離L2小於約7nm。此外,在一些實施例中,間隔件殘留物316在半導體鰭片114的側壁 上形成,且間隔件殘留物316的高度Hs為從0nm至鰭片高度的約2/3之範圍中。間隔件殘留物316的高度Hs與第二磊晶結構520’的大小有關。
此外,第四頂部金屬合金層1320及第二底部金屬合金層1340在第四磊晶層536’上形成。第四頂部金屬合金層1320及第二底部金屬合金層1340藉由在第四磊晶層536’與其上形成之金屬層的材料之間的反應來形成。如第23圖所示,第二磊晶結構520’的其中一個第四磊晶層536’與第二磊晶結構520’的另一個的第四磊晶層536’分離。舉例來說,在第二磊晶結構520’之間的距離D1為從約10nm至約15nm之範圍中,並且在半導體鰭片114之間的距離D2為從約15nm至約30nm之範圍中。若距離D1小於約10nm及/或距離D2小於約15nm,則可合併第二磊晶結構520’。若距離D2大於約15nm及/或距離D2大於約30nm,則可增加半導體裝置的佈局面積。此外,表面526’與基板110的頂面形成從約35度至約60度之範圍中(如約40度或約54.7度)的角度θ。
根據一些實施例,第一磊晶結構與第三頂部金屬合金層及第一底部金屬合金層接觸。因此,第一磊晶結構的等效功函數可藉由應用與第三頂部金屬合金層及第一底部金屬合金層不同的材料來調節。此外,第二磊晶結構與第四頂部金屬合金層及第二底部金屬合金層接觸。儘管第三及第四頂部金屬合金層具有相同功函數,且第一及第二底部金屬合金層具有相同功函數,對於包含不同類型(如N型及P 型)裝置的半導體裝置,由於第一磊晶結構及第二磊晶結構具有不同剖面,因此N型裝置及P型裝置的源/汲極特徵具有不同等效功函數。因此,N型及P型裝置可在相同金屬合金層形成的過程中且在不具有額外光圖案化流的情況下實現其期望的源/汲極等效功函數。
根據本揭露一些實施例,一種半導體裝置包含第一及第二磊晶結構、第一及第二頂部金屬合金層、及第一及第二底部金屬合金層。第一及第二磊晶結構具有不同的剖面。第一及第二頂部金屬合金層分別與第一及第二磊晶結構接觸。第一及第二底部金屬合金層分別與第一及第二磊晶結構接觸且分別在第一及第二頂部金屬合金層下方。第一頂部金屬合金層及第一底部金屬合金層是由不同的材料製成。
根據本揭露一些實施例的半導體裝置,第二頂部金屬合金層及第二底部金屬合金層為由不同的材料製成。
根據本揭露一些實施例的半導體裝置,第一磊晶結構具有實質上六邊形之橫截面。
根據本揭露一些實施例的半導體裝置,第二磊晶結構具有實質上菱形之橫截面。
根據本揭露一些實施例的半導體裝置,第一及第二頂部金屬合金層為由相同材料製成。
根據本揭露一些實施例的半導體裝置,第一及第二頂部金屬合金層為由相同材料製成。
根據本揭露一些實施例的半導體裝置,第一頂部金屬合金層包含鎳、鈷、鉑、鎢、釕或其組合。
根據本揭露一些實施例的半導體裝置,第一底部金屬合金層包含包含鈦、鉺、釔、鐿、銪、鋱、鎦、釷、鈧、鉿、鋯、鉭或其組合。
根據本揭露一些實施例,一種半導體裝置包含第一磊晶結構、第二磊晶結構、第一及第二頂部金屬合金層、以及第一及第二底部金屬合金層。第一磊晶結構具有朝向上方的朝上刻面以及朝向下方的朝下刻面。第二磊晶結構具有朝向上方的朝上刻面以及朝向下方的朝下刻面。第一磊晶結構及第二磊晶結構具有不同導電類型。第一及第二頂部金屬合金層分別與第一磊晶結構的朝上刻面及第二磊晶結構的朝上刻面接觸。第一及第二底部金屬合金層分別與第一磊晶結構的朝下刻面及第二磊晶結構的朝下刻面接觸。第一頂部金屬合金層及第一底部金屬合金層具有不同功函數。
根據本揭露一些實施例的半導體裝置,第一頂部金屬合金層的功函數大於第一底部金屬合金層的功函數。
根據本揭露一些實施例的半導體裝置,第二頂部金屬合金層及第二底部金屬合金層具有不同功函數。
根據本揭露一些實施例的半導體裝置,第二頂部金屬合金層的功函數大於第二底部金屬合金層的功函數。
根據本揭露一些實施例的半導體裝置,第一頂部金屬合金層與第一磊晶結構之間的第一接觸面積小於在第一底部金屬合金層與第一磊晶結構之間的第二接觸面積。
根據本揭露一些實施例的半導體裝置,第二頂部金屬合金層與第二磊晶結構之間的第三接觸面積大於在第二底部金屬合金層與第二磊晶結構之間的第四接觸面積。
根據一些實施例,一種用於製造半導體裝置的方法包含在基板上方形成第一及第二磊晶結構,其中第一及第二磊晶結構具有不同導電類型,且第一及第二磊晶結構各者具有朝向上方的朝上刻面及朝向下方的朝下刻面。第一及第二金屬層分別在第一及第二磊晶結構的朝上刻面上形成。第一及第二金屬層以及第一及第二磊晶結構經退火以在第一磊晶結構的朝上刻面上形成第一頂部金屬合金層並在第二磊晶結構的朝上刻面上形成第二頂部金屬合金層。第三金屬層至少在第一及第二磊晶結構的朝下刻面上形成。第一及第三金屬層具有不同金屬。第三金屬層以及第一及第二磊晶結構經退火以在第一磊晶結構的朝下刻面上形成第一底部金屬合金層並在第二磊晶結構的朝下刻面上形成第二底部金屬合金層。
根據本揭露一些實施例的製造半導體裝置的方法,執行形成第三金屬層,使得第三金屬層進一步在第一頂部金屬合金層上形成。
根據本揭露一些實施例的製造半導體裝置的方法,第一金屬層的功函數大於第三金屬層的功函數。
根據本揭露一些實施例的製造半導體裝置的方法,包含在第一磊晶結構上方形成接觸蝕刻終止層;移除接觸蝕刻終止層的第一部分以暴露第一磊晶結構的朝上刻 面,其中接觸蝕刻終止層的第二部分保持在第一磊晶結構的朝下刻面上;在形成第一頂部金屬合金層之後移除接觸蝕刻終止層的第二部分。
根據本揭露一些實施例的製造半導體裝置的方法,移除接觸蝕刻終止層的第一部分包含各向異性地蝕刻接觸蝕刻終止層的第一部分。
根據本揭露一些實施例的製造半導體裝置的方法,移除接觸蝕刻終止層的第二部分包含各向異性地蝕刻接觸蝕刻終止層的第二部分。
上文概述若干實施例之特徵,使得熟習該項技術者可更好地理解本揭示之態樣。熟習此項技術者應瞭解,可輕易使用本揭示作為設計或修改其他製程及結構的基礎,以便實施本文所介紹之實施例的相同目的及/或實現相同優勢。熟習該項技術者亦應認識到,此類等效結構並未脫離本揭示之精神及範疇,且可在不脫離本揭示之精神及範疇的情況下產生本文的各種變化、替代及更改。
102:第一區域
104:第二區域
110:基板
112:半導體鰭片
114:半導體鰭片
120:絕緣結構
312:間隔件殘留物
314:間隔件殘留物
314(314i):間隔件殘留物
314(314o):間隔件殘留物
420:第一磊晶結構
432:第一磊晶層
434:第二磊晶層
436:第三磊晶層
520:第二磊晶結構
532:第四磊晶層
534:第五磊晶層
536:第六磊晶層
1310:第三頂部金屬合金層
1320:第四頂部金屬合金層
1330:第一底部金屬合金層
1340:第二底部金屬合金層
1410:第二接觸蝕刻終止層
1420:第二層間介電層
1422:開口
1424:開口
1510:接觸件
1512:接觸件
1514:填充材料
1520:接觸件
1522:阻擋層
1524:填充材料
W1~W5:寬度(厚度)
T3~T5:垂直厚度

Claims (9)

  1. 一種半導體裝置,包含:一第一磊晶結構及一第二磊晶結構,其中該第一磊晶結構及該第二磊晶結構具有不同的剖面;一第一頂部金屬合金層及一第二頂部金屬合金層,分別與該第一磊晶結構及該第二磊晶結構接觸;以及一第一底部金屬合金層及一第二底部金屬合金層,分別與該第一磊晶結構及該第二磊晶結構接觸,且分別在該第一頂部金屬合金層及該第二頂部金屬合金層下方,其中該第一頂部金屬合金層及該第一底部金屬合金層是由不同的材料製成,且該第一頂部金屬合金層與該第一磊晶結構包含相同的元素,且在該第一頂部金屬合金層與該第一磊晶結構之間的一第一接觸面積小於在該第一底部金屬合金層與該第一磊晶結構之間的一第二接觸面積。
  2. 如請求項1所述的半導體裝置,其中該第二頂部金屬合金層及該第二底部金屬合金層為由不同的材料製成。
  3. 如請求項1所述的半導體裝置,其中該第一頂部金屬合金層及該第二頂部金屬合金層為由相同材料製成。
  4. 如請求項1所述的半導體裝置,其中該第一底部金屬合金層及該第二底部金屬合金層為由相同材料製成。
  5. 如請求項1所述的半導體裝置,還包含一接觸件,位於該第一磊晶結構上方,其中該接觸件包含:一阻擋層,接觸該第一頂部金屬合金層;以及一填充材料,位於該阻擋層上方。
  6. 一種半導體裝置,包含:一第一磊晶結構,具有朝向上方的一朝上刻面以及朝向下方的一朝下刻面;一第二磊晶結構,具有朝向上方的一朝上刻面以及朝向下方的一朝下刻面,其中該第一磊晶結構及該第二磊晶結構具有不同導電類型;一第一頂部金屬合金層及一第二頂部金屬合金層,分別與該第一磊晶結構的該朝上刻面及該第二磊晶結構的該朝上刻面接觸;一第一底部金屬合金層及一第二底部金屬合金層,分別與該第一磊晶結構的該朝下刻面及該第二磊晶結構的該朝下刻面接觸,其中該第一頂部金屬合金層及該第一底部金屬合金層具有不同功函數,且在該第一頂部金屬合金層與該第一磊晶結構之間的一第一接觸面積小於在該第一底部金屬合金層與該第一磊晶結構之間的一第二接觸面積。
  7. 如請求項6所述的半導體裝置,其中該第一頂部金屬合金層共形於該第一磊晶結構。
  8. 一種半導體裝置的製造方法,包含:在一基板上方形成一第一及第二磊晶結構,其中該第一及第二磊晶結構具有不同導電類型,且該第一及第二磊晶結構各者具有朝向上方的一朝上刻面及朝向下方的一朝下刻面;在該第一及第二磊晶結構的該朝上刻面上分別形成一第一及第二金屬層;該第一及第二金屬層以及該第一及第二磊晶結構經退火以在該第一磊晶結構的該朝上刻面上形成一第一頂部金屬合金層並在該第二磊晶結構的該朝上刻面上形成一第二頂部金屬合金層;至少在該第一及第二磊晶結構的該朝下刻面上形成一第三金屬層,其中該第一及該第三金屬層具有不同金屬;以及該第三金屬層以及該第一及第二磊晶結構經退火以在該第一磊晶結構的該朝下刻面上形成一第一底部金屬合金層並在該第二磊晶結構的該朝下刻面上形成一第二底部金屬合金層。
  9. 如請求項8所述的半導體裝置的製造方法,其中執行形成該第三金屬層,使得該第三金屬層進一步在該第一頂部金屬合金層上形成。
TW107141533A 2017-11-27 2018-11-21 半導體裝置及其製造方法 TWI749275B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762591133P 2017-11-27 2017-11-27
US62/591,133 2017-11-27
US16/178,340 US10714475B2 (en) 2017-11-27 2018-11-01 Semiconductor device and manufacturing method thereof
US16/178,340 2018-11-01

Publications (2)

Publication Number Publication Date
TW201926708A TW201926708A (zh) 2019-07-01
TWI749275B true TWI749275B (zh) 2021-12-11

Family

ID=66632654

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107141533A TWI749275B (zh) 2017-11-27 2018-11-21 半導體裝置及其製造方法

Country Status (3)

Country Link
US (4) US10714475B2 (zh)
CN (1) CN109841506A (zh)
TW (1) TWI749275B (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11075206B2 (en) * 2018-12-14 2021-07-27 Qualcomm Incorporated SRAM source-drain structure
TWI773873B (zh) * 2019-01-22 2022-08-11 聯華電子股份有限公司 半導體元件及其製作方法
US11374101B2 (en) * 2019-02-28 2022-06-28 Tokyo Electron Limited Dual metal wrap-around contacts for semiconductor devices
US10978354B2 (en) 2019-03-15 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Selective dual silicide formation
KR102655419B1 (ko) * 2019-05-14 2024-04-05 삼성전자주식회사 반도체 장치
US11069784B2 (en) * 2019-05-17 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
KR20200141142A (ko) * 2019-06-10 2020-12-18 삼성전자주식회사 반도체 장치
TWI740465B (zh) * 2019-07-23 2021-09-21 國立清華大學 具超薄結晶性氧化鉿鋯之閘極介電層的鐵電電晶體裝置
US11862712B2 (en) * 2020-02-19 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of semiconductor device fabrication including growing epitaxial features using different carrier gases
KR20210145585A (ko) 2020-05-25 2021-12-02 삼성전자주식회사 집적회로 소자 및 이의 제조 방법
US11600728B2 (en) * 2020-06-15 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a facet-free source/drain epitaxial structure having an amorphous or polycrystalline layer
US11798946B2 (en) * 2020-09-08 2023-10-24 James D. Welch Compact FINFET CMOS
US11532522B2 (en) * 2021-01-19 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain EPI structure for improving contact quality
US11855143B2 (en) * 2021-02-26 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170117411A1 (en) * 2015-10-26 2017-04-27 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US20170148797A1 (en) * 2015-11-20 2017-05-25 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
US20170186654A1 (en) * 2015-12-25 2017-06-29 Semiconductor Manufacturing International (Shanghai) Corp Fin-fet device and fabrication method thereof

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8841177B2 (en) * 2012-11-15 2014-09-23 International Business Machines Corporation Co-integration of elemental semiconductor devices and compound semiconductor devices
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US8940595B2 (en) * 2013-03-15 2015-01-27 International Business Machines Corporation Faceted intrinsic epitaxial buffer layer for reducing short channel effects while maximizing channel stress levels
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9431537B2 (en) * 2014-03-26 2016-08-30 Samsung Electronics Co., Ltd. Semiconductor devices and methods of fabricating the same
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9627480B2 (en) * 2014-06-26 2017-04-18 Globalfoundries Inc. Junction butting structure using nonuniform trench shape
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US9406568B2 (en) * 2014-11-21 2016-08-02 International Business Machines Corporation Semiconductor structure containing low-resistance source and drain contacts
US10032910B2 (en) * 2015-04-24 2018-07-24 GlobalFoundries, Inc. FinFET devices having asymmetrical epitaxially-grown source and drain regions and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9496400B1 (en) * 2015-12-29 2016-11-15 International Business Machines Corporation FinFET with stacked faceted S/D epitaxy for improved contact resistance
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US10164042B2 (en) 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10475654B2 (en) 2017-08-31 2019-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact plug and method manufacturing same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170117411A1 (en) * 2015-10-26 2017-04-27 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US20170148797A1 (en) * 2015-11-20 2017-05-25 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
US20170186654A1 (en) * 2015-12-25 2017-06-29 Semiconductor Manufacturing International (Shanghai) Corp Fin-fet device and fabrication method thereof

Also Published As

Publication number Publication date
US20200350314A1 (en) 2020-11-05
US11211383B2 (en) 2021-12-28
CN109841506A (zh) 2019-06-04
US20220328481A1 (en) 2022-10-13
US20190164966A1 (en) 2019-05-30
TW201926708A (zh) 2019-07-01
US20210272956A1 (en) 2021-09-02
US10714475B2 (en) 2020-07-14
US11610888B2 (en) 2023-03-21

Similar Documents

Publication Publication Date Title
TWI749275B (zh) 半導體裝置及其製造方法
US11670717B2 (en) Structure of S/D contact and method of making same
US11728208B2 (en) FETS and methods of forming FETS
US20200303258A1 (en) Fin Spacer Protected Source and Drain Regions in FinFETs
US9166010B2 (en) FinFET device with epitaxial structure
US10734524B2 (en) Semiconductor device with multi-layered source/drain regions having different dopant concentrations and manufacturing method thereof
KR20180069701A (ko) FinFET 구조체 및 이를 형성하는 방법
US10170471B2 (en) Bulk fin formation with vertical fin sidewall profile
US10121870B1 (en) Semiconductor device structure with strain-relaxed buffer
US20220376079A1 (en) Semiconductor device structure
US10157997B2 (en) FinFETs and methods of forming the same
TW201711157A (zh) 互連結構與其製造方法和應用其之半導體元件
TWI742870B (zh) 半導體裝置結構及其形成方法
US11776851B2 (en) Semiconductor device with multi-layered source/drain regions having different dopant concentrations and manufacturing method thereof
TWI761980B (zh) 半導體裝置結構及其形成方法
US11201225B2 (en) Structure and formation method of semiconductor device with stressor
US11158741B2 (en) Nanostructure device and method
US20220415715A1 (en) Fin Field-Effect Transistor Device and Method of Forming
US20220359763A1 (en) Structure and formation method of semiconductor device with embedded epitaxial structure