TWI748619B - 高度可調式半導體晶圓支撐件以及用於調節半導體晶圓的高度的方法 - Google Patents

高度可調式半導體晶圓支撐件以及用於調節半導體晶圓的高度的方法 Download PDF

Info

Publication number
TWI748619B
TWI748619B TW109129555A TW109129555A TWI748619B TW I748619 B TWI748619 B TW I748619B TW 109129555 A TW109129555 A TW 109129555A TW 109129555 A TW109129555 A TW 109129555A TW I748619 B TWI748619 B TW I748619B
Authority
TW
Taiwan
Prior art keywords
shaft
pedestal
adjustment mechanism
top surface
wheel
Prior art date
Application number
TW109129555A
Other languages
English (en)
Other versions
TW202131440A (zh
Inventor
林明興
羅國綸
陳俊良
顏益祈
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202131440A publication Critical patent/TW202131440A/zh
Application granted granted Critical
Publication of TWI748619B publication Critical patent/TWI748619B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

提供一種高度可調式半導體晶圓支撐件。所述高度可調式半導體晶圓支撐件包括吸盤、調節機構以及台座。吸盤用於支撐半導體晶圓。調節機構具有用於支撐所述吸盤的頂表面。台座耦合到所述調節機構,從而使得所述調節機構的所述頂表面相對於所述台座的移動改變所述調節機構的所述頂表面與所述台座的頂表面之間的距離。

Description

高度可調式半導體晶圓支撐件以及用於調節半導體晶圓的高度的方法
本發明實施例是有關於一種高度可調式半導體晶圓支撐件。
在半導體製作期間,半導體晶圓在經歷一個或多個製程(例如製作和/或檢查)的同時支撐在一個或多個支撐件上。
本發明提供一種半導體晶圓支撐件,其可調節半導體晶圓的高度。
在本發明的一實施例中,一種半導體晶圓支撐件包括吸盤、調節機構以及台座。吸盤用於支撐半導體晶圓。調節機構具有用於支撐所述吸盤的頂表面。台座耦合到所述調節機構,從而 使得所述調節機構的所述頂表面相對於所述台座的移動改變所述調節機構的所述頂表面與所述台座的頂表面之間的距離。
在本發明的一實施例中,一種高度可調式半導體晶圓支撐件包括吸盤、調節機構以及台座。吸盤用於支撐半導體晶圓。調節機構具有用於支撐所述吸盤的頂表面。台座耦合到所述調節機構,從而使得通過所述調節機構的馬達實現的所述調節機構的所述頂表面相對於所述台座的移動改變所述調節機構的所述頂表面與所述台座的頂表面之間的距離。
在本發明的一實施例中,一種用於調節半導體晶圓的高度的方法包括:將用於支撐所述半導體晶圓的吸盤放置在支撐所述吸盤的調節機構的頂表面上;以及啟動所述調節機構,以改變所述調節機構的所述頂表面與支撐所述調節機構的台座的頂表面之間的距離。
100:高度可調式半導體晶圓支撐件
102:半導體製作設備
104:半導體晶圓
105:控制器
108:吸盤
109:端壁
110:調節機構
111、113、117、614、1352c:頂表面
112:台座
114、115、116:距離
118:厚度
119、616:底表面
120、706:凹陷部
202:凹槽
402:驅動構件
403:馬達
404:帶
406:輪
408:軸
410:張緊器
412:狹槽
414:轉向器
502、922:第二突出部
602、920:第一突出部
604、910:第一凹槽
606:內側壁
704、912:第二凹槽
802:上部凸緣
804:下部凸緣
902:對接部分
904:垂直輪停止件
906:第一部分
908:第二部分
1202:控制器
1204:標識(ID)讀取器
1206:ID
1300:半導體裝置
1302、G:柵極區
1304、S:源極區
1306、D:漏極區
1308:鰭高度
1310:鰭寬度
1312:鰭長度
1314:鰭型場效電晶體(FinFET)裝置
1316:線
1318:介電層
1352:通道區
1352a:第一垂直表面
1352b:第二垂直表面
1400:實施方案
1402:方法
1404:處理器可執行電腦指令
1406:電腦可讀數據
1408:電腦可讀媒體
1500:系統
1512、1530:計算裝置
1514:虛線
1516:處理單元
1518:記憶體
1520:儲存裝置
1522:輸出裝置
1524:輸入裝置
1526:通訊連接部
1528:網路
結合附圖閱讀以下詳細說明,會最好地理解本發明實施例的各個方面。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為論述清晰起見,可任意增大或減小各種特徵的尺寸。
圖1示出根據一些實施例的高度可調式半導體晶圓支撐件的側視圖。
圖2示出根據一些實施例的高度可調式半導體晶圓支撐件的俯視圖。
圖3示出根據一些實施例的高度可調式半導體晶圓支撐件的俯視圖。
圖4示出根據一些實施例的高度可調式半導體晶圓支撐件的輪(wheel)及軸(shaft)的透視圖。
圖5示出根據一些實施例的高度可調式半導體晶圓支撐件的輪及軸的透視圖。
圖6示出根據一些實施例的高度可調式半導體晶圓支撐件的輪、軸及台座(stage)的透視圖。
圖7示出根據一些實施例的高度可調式半導體晶圓支撐件的輪的透視圖。
圖8示出根據一些實施例的高度可調式半導體晶圓支撐件的輪的透視圖。
圖9示出根據一些實施例的高度可調式半導體晶圓支撐件的軸的透視圖。
圖10示出根據一些實施例的高度可調式半導體晶圓支撐件的輪的透視圖及台座的俯視圖。
圖11示出根據一些實施例的高度調節機構的三種不同狀態的透視圖。
圖12示出根據一些實施例的高度可調式半導體晶圓支撐件的俯視圖。
圖13A示出根據一些實施例的半導體裝置的透視圖,高度可調式半導體晶圓支撐件是與半導體裝置一起使用。
圖13B示出根據一些實施例的半導體裝置的橫截面圖,高度可調式半導體晶圓支撐件是與半導體裝置一起使用。
圖14示出根據一些實施例的其中包括被配置成實施本文中所述條款中的一者或多者的處理器可執行指令的示例性電腦可讀媒體。
圖15示出根據一些實施例的其中實施本文中所述條款中的一者或多者的示例性計算環境。
以下揭露內容提供用於實作所提供主題的不同特徵的許多不同的實施例或實例。以下闡述元件及排列的具體實例以簡化本發明實施例。當然,這些僅為實例且不旨在進行限制。舉例來說,以下說明中將第一特徵形成在第二特徵「之上」或第二特徵「上」可包括其中第一特徵及第二特徵被形成為直接接觸的實施例,且也可包括其中第一特徵與第二特徵之間可形成有附加特徵、進而使得所述第一特徵與所述第二特徵可能不直接接觸的實施例。另外,本揭露內容可能在各種實例中重複使用參考編號及/或字母。這種重複使用是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如「之下(beneath)」、 「下面(below)」、「下部的(lower)」、「上方(above)」、「上部的(upper)」等空間相對性用語來闡述圖中所示的一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的取向外更囊括裝置在使用或操作中的不同取向。設備可具有其他取向(旋轉90度或處於其他取向)且本文中所用的空間相對性描述語可同樣相應地進行解釋。
根據一些實施例,提供一種高度可調式半導體晶圓支撐件。根據一些實施例,所述高度可調式半導體晶圓支撐件使得能夠(allows)調節半導體晶圓的高度,例如相對於下方(underlying)的台座的高度。在一些實施例中,所述高度可調式半導體晶圓支撐件包括吸盤、調節機構以及台座。吸盤用於支撐半導體晶圓。調節機構具有用於支撐吸盤的頂表面。台座耦合到調節機構,從而使得調節機構的頂表面相對於台座的移動改變調節機構的頂表面與台座的頂表面之間的距離。根據一些實施例,考慮到半導體晶圓由吸盤支撐且吸盤支撐在調節機構的頂表面上,半導體晶圓與台座之間的距離隨著調節機構的頂表面與台座的頂表面之間的距離改變而改變。
在一些實施例中,所述高度可調式半導體晶圓支撐件使得能夠(allows)使用單個半導體晶圓支撐件來處理具有不同尺寸(例如厚度、直徑、周長等)的不同大小的半導體晶圓,而不是需要不同的半導體晶圓支撐件(例如對於每一不同大小的半導體晶圓需要不同的支撐件)。在一些實施例中,所述高度可調式半導 體晶圓支撐件使得能夠使用同一半導體製作設備來處理具有不同尺寸(例如厚度、直徑、周長等)的不同大小的半導體晶圓,而不是需要不同的半導體製作設備(例如對於每一不同大小的半導體晶圓需要相應的製作設備)。在一些實施例中,具有第一大小的第一半導體晶圓通過所述高度可調式半導體晶圓支撐件移動,以便與第一件製作設備(例如檢查工具)相距第一距離,而具有第二大小的第二半導體晶圓通過所述高度可調式半導體晶圓支撐件移動,以便與第一件製作設備相距第二距離(例如小於第一距離)。根據一些實施例,能夠將不同大小的半導體晶圓放置成與製作設備相距不同的距離使得同一製作設備能夠用於不同的半導體晶圓中的每一者。根據一些實施例,半導體製作設備(例如半導體晶圓支撐件、製作工具、檢查工具等)一般相當昂貴,因而能夠減少所需的半導體製作設備(例如處理不同大小的半導體晶圓)的數量會造成顯著的節省。在一些實施例中,能夠使用同一半導體製作設備來處理不同大小的半導體晶圓使得能夠(allows)通過減少(例如減少到零)原本要改變至少一些半導體製作設備以處理不同大小的半導體晶圓所需的時間或勞動中的至少一者來實現節省。
圖1示出根據一些實施例的高度可調式半導體晶圓支撐件100的側視圖。在一些實施例中,高度可調式半導體晶圓支撐件100包括用於支撐半導體晶圓104的吸盤108、調節機構110及台座112。根據一些實施例,調節機構110包括馬達403、至少一 個輪406、至少一個軸408及帶404,且因此調節機構110用虛影(phantom)示出以囊括這些物項。儘管示出三個輪406及三個軸408,然而在一些實施例中,不同數目的輪406及軸408是可以被設想的。根據一些實施例,輪406與軸408彼此間隔開任何所期望的距離,所述距離可為等距的或可不為等距的。根據一些實施例,調節機構110耦合到台座112,從而使得調節機構110的頂表面111相對於台座112的移動可改變調節機構110的頂表面111與台座112的頂表面113之間的距離114。根據一些實施例,考慮到半導體晶圓104由吸盤108支撐且吸盤108支撐在調節機構110的頂表面111上,半導體晶圓104與台座112之間的距離115隨著調節機構110的頂表面111與台座112的頂表面113之間的距離114改變而改變。根據一些實施例,一個或多個軸408的相應頂表面對應於調節機構110的頂表面111。根據一些實施例,如下文進一步論述及在其他圖中示出,帶404耦合到輪406及馬達403,且輪406分別耦合到軸408。在一些實施例中,馬達403使帶404移動,其中帶的移動使輪旋轉,此使得軸在垂直方向上移動,以改變調節機構110的頂表面111與台座112的頂表面113之間的距離114。在一些實施例中,例如當距離114要減小時,在台座112中形成一個或多個凹陷部706,以容置或接收相應的軸408。在一些實施例中,馬達403容納在台座112中的凹陷部內。在一些實施例中,輪406中的一者或多者容納在台座112中的相應凹陷部內。在一些實施例中,帶404容納在台座112中的凹陷部內。
根據一些實施例,台座112大體上相對於一件或多件半導體製作設備102(例如計量儀器、掃描器器、檢查工具、處理工具等)固定。根據一些實施例,考慮到台座112與半導體製作設備102之間的固定關係,半導體晶圓104與台座112之間的距離115的變化會改變半導體晶圓104的頂表面117與半導體製作設備102的底表面119之間的距離116。根據一些實施例,即使厚度118可在一個半導體晶圓104到另一個半導體晶圓104之間有所變化,調節半導體晶圓104與台座112之間的距離115的能力仍會使得無論半導體晶圓厚度如何,半導體晶圓104的頂表面117與半導體製作設備102的底表面119之間的距離116均能夠得到維持或以其他方式視需要進行調節,以從半導體製作設備102獲得所期望的結果。
在一些實施例中,高度可調式半導體晶圓支撐件100包括例如電腦、處理器、記憶體、應用專用積體電路(application specific integrated circuit,ASIC)等控制器105。在一些實施例中,控制器105耦合到馬達403或半導體製作設備102中的至少一者,以例如控制距離116來實現所期望的結果。根據一些實施例,半導體製作設備102代表用於半導體製作、測試、計量等的一個或多個物項。根據一些實施例,半導體製作設備102會促進光學計量、電子束計量、光譜計量、影像處理、成像檢查等中的一者或多者。根據一些實施例,半導體製作設備102會促進圖案化晶圓檢查、未圖案化晶圓檢查、光罩板(reticle)檢查、光罩幕(photomask) 檢查、膜分析、表面測量良率分析、缺陷分類等中的一者或多者。根據一些實施例,半導體製作設備102會促進污染檢測、粒子檢測、粒子計數(particle count)、粒子分類、粒子表徵(particle characterization)等中的一者或多者。根據一些實施例,半導體製作設備102會促進圖案缺陷檢測、表面異常檢測、電故障檢測、物性故障檢測(physical failure detection)等中的一者或多者。根據一些實施例,半導體製作設備102會促進關於微影效率、蝕刻效率、沉積效率、化學機械平坦化(chemical mechanical planarization)效率等的測量中的一者或多者。根據一些實施例,半導體製作設備102會促進關於層之間的對準、高縱橫比(high-aspect-ratio)特徵、接觸孔輪廓、製程工具性能、特徵/元件尺寸等的測量中的一者或多者。根據一些實施例,控制器105控制半導體製作設備102或馬達403中的至少一者的操作,以例如通過調節距離116來實現所期望的結果,以便獲得一個或多個所期望的測量。根據一些實施例,控制器105進行以下中的至少一者:產生或實施會促進所期望的半導體製作製程(例如沉積、化學機械平坦化、蝕刻等)的指令。
根據一些實施例,吸盤108界定出一個或多個凹陷部120,所述一個或多個凹陷部120會促進以下中的至少一者:將半導體晶圓104放置到吸盤108上或從吸盤108移除半導體晶圓104。根據一些實施例,所述一個或多個凹陷部120被配置成接納例如位於叉車(forklift)、機械臂等上的承載半導體晶圓104的指狀物 (finger),以例如進行以下中的至少一者:將半導體晶圓104放置到吸盤108上或從吸盤108移除半導體晶圓104。
圖2示出根據一些實施例的高度可調式半導體晶圓支撐件100的俯視圖。根據一些實施例,吸盤108一般是圓形的,例如用於支撐一般為圓形的半導體晶圓(未示出)。根據一些實施例,所述一個或多個凹陷部120中的至少一些凹陷部120被界定在部份而不是整個吸盤108內,以便不完全延伸跨過吸盤108。在一些實施例中,所述一個或多個凹陷部120中的至少一些凹陷部120是矩形的或其他形狀,以容置一個或多個對應形狀的指狀物(未示出)。根據一些實施例,端壁109界定出凹陷部的終端或端部。根據一些實施例,當遇到端壁109時,指狀物停止前進,從而使得半導體晶圓居中地放置在吸盤108上或以其他方式如所期望般放置在吸盤108上。根據一些實施例,吸盤108界定出一個或多個凹槽202。根據一些實施例,所述一個或多個凹槽202中的至少一些凹槽202是圓形的。根據一些實施例,所述一個或多個凹槽202中的至少一些凹槽202相對於另一個凹槽是同心配置的。
圖3示出根據一些實施例的高度可調式半導體晶圓支撐件100的俯視圖。根據一些實施例,調節機構110的至少一些部分位於台座112上、台座112中、由台座112支撐、與台座112一體成型等。根據一些實施例,調節機構110包括驅動構件402(例如齒輪),驅動構件402耦合到用以驅動(例如旋轉)驅動構件402的馬達403。在一些實施例中,馬達403相對於馬達403 的位置可不同於所示位置(例如不同於同心配置)。根據一些實施例,馬達403的至少一些部分位於台座112的頂表面113上。根據一些實施例,馬達403的至少一些部分位於台座112的頂表面113下面,例如位於界定在台座112內的凹陷部內。根據一些實施例,驅動構件402包括軸,且馬達403使軸旋轉,以例如使驅動構件402的其他方位(aspects)旋轉。根據一些實施例,馬達403包括對接部分(例如齒),對接部分與驅動構件402上的對應對接部分(例如齒)對接或以其他方式配合,以驅動驅動構件402。根據一些實施例,驅動構件402的至少一些部分位於台座112的頂表面113上。根據一些實施例,驅動構件402的至少一些部分位於台座112的頂表面113下面,例如位於界定在台座112內的凹陷部內。
根據一些實施例,調節機構110包括帶404。根據一些實施例,馬達403通過驅動構件402使帶404在第一方向(例如順時針)或第二方向(例如逆時針)中的至少一者上移動。根據一些實施例,驅動構件402包括對接部分(例如齒),所述對接部分與帶404上的對應對接部分(例如狹縫(slit)、碎粒(grit)等)對接或以其他方式配合,以使帶404移動。根據一些實施例,帶404不具有對接構件,從而使得驅動構件402與帶404的表面配合。
根據一些實施例,調節機構110包括一個或多個輪406。根據一些實施例,所述一個或多個輪406中的至少一些輪406位 於台座112的頂表面113上。根據一些實施例,所述一個或多個輪406中的至少一些輪406位於台座112的頂表面113下面,例如位於界定在台座112內的凹陷部內。根據一些實施例,帶404在第一方向上的移動使所述一個或多個輪406在第一旋轉方向上旋轉。根據一些實施例,帶404在第二方向上的移動使所述一個或多個輪406在第二旋轉方向上旋轉。根據一些實施例,所述一個或多個輪406中的至少一些輪406包括對接部分(例如齒),所述對接部分與帶404上的對應對接部分(例如狹縫、碎粒等)對接或以其他方式配合,以通過帶404來旋轉。根據一些實施例,帶404不具有對接構件,從而使得帶404的表面與所述一個或多個輪406配合。
根據一些實施例,調節機構110包括一個或多個軸408。根據一些實施例,所述一個或多個軸408中的至少一些軸408位於台座112的頂表面113上。根據一些實施例,所述一個或多個軸408中的至少一些軸408位於台座112的頂表面113下面,例如位於界定在台座112內的凹陷部內。根據一些實施例,所述一個或多個軸408中的至少一個軸408的頂表面界定出調節機構110的頂表面111。根據一些實施例,輪406在第一旋轉方向上的旋轉使軸408在第一垂直方向上移動,以改變調節機構110的頂表面111與台座112的頂表面113之間的距離114(圖1),其中第一垂直方向對應於軸408的縱向軸線。根據一些實施例,輪406在第二旋轉方向上的旋轉使軸408在與第一垂直方向相反的第二垂直 方向上移動,以改變調節機構110的頂表面111與台座112的頂表面113之間的距離114(圖1)。根據一些實施例,所述一個或多個軸408中的至少一些軸408包括對接部分(例如突出部(tab)、凹槽等),所述對接部分與輪406上的對應對接部分(例如突出部、凹槽等)對接或以其他方式配合。
根據一些實施例,調節機構110包括張緊器(tensioner)410,張緊器410耦合到帶404以調節帶中的張力。根據一些實施例,張緊器410在狹槽412內行進,以在帶404上施加或多或少的張力,且當帶404上達到所期望的張力量時,張緊器410在狹槽中鎖定位置。根據一些實施例,張緊器410的至少一些部分位於台座112的頂表面113上。根據一些實施例,張緊器410的至少一些部分位於台座112的頂表面113下面,例如位於界定在台座112內的凹陷部內。在一些實施例中,調節機構110包括轉向器(diverter)414,以將帶404定位在相對於台座112的所期望位置處,例如定位成遠離承載半導體晶圓的一個或多個指狀物。根據一些實施例,轉向器414的至少一些部分位於台座112的頂表面113上。根據一些實施例,轉向器414的至少一些部分位於台座112的頂表面113下面,例如位於被界定在台座112內的凹陷部內。
圖4示出根據一些實施例的高度可調式半導體晶圓支撐件100的輪406及軸408的透視圖。根據一些實施例,輪406環繞軸408或者與軸408同心。根據一些實施例,軸408比輪406 長,且相對於輪406在垂直方向上上下移動,其中垂直方向對應於軸的縱向軸線,且軸408的移動回應於輪406的旋轉。根據一些實施例,輪406相對於台座112的頂表面113(圖1)旋轉,但相對於台座112的頂表面113具有很少的垂直移動或毫無垂直移動。根據一些實施例,考慮到軸408相對於輪406在垂直方向上移動,但輪406不相對於台座112的頂表面113在垂直方向上移動,軸408回應於輪406的旋轉而相對於台座的頂表面113在垂直方向上移動。考慮到軸408的端部對應於調節機構110的頂表面111,軸408的垂直移動改變調節機構110的頂表面111與台座112的頂表面113之間的距離114(圖1)。
根據一些實施例,為促進軸408的此種垂直移動,軸408具有用於與輪406的第一輪對接部分對接的第一軸對接部分。根據一些實施例,當輪406旋轉時,第一軸對接部分與第一輪對接部分之間的配合會造成軸408的垂直移動。根據一些實施例,第一軸對接部分包括從軸408突出的第一突出部602。根據一些實施例,軸408具有用於與台座112(圖1)的第二台座對接部分對接的第二軸對接部分。根據一些實施例,當軸在輪406旋轉時做垂直移動時,第二軸對接部分與第二台座對接部分之間的配合會抑制軸繞縱向軸線的旋轉移動。根據一些實施例,第二軸對接部分包括從軸408突出的第二突出部502。
圖5示出根據一些實施例的高度可調式半導體晶圓支撐件100的輪406及軸408的透視圖。在圖5中,根據一些實施例, 輪406與軸408被繪示為未耦合或者軸408不在輪406內,以至少示出第一輪對接部分。在一些實施例中,第一輪對接部分包括形成在輪406的內側壁606內的第一凹槽604。
根據一些實施例,垂直度量(vertical measure)(例如輪406的頂表面614與第一凹槽604之間的距離)可圍繞輪406的內側壁606變化。根據一些實施例,第一凹槽604的至少一些部分具有恒定的非零斜率,或者圍繞輪406的內側壁606在垂直方向上線性變化。根據一些實施例,第一凹槽604的至少一些部分具有為零的斜率,或者不圍繞輪406的內側壁606在垂直方向上變化。
根據一些實施例,當輪406旋轉時,第一突出部602搭載(rides)在第一凹槽604內。根據一些實施例,當第一突出部602搭載在第一凹槽604的具有非零斜率的部分內時,軸408在垂直方向上移動。根據一些實施例,當第一突出部602搭載在第一凹槽604的具有為零的斜率的部分內時,軸408不在垂直方向上移動。根據一些實施例,輪406可旋轉以將第一突出部602放置在第一凹槽604的第一水準或零斜率部分內,以將軸408‘停放’在第一垂直位置處,且將半導體晶圓104(圖1)放置成與台座112的頂表面113相距第一距離。根據一些實施例,輪406可旋轉以將第一突出部602從第一凹槽604的第一水準部分通過第一凹槽604的非零傾斜或非水準部分過渡到第一凹槽604的第二水準或零傾斜部分,其中第一凹槽604的第二水準部分與第一凹槽604 的第一水準部分處於不同的標高(elevation)或垂直位置,以將軸408「停放」在第二垂直位置處,且將半導體晶圓104(圖1)放置成與台座112的頂表面113相距第二距離。
根據一些實施例,第一凹槽604具有任意數目的水準部分,以實現軸的所期望細微性(granularity)或垂直位置數目,並因此實現半導體晶圓104(圖1)與台座112的頂表面113之間的距離。根據一些實施例,第一凹槽604的一些部分具有不同的斜率,從而使得當輪406以恒定速度旋轉時,與當第一突出部602搭載在第一凹槽604的具有第二非零斜率的第二部分內時相比,當第一突出部602搭載在第一凹槽604的具有第一非零斜率的第一部分內時,軸408在垂直方向上的移動量不同。根據一些實施例,當在水準方向上測量時,第一凹槽604的具有非零斜率的一些部分具有不同的長度。根據一些實施例,當在水準方向上測量時,第一凹槽604的具有為零的斜率的一些部分具有不同的長度,從而使得當輪406以恒定速度旋轉時,與當第一突出部602搭載在第一凹槽604的具有為零的斜率的第二部分內時相比,當第一突出部602搭載在第一凹槽604的具有為零的斜率的第一部分內時,軸408在垂直方向上缺乏移動會持續較長的時間週期。
根據一些實施例,第一凹槽604不延伸超過輪406的頂表面614或輪406的底表面616中的至少一者,以使得輪406不會‘脫離’軸408,或者即使輪406旋轉超過360度,第一突出部602也繼續搭載在第一凹槽604內。根據一些實施例,第一突出部602 與第二突出部502間隔開,從而使得當軸408隨著輪406旋轉而上下移動且第一突出部602搭載在第一凹槽604內時,輪406不接觸第二突出部502。
圖6示出根據一些實施例的高度可調式半導體晶圓支撐件100的輪406、軸408以及台座112的一部分的透視圖。根據一些實施例,凹陷部706界定在台座112內,從而使得當軸408隨著輪406旋轉而上下移動時,軸408向下插入凹陷部706中且從凹陷部706向上伸出。根據一些實施例,第二台座對接部分包括位於台座112中的第二凹槽704,從而使得當軸408上下移動時,第二突出部502在第二凹槽704中上下移動。根據一些實施例,第二凹槽704相對於第二突出部502的尺寸被確定為當輪406旋轉時抑制軸408繞縱向軸線的旋轉移動,從而使得輪406的旋轉實質上轉化為軸408的垂直移動,軸408的垂直移動與軸408的旋轉移動相反。根據一些實施例,輪406經歷很少的垂直移動或毫無垂直移動,而是主要由於帶404(圖3)的移動而旋轉。根據一些實施例,輪406的底表面616接觸或緊鄰台座112的頂表面113。
圖7示出根據一些實施例的高度可調式半導體晶圓支撐件100的輪406的透視圖。根據一些實施例,輪406具有上部凸緣802或下部凸緣804中的至少一者,以抑制帶404(圖3)從輪406上拆離,所述拆離例如可能在其他情況下因帶404在輪406的頂表面614之上向上移動或從輪406的底表面616向下移動而 發生。
圖8示出根據一些實施例的高度可調式半導體晶圓支撐件100的輪406及垂直輪停止件904的透視圖。根據一些實施例,輪406包括一個或多個對接部分902(例如齒),所述一個或多個對接部分902與帶404(圖3)對接或以其他方式配合,以促進輪460通過帶404旋轉。根據一些實施例,垂直輪停止件904具有例如附接到台座112的頂表面113的第一部分906以及位於輪406之上的第二部分908。根據一些實施例,第二部分908緊鄰輪406的上部凸緣802或頂表面614中的至少一者。根據一些實施例,如果輪406經歷垂直移動,則輪406會遇到第二部分908,從而使得輪406的此種垂直移動中止或受到約束。
圖9及圖10示出根據一些實施例的高度可調式半導體晶圓支撐件100的輪406、軸408以及台座112的一部分。根據一些實施例,第一軸對接部分包括位於軸408中的第一凹槽910,且第一輪對接部分包括位於輪406上的第一突出部920。根據一些實施例,第一軸對接部分與第一輪對接部分之間的配合如前面所述般進行,以促進軸408的垂直移動,且因此促進半導體晶圓104(圖1)的相對垂直定位。根據一些實施例,第二軸對接部分包括位於軸408中的第二凹槽912,且第二台座對接部分包括位於台座112上的第二突出部922。根據一些實施例,第二軸對接部分與第二台座對接部分之間的配合如前面所述般進行,以抑制軸408的移動。
圖11示出根據一些實施例的高度可調式半導體晶圓支撐 件100的三種不同狀態的透視圖。儘管示出三個軸408及三個輪406,然而根據一些實施例,可設想存在任何數目的軸及輪。軸408被示為處於三個不同的高度,從而使得軸408的頂表面111與台座112的頂表面113之間的距離114具有三個不同的值,從而將半導體晶圓104(圖1)放置在三個不同的垂直位置處。
圖12示出根據一些實施例的高度可調式半導體晶圓支撐件100的俯視圖。根據一些實施例,控制器1202耦合到馬達403以控制馬達403的操作。根據一些實施例,控制器1202對應於圖1中的控制器105。根據一些實施例,標識(identification,ID)讀取器1204鄰近半導體晶圓104,以讀取半導體晶圓104的ID 1206。根據一些實施例,ID 1206是條碼(bar code)或其他類似物,或者是例如由ID讀取器1204獲取以對半導體晶圓104進行表徵(characterize)或辨識的半導體晶圓104的大小、尺寸等的測量值。根據一些實施例,ID讀取器1204耦合到控制器1202,以使得控制器1202根據半導體晶圓104的ID、大小、表徵等控制馬達403的操作。根據一些實施例,考慮到由ID讀取器1204獲取的讀數,控制器1202控制馬達403,以使帶移動且輪406旋轉以使軸408如所期望般移動,以將半導體晶圓104放置在所期望的標高處(elevation),例如鄰近一件半導體製作設備(例如圖1中的半導體製作設備102)。根據一些實施例,除此之外,高度可調式半導體晶圓支撐件100還通過將不同大小(例如厚度、直徑等)的半導體晶圓移動到相對於半導體製作設備的所期望位置來 提供靈活性(flexibility)。
圖13A及圖13B根據一些實施例示出形成在半導體晶圓(例如圖1中的半導體晶圓104)的至少一些部分上或至少一些部分中的半導體裝置1300的至少一些部分。在一些實施例中,一件半導體製作設備(例如圖1中的半導體製作設備102的至少一些部分)在半導體裝置1300上操作。根據一些實施例,半導體裝置1300包括鰭型場效電晶體(fin-type field effect transistor,FinFET)裝置1314,其中圖13B示出沿圖13A所示的線1316穿過FinFET裝置1314的柵極區(G)1302及通道區1352截取的FinFET裝置1314的橫截面圖。根據一些實施例,FinFET裝置1314包括源極區(S)1304及漏極區(D)1306。根據一些實施例,通道區1352位於源極區1304與漏極區1306之間。根據一些實施例,如圖13B中所示,FinFET裝置1314的柵極區1302包繞(wrap around)在通道區1352的一個或多個表面(例如第一垂直表面1352a、第二垂直表面1352b及頂表面1352c)周圍。根據一些實施例,介電層1318位於柵極區1302與通道區1352之間。根據一些實施例,源極區1304、通道區1352及漏極區1306包括在FinFET裝置1314的鰭內,其中FinFET裝置1314包括一個或多個鰭。根據一些實施例,柵極區1302通過控制通道區1352來以電的方式控制FinFET裝置1314。根據一些實施例,回應於施加到柵極區1302的電壓或缺少電壓,柵極區1302修改通道區1352的性質,以使得電流流過源極區1304與漏極區1306之間的通道區1352,從而使得 FinFET裝置1314處於接通狀態。根據一些實施例,對通道區1352的控制是基於鰭寬度1310、鰭長度1312或鰭高度1308中的至少一者。根據一些實施例,增加鰭高度1308會改善FinFET裝置1314的電特性(例如速度或回應性)。根據一些實施例,半導體晶圓或其部分包括多個FinFET裝置。根據一些實施例,不同鰭片之間或不同FinFET裝置之間或兩者之間鰭高度的變化導致電壓閥值的變化及不匹配的電性指標。根據一些實施例,如至少參照圖1所述般調節距離116會促進對FinFET裝置1314的物理性質進行所期望測量,所述物理性質為例如以下中的至少一者:鰭寬度1310、鰭長度1312、鰭高度1308中的至少一者、不同鰭之間鰭寬度的變化、不同鰭之間鰭長度的變化、不同鰭之間鰭高度的變化、不同FinFET裝置之間鰭寬度的變化、不同FinFET裝置之間鰭長度的變化或者不同FinFET裝置之間鰭高度的變化。根據一些實施例,如至少參照圖1所述般調節距離116會促進對FinFET裝置1314的電性質進行所期望測量,所述電性質為例如以下中的至少一者:響應於施加到柵極區1302的特定電壓而經過通道區1352的電流、回應於施加到不同鰭的柵極區1302的特定電壓而經過所述不同鰭的通道區1352的電流的變化或者回應於施加到不同FinFET裝置的柵極區1302的特定電壓而經過所述不同FinFET裝置的通道區1352的電流的變化。根據一些實施例,如至少參照圖1所述般調節距離116會促進調節一種或多種製作流程(例如沉積、蝕刻、化學機械平坦化等),以獲得所期望的結果(例如調節鰭寬度1310、 鰭長度1312、鰭高度1308等中的一者或多者)。根據一些實施例,在半導體裝置1300的製作期間或在半導體裝置1300的製作之後(例如反覆運算地(iteratively)、即時地、臨場地(in situ)等),前述測量、調節等中的一者或多者可通過控制器105(圖1)而得到促進。
圖14根據一些實施例示出示例性電腦可讀媒體,其中所述示例性電腦可讀媒體包括用以實施本文中所述條款(provisions)中的一者或多者的處理器可執行指令。在一些實施例中,圖14中所示實施方案1400包括上面編碼有電腦可讀數據1406的電腦可讀媒體1408,例如可錄式光碟(compact disk-recordable,CD-R)、可錄式數位多功能光碟(digital versatile disk-recordable,DVD-R)、快閃隨身碟(flash drive)、硬碟的碟片(platter)等。根據一些實施例,電腦可讀數據1406(例如包括零或一中的至少一者的二進位資料)依次包括一組處理器可執行電腦指令1404,處理器可執行電腦指令1404用以根據本文中所述原則(principles)中的一者或多者進行操作。在一些實施例中,處理器可執行電腦指令1404用以執行方法1402,例如本文中針對圖1中的控制器105或圖12中的控制器1202中的至少一者闡述的操作中的至少一些操作。在一些實施例中,處理器可執行電腦指令1404用以實施一種系統,例如高度可調式半導體晶圓支撐件100的至少一些部分。許多此種電腦可讀媒體被所屬領域中的普通技術人員設計為用以根據本文中呈現的技術來進行操作。
本申請中所使用的用語「元件」、「模組」、「系統」、「介面」等一般旨在指代電腦相關實體:硬體、硬體與軟體的組合、軟體或者執行中的軟體。舉例來說,組件可包括在處理器上運行的處理序(process)、處理器、物件(object)、可執行檔(executable)、執行緒(thread of execution)、程式或電腦。舉例來說,在控制器上運行的應用程式與控制器二者均可為組件。一個或多個元件可駐留(reside)在處理序或執行緒中,且一元件可位於一台電腦上或者分佈在兩台或更多台電腦之間。
此外,所主張的主題可被實施為使用標準程式設計或工程技術來產生軟體、韌體、硬體或其任意組合以控制電腦實施所揭露主題的方法、裝置或製品。本文中所使用的用語「製品」旨在包括可從任何電腦可讀裝置、載體或媒體存取的電腦程式。當然,在不背離所主張主題的範圍或精神的情況下,可對此種配置進行許多修改。
圖15示出根據一些實施例的其中實施本文中所述條款中的一者或多者的示例性計算環境。圖15所示操作環境僅為合適的操作環境的一個例子,且不旨在對操作環境的使用範圍或功能提出任何限制。示例性計算裝置包括但不限於個人電腦、伺服器電腦、手持或膝上型裝置、行動裝置(例如行動電話、個人數位助理(Personal Digital Assistant,PDA)、媒體播放機等)、多處理器系統、消費電子裝置(consumer electronics)、小型電腦(mini computer)、大型電腦(mainframe computer)、包括以上系統或裝 置中的任一者的分散式運算環境等。
一般來說,實施例是在「電腦可讀指令」由一個或多個計算裝置執行的一般背景下進行闡述。如下文將論述,電腦可讀指令通過電腦可讀媒體進行分佈。電腦可讀指令被實施為實行特定任務或實施特定抽象資料類型的程式模組,例如函數、物件、應用程式設計介面(Application Programming Interface,API)、資料結構等。通常,電腦可讀指令的功能在各種環境中視需要而進行組合或分佈。
圖15示出包括計算裝置1512的系統1500的例子,計算裝置1512用以實施本文中所提供的一個或多個實施例,例如圖1中的控制器105或圖12中的控制器1202中的至少一者。在一配置中,計算裝置1512包括至少一個處理單元1516及記憶體1518。在一些實施例中,視計算裝置的確切配置及類型而定,記憶體1518是揮發性的(volatile)(例如隨機存取記憶體(random access memory,RAM))、非揮發性的(例如唯讀記憶體(read-only memory,ROM)、快閃記憶體等)或所述二者的某種組合。此種配置在圖15中由虛線1514示出。
在一些實施例中,計算裝置1512包括附加特徵或功能。舉例來說,計算裝置1512還包括例如移動式儲存裝置(removable storage)或非移動式儲存裝置等附加儲存裝置,包括但不限於磁性儲存裝置、光學儲存裝置等。此種附加儲存裝置在圖15中由儲存裝置(storage)1520示出。在一些實施例中,實施本文中所提 供的一個或多個實施例的電腦可讀指令位於儲存裝置1520中。儲存裝置1520還儲存實施作業系統(operating system)、應用程式等的其他電腦可讀指令。電腦可讀指令被載入在記憶體1518中,以例如由處理單元1516執行。
本文中所使用的用語「電腦可讀媒體」包括電腦儲存媒體。電腦儲存媒體包括以任何方法或技術實施的揮發性及非揮發性、移動式及非移動式媒體,以儲存例如電腦可讀指令或其他資料等資訊。記憶體1518及儲存裝置1520是電腦儲存媒體的例子。電腦儲存媒體包括但不限於RAM、ROM、電子抹除式可複寫唯讀記憶體(electrically erasable programmable ROM,EEPROM)、快閃記憶體或其他儲存技術、唯讀光碟(compact disk-read only memory,CD-ROM)、數位多功能光碟(DVD)或其他光學儲存裝置、盒式磁帶(magnetic cassettes)、磁帶(magnetic tape)、磁片儲存裝置(magnetic disk storage)或其他磁性儲存裝置或者可用於儲存所期望資訊且可由計算裝置1512存取的任何其他媒體。任何此種電腦儲存媒體均是計算裝置1512的一部分。
在一些實施例中,計算裝置1512包括使得計算裝置1512能夠與其他裝置通訊的通訊連接部1526。通訊連接部1526包括但不限於數據機(modem)、網路介面卡(Network Interface Card,NIC)、集成網路介面、射頻發射器/接收器、紅外線連接埠、通用序列匯流排(universal serial bus,USB)連接部或用於將計算裝置1512連接到其他計算裝置的其他介面。在一些實施例中,通訊 連接部1526包括有線連接部或無線連接部。在一些實施例中,通訊連接部1526發射及/或接收通訊媒體。
用語「電腦可讀媒體」包括通訊媒體。通訊媒體通常包含例如載波或其他傳輸機制等「經調製(modulated)資料訊號」中的電腦可讀指令或其他資料,且包括任何資訊傳遞媒體。用語「經調製資料訊號」包括以將資訊編碼在訊號中的方式設定或改變其特性中的一者或多者的訊號。
計算裝置1512包括例如鍵盤、滑鼠、筆、語音輸入裝置、觸控輸入裝置、紅外線照相機、視訊輸入裝置或任何其他輸入裝置等輸入裝置1524。計算裝置1512中也包括例如一個或多個顯示器、揚聲器、印表機或任何其他輸出裝置等輸出裝置1522。輸入裝置1524及輸出裝置1522通過有線連接部、無線連接部或其任意組合連接到計算裝置1512。在一些實施例中,來自另一計算裝置的輸入裝置或輸出裝置用作計算裝置1512的輸入裝置1524或輸出裝置1522。計算裝置1512還包括通訊連接部1526,以促進與一個或多個其他裝置的通訊。
計算裝置1512的元件是通過例如匯流排等各種內連線來連接。此種內連線包括周邊元件互連(Peripheral Component Interconnect,PCI)(例如快速周邊元件互連(PCI Express)、通用序列匯流排(USB)、火線(firewire)(電氣與電子工程師學會(Institute of Electrical and Electronic Engineers,IEEE)13104)、光學匯流排結構等。在另一個實施例中,計算裝置1512的元件是 通過網路來互連。舉例來說,記憶體1518由通過網路互連的位於不同實體位置中的多個實體儲存單元構成。
在一些實施例中,用於儲存電腦可讀指令的記憶體件分佈在網路上。舉例來說,可經由網路1528存取的計算裝置1530儲存電腦可讀指令以實施本文中所提供的一個或多個實施例。計算裝置1512存取計算裝置1530,且下載電腦可讀指令中的一部分或全部以供執行。作為另外一種選擇,計算裝置1512視需要下載多條電腦可讀指令,或者一些指令在計算裝置1512處執行,且一些則在計算裝置1530處執行。
根據一些實施例,提供一種高度可調式半導體晶圓支撐件,所述高度可調式半導體晶圓支撐件包括:吸盤,用於支撐半導體晶圓;調節機構,具有用於支撐所述吸盤的頂表面;以及台座,耦合到所述調節機構,從而使得所述調節機構的所述頂表面相對於所述台座的移動改變所述調節機構的所述頂表面與所述台座的頂表面之間的距離。
根據一些實施例,所述調節機構包括輪、帶以及軸。帶耦合到所述輪。軸耦合到所述輪,其中:所述軸的第一端部界定所述調節機構的所述頂表面,所述帶在第一方向上的移動使所述輪在第一旋轉方向上旋轉,且所述輪在所述第一旋轉方向上的旋轉使所述軸在第一垂直方向上移動,以改變所述調節機構的所述頂表面與所述台座的所述頂表面之間的所述距離,其中所述第一垂直方向對應於所述軸的縱向軸線。
根據一些實施例,所述帶在第二方向上的移動使所述輪在第二旋轉方向上旋轉,且所述輪在所述第二旋轉方向上的旋轉使所述軸在與所述第一垂直方向相反的第二垂直方向上移動,以改變所述調節機構的所述頂表面與所述台座的所述頂表面之間的所述距離。
根據一些實施例,所述調節機構包括馬達,使所述帶在所述第一方向或所述第二方向中的至少一者上移動。
根據一些實施例,所述的高度可調式半導體晶圓支撐件包括第一軸對接部分以及第一輪對接部分,其中當所述輪旋轉以使所述軸在所述第一垂直方向上移動時,所述第一軸對接部分與所述第一輪對接部分對接。
根據一些實施例,所述的高度可調式半導體晶圓支撐件包括第二軸對接部分以及第二台座對接部分,其中當所述軸在所述第一垂直方向上移動時,所述第二軸對接部分與所述第二台座對接部分對接,以抑制所述軸繞所述縱向軸線的旋轉移動。
根據一些實施例,存在以下情形中的至少一者:所述第一軸對接部分包括位於所述軸上的第一突出部,且所述第一輪對接部分包括位於所述輪中的第一凹槽;所述第一軸對接部分包括位於所述軸中的第一凹槽,且所述第一輪對接部分包括位於所述輪上的第一突出部;所述第二軸對接部分包括位於所述軸上的第二突出部,且所述第二台座對接部分包括位於所述台座中的第二凹槽;或者所述第二軸對接部分包括位於所述軸中的第二凹槽, 且所述第二台座對接部分包括位於所述台座上的第二突出部。
根據一些實施例,所述的高度可調式半導體晶圓支撐件包括張緊器,其耦合到所述帶以調節所述帶中的張力。
根據一些實施例,提供一種高度可調式半導體晶圓支撐件,所述高度可調式半導體晶圓支撐件包括:吸盤,用於支撐半導體晶圓;調節機構,具有用於支撐所述吸盤的頂表面;以及台座,耦合到所述調節機構,從而使得通過所述調節機構的馬達實現的所述調節機構的所述頂表面相對於所述台座的移動改變所述調節機構的所述頂表面與所述台座的頂表面之間的距離。
根據一些實施例,所述調節機構包括軸,其耦合到所述馬達,其中所述軸的第一端部界定所述調節機構的所述頂表面。
根據一些實施例,所述的高度可調式半導體晶圓支撐件包括帶,其耦合到所述馬達及所述軸,其中所述馬達使所述帶移動,且所述帶在第一方向上的移動使所述軸在第一垂直方向上移動,以改變所述調節機構的所述頂表面與所述台座的所述頂表面之間的所述距離,其中所述第一垂直方向對應於所述軸的縱向軸線。
根據一些實施例,所述的高度可調式半導體晶圓支撐件包括輪,其耦合到所述帶及所述軸,其中所述帶在所述第一方向上的所述移動使所述輪在第一旋轉方向上旋轉,且所述輪在所述第一旋轉方向上的旋轉使所述軸在所述第一垂直方向上移動。
根據一些實施例,所述帶在第二方向上的移動使所述輪 在第二旋轉方向上旋轉,且所述輪在所述第二旋轉方向上的旋轉使所述軸在與所述第一垂直方向相反的第二垂直方向上移動,以改變所述調節機構的所述頂表面與所述台座的所述頂表面之間的所述距離。
根據一些實施例,所述的高度可調式半導體晶圓支撐件包括第一軸對接部分以及第一輪對接部分,其中當所述輪旋轉以使所述軸在所述第一垂直方向上移動時,所述第一軸對接部分與所述第一輪對接部分對接。
根據一些實施例,所述的高度可調式半導體晶圓支撐件包括第二軸對接部分以及第二台座對接部分,其中當所述軸在所述第一垂直方向上移動時,所述第二軸對接部分與所述第二台座對接部分對接,以抑制所述軸繞所述縱向軸線的旋轉移動。
根據一些實施例,存在以下情形中的至少一者:所述第一軸對接部分包括位於所述軸上的第一突出部,且所述第一輪對接部分包括位於所述輪中的第一凹槽;所述第一軸對接部分包括位於所述軸中的第一凹槽,且所述第一輪對接部分包括位於所述輪上的第一突出部;所述第二軸對接部分包括位於所述軸上的第二突出部,且所述第二台座對接部分包括位於所述台座中的第二凹槽;或者所述第二軸對接部分包括位於所述軸中的第二凹槽,且所述第二台座對接部分包括位於所述台座上的第二突出部。
根據一些實施例,所述的高度可調式半導體晶圓支撐件包括控制器以及標識(ID)讀取器。控制器耦合到所述馬達。標 識(ID)讀取器耦合到所述控制器,其中所述標識讀取器讀取所述半導體晶圓的標識,且所述控制器基於所述半導體晶圓的所述標識來控制所述馬達,以改變所述調節機構的所述頂表面與所述台座的所述頂表面之間的所述距離。
根據一些實施例,提供一種用於調節半導體晶圓的高度的方法,所述方法包括:將用於支撐所述半導體晶圓的吸盤放置在支撐所述吸盤的調節機構的頂表面上;以及啟動所述調節機構,以改變所述調節機構的所述頂表面與支撐所述調節機構的台座的頂表面之間的距離。
根據一些實施例,所述調節機構的所述頂表面由所述調節機構的軸的第一端部界定,其中啟動所述調節機構包括:移動耦合到所述軸的帶,以使所述軸在第一垂直方向上移動,以改變所述調節機構的所述頂表面與所述台座的所述頂表面之間的所述距離,其中所述第一垂直方向對應於所述軸的縱向軸線。
根據一些實施例,所述的方法包括:當所述軸在所述第一垂直方向上移動時,抑制所述軸繞所述縱向軸線的旋轉移動。
以上概述了若干實施例的特徵,以使所屬技術領域中具有通常知識者可更好地理解本揭露的各種方面。所屬技術領域中具有通常知識者應知曉,其可容易地使用本揭露作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的各種實施例相同的目的和/或實現與本文中所介紹的各種實施例相同的優點。所屬技術領域中具有通常知識者還應認識到,此種等效構造並不背離 本揭露的精神及範圍,而且他們可在不背離本揭露的精神及範圍的條件下對其作出各種改變、代替及更改。
儘管已採用結構特徵或方法動作專用的語言闡述了本主題,然而據理解,隨附權利要求的主題未必僅限於上述具體特徵或動作。確切來說,上述具體特徵及動作是作為實施權利要求中的至少一些權利要求的示例性形式而揭露的。
本文中提供實施例的各種操作。闡述一些或所有所述操作時的次序不應被理解為暗示這些操作必須依照次序進行。將理解,替代次序也具有本說明的有益效果。此外,將理解,並非所有操作均必須存在於本文中提供的每一實施例中。此外,將理解,在一些實施例中,並非所有操作均是必要的。
將理解,在一些實施例中,例如出於簡潔及便於理解的目的,本文中繪示的層、特徵、元件等是以相對於彼此的特定尺寸(例如,結構尺寸或取向)進行例示,且所述層、特徵、元件等的實際尺寸實質上不同於本文中所例示的尺寸。另外,舉例來說,存在例如以下中的至少一者等各種技術來形成本文中所提及的層、區、特徵、元件等:蝕刻技術、平坦化技術、植入技術、摻雜技術、旋塗技術、濺鍍技術、生長技術或沉積技術(例如,化學氣相沉積(chemical vapor deposition,CVD))。
此外,本文中使用「示例性」來指充當例子、實例、示例等,而未必指為有利的。本申請中使用的「或」旨在指包含的「或」而不是指排他的「或」。另外,除非另有指明或從上下文中 清楚地表明指單數形式,否則本申請及隨附權利要求書中使用的「一(a及an)」一般被視為指「一個或多個」。此外,A及B中的至少一者及/或類似表述一般指A或B、或A與B兩者。此外,就使用「包含(includes)」、「具有(having、has)」、「帶有(with)」或其變型的程度而言,此種用語旨在以相似於用語「包括(comprising)」的方式表示包含。此外,除非另有指明,否則「第一(first)」、「第二(second)」等並不旨在暗示時間方面、空間方面、次序等。確切來說,此種用語僅用作特徵、元件、物項等的標別符(identifier)、名稱等。舉例來說,第一元件及第二元件一般對應於元件A及元件B、或兩個不同元件、或兩個相同元件、或同一元件。
100:高度可調式半導體晶圓支撐件 102:半導體製作設備 104:半導體晶圓 105:控制器 108:吸盤 110:調節機構 111、113、117:頂表面 112:台座 114、115、116:距離 118:厚度 119:底表面 120、706:凹陷部 403:馬達 406:輪 408:軸

Claims (10)

  1. 一種高度可調式半導體晶圓支撐件,包括:一吸盤,用於支撐一半導體晶圓;一調節機構,具有用於支撐所述吸盤的一頂表面,其中所述調節機構包括一軸以及耦合到所述軸的一帶,所述帶在一第一方向上的移動使所述軸在一第一垂直方向上移動,以改變所述距離,且所述軸包括一第二軸對接部分;以及一台座,耦合到所述調節機構,從而使得所述調節機構的所述頂表面相對於所述台座的移動改變所述調節機構的所述頂表面與所述台座的一頂表面之間的一距離,且所述台座包括一第二台座對接部分,其中當所述軸在所述第一垂直方向上移動時,所述第二軸對接部分與所述第二台座對接部分對接,以抑制所述軸繞所述縱向軸線的旋轉移動。
  2. 如請求項1所述的高度可調式半導體晶圓支撐件,其中所述調節機構包括:一輪,所述帶及所述軸耦合到所述輪,其中:所述軸的一第一端部界定所述調節機構的所述頂表面,所述帶在所述第一方向上的移動使所述輪在一第一旋轉方向上旋轉,且所述輪在所述第一旋轉方向上的旋轉使所述軸在所述第一垂直方向上移動,其中所述第一垂直方向對應於所述軸的一縱向軸線。
  3. 如請求項2所述的高度可調式半導體晶圓支撐件,其中:所述帶在一第二方向上的移動使所述輪在一第二旋轉方向上旋轉,且所述輪在所述第二旋轉方向上的旋轉使所述軸在與所述第一垂直方向相反的一第二垂直方向上移動,以改變所述調節機構的所述頂表面與所述台座的所述頂表面之間的所述距離。
  4. 如請求項2所述的高度可調式半導體晶圓支撐件,包括:一第一軸對接部分;以及一第一輪對接部分,其中當所述輪旋轉以使所述軸在所述第一垂直方向上移動時,所述第一軸對接部分與所述第一輪對接部分對接。
  5. 如請求項4所述的高度可調式半導體晶圓支撐件,其中存在以下情形中的至少一者:所述第一軸對接部分包括位於所述軸上的一第一突出部,且所述第一輪對接部分包括位於所述輪中的一第一凹槽,所述第一軸對接部分包括位於所述軸中的一第一凹槽,且所述第一輪對接部分包括位於所述輪上的一第一突出部,所述第二軸對接部分包括位於所述軸上的一第二突出部,且所述第二台座對接部分包括位於所述台座中的一第二凹槽,或者 所述第二軸對接部分包括位於所述軸中的一第二凹槽,且所述第二台座對接部分包括位於所述台座上的一第二突出部。
  6. 一種高度可調式半導體晶圓支撐件,包括:一吸盤,用於支撐一半導體晶圓;一調節機構,具有用於支撐所述吸盤的一頂表面,其中所述調節機構包括一軸以及耦合到所述軸的一帶,所述帶在一第一方向上的移動使所述軸在一第一垂直方向上移動,以改變所述距離,且所述軸包括一第二軸對接部分;以及一台座,耦合到所述調節機構,從而使得通過所述調節機構的一馬達實現的所述調節機構的所述頂表面相對於所述台座的移動改變所述調節機構的所述頂表面與所述台座的一頂表面之間的一距離,且所述台座包括一第二台座對接部分,其中當所述軸在所述第一垂直方向上移動時,所述第二軸對接部分與所述第二台座對接部分對接,以抑制所述軸繞所述縱向軸線的旋轉移動。
  7. 如請求項6所述的高度可調式半導體晶圓支撐件,其中所述軸,耦合到所述馬達,其中所述軸的一第一端部界定所述調節機構的所述頂表面;所述帶,耦合到所述馬達及所述軸,其中所述馬達使所述帶移動,且所述帶在所述第一方向上的移動使所述軸在所述第一垂直方向上移動,其中所述第一垂直方向對應於所述軸的一縱向軸線;且所述調節機構包括: 一輪,耦合到所述帶及所述軸,其中所述帶在所述第一方向上的所述移動使所述輪在一第一旋轉方向上旋轉,且所述輪在所述第一旋轉方向上的旋轉使所述軸在所述第一垂直方向上移動,其中:所述帶在一第二方向上的移動使所述輪在一第二旋轉方向上旋轉,且所述輪在所述第二旋轉方向上的旋轉使所述軸在與所述第一垂直方向相反的一第二垂直方向上移動,以改變所述調節機構的所述頂表面與所述台座的所述頂表面之間的所述距離。
  8. 如請求項6所述的高度可調式半導體晶圓支撐件,包括:一控制器,耦合到所述馬達;以及一標識(ID)讀取器,耦合到所述控制器,其中所述標識讀取器讀取所述半導體晶圓的一標識,且所述控制器基於所述半導體晶圓的所述標識來控制所述馬達,以改變所述調節機構的所述頂表面與所述台座的所述頂表面之間的所述距離。
  9. 一種用於調節半導體晶圓的高度的方法,包括:將用於支撐所述半導體晶圓的一吸盤放置在支撐所述吸盤的一調節機構的一頂表面上,其中所述調節機構包括一軸以及耦合到所述軸的一帶,且所述軸包括一第二軸對接部分;以及啟動所述調節機構,所述帶在一第一方向上的移動使所述軸在一第一垂直方向上移動,以改變所述調節機構的所述頂表面與 支撐所述調節機構的一台座的一頂表面之間的一距離,且所述台座包括一第二台座對接部分,其中當所述軸在所述第一垂直方向上移動時,所述第二軸對接部分與所述第二台座對接部分對接,以抑制所述軸繞所述縱向軸線的旋轉移動。
  10. 如請求項9所述的方法,其中所述調節機構的所述頂表面由所述調節機構的所述軸的一第一端部界定,其中所述第一垂直方向對應於所述軸的一縱向軸線。
TW109129555A 2020-02-11 2020-08-28 高度可調式半導體晶圓支撐件以及用於調節半導體晶圓的高度的方法 TWI748619B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/787,106 US11715665B2 (en) 2020-02-11 2020-02-11 Height adjustable semiconductor wafer support
US16/787,106 2020-02-11

Publications (2)

Publication Number Publication Date
TW202131440A TW202131440A (zh) 2021-08-16
TWI748619B true TWI748619B (zh) 2021-12-01

Family

ID=77177520

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109129555A TWI748619B (zh) 2020-02-11 2020-08-28 高度可調式半導體晶圓支撐件以及用於調節半導體晶圓的高度的方法

Country Status (3)

Country Link
US (2) US11715665B2 (zh)
CN (1) CN113257733A (zh)
TW (1) TWI748619B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11335585B2 (en) 2020-05-08 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Vacuum wafer chuck for manufacturing semiconductor devices

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6283693B1 (en) * 1999-11-12 2001-09-04 General Semiconductor, Inc. Method and apparatus for semiconductor chip handling
US20080134814A1 (en) * 2006-12-11 2008-06-12 Jong Sun Kim Lift pin driving device and manufacturing apparatus having same
JP2008235494A (ja) * 2007-03-20 2008-10-02 Okamoto Machine Tool Works Ltd 半導体基板の受け渡し方法
TW201939658A (zh) * 2018-03-08 2019-10-01 弘塑科技股份有限公司 晶圓固定裝置、晶圓固定基座及晶圓真空吸盤

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100773072B1 (ko) * 2006-02-07 2007-11-02 주식회사 에스에프에이 평면디스플레이용 화학 기상 증착장치
KR101468391B1 (ko) * 2008-02-15 2014-12-05 엘아이지에이디피 주식회사 리프트 핀 높이 조정장치 및 이를 가지는 평판표시소자제조장비
US10192770B2 (en) * 2014-10-03 2019-01-29 Applied Materials, Inc. Spring-loaded pins for susceptor assembly and processing methods using same
TWI729101B (zh) * 2016-04-02 2021-06-01 美商應用材料股份有限公司 用於旋轉料架基座中的晶圓旋轉的設備及方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6283693B1 (en) * 1999-11-12 2001-09-04 General Semiconductor, Inc. Method and apparatus for semiconductor chip handling
US20080134814A1 (en) * 2006-12-11 2008-06-12 Jong Sun Kim Lift pin driving device and manufacturing apparatus having same
JP2008235494A (ja) * 2007-03-20 2008-10-02 Okamoto Machine Tool Works Ltd 半導体基板の受け渡し方法
TW201939658A (zh) * 2018-03-08 2019-10-01 弘塑科技股份有限公司 晶圓固定裝置、晶圓固定基座及晶圓真空吸盤

Also Published As

Publication number Publication date
US11715665B2 (en) 2023-08-01
CN113257733A (zh) 2021-08-13
TW202131440A (zh) 2021-08-16
US20230326785A1 (en) 2023-10-12
US20210249298A1 (en) 2021-08-12

Similar Documents

Publication Publication Date Title
US10247624B2 (en) Self-calibrated thermal sensors of an integrated circuit die
US8060330B2 (en) Method and system for centering wafer on chuck
TWI748619B (zh) 高度可調式半導體晶圓支撐件以及用於調節半導體晶圓的高度的方法
JP4864290B2 (ja) 半導体デバイス上の構造の寸法を測定するために使用される、非破壊光波測定(光波散乱計測)(scatterometry)に基づいた測定ツールを較正する方法と構造
JP2006506812A (ja) 一体型計測を使用して誘電体エッチング効率を改善する方法及び装置
US8531203B2 (en) Mask alignment, rotation and bias monitor utilizing threshold voltage dependence
TW202004842A (zh) 微影疊對校正以及微影製程
JP2022160389A (ja) 移動するプロセスキットの浸食測定及び位置較正のための方法及び装置
US20080055597A1 (en) Method for characterizing line width roughness (lwr) of printed features
US7208330B2 (en) Method for varying the uniformity of a dopant as it is placed in a substrate by varying the speed of the implant across the substrate
WO2017111925A1 (en) Multi-pitch or variable pitch grating structures for overlay, dose or focus information extraction
US20050200829A1 (en) [photomask positioning apparatus]
US10508900B2 (en) Three-dimensional scatterometry for measuring dielectric thickness
US20060226053A1 (en) System of testing semiconductor devices, a method for testing semiconductor devices, and a method for manufacturing semiconductor devices
Gunay-Demirkol et al. Innovative scatterometry approach for self-aligned quadruple patterning (SAQP) process control
CN107146783B (zh) 将虚设图型用于套迭目标设计及套迭控制的方法
JP2016152284A (ja) 位置決め装置、リソグラフィー装置、および基板位置決め方法
Ke et al. A paradigm shift in scatterometry-based metrology solution addressing the most stringent needs of today as well as future lithography
US10867872B2 (en) Method and apparatus for manufacturing semiconductor structure
US20060035394A1 (en) Method for improving a drive current for semiconductor devices on a wafer-by-wafer basis
CN117320443A (zh) 半导体元件的制备方法
TW202316296A (zh) 獲得基板上的多個照射區域的陣列的方法、曝光方法、曝光設備、物品的製造方法、非暫態性電腦可讀儲存媒體及資訊處理設備
TW202320139A (zh) 半導體製程裝置
TW201508415A (zh) 用於標線檢查之介觀缺陷偵測
CN115964880A (zh) 旋转机构的位置偏差预估方法及旋转机构的位置偏差预估系统