TWI742871B - 積體電路及其製造方法 - Google Patents

積體電路及其製造方法 Download PDF

Info

Publication number
TWI742871B
TWI742871B TW109134837A TW109134837A TWI742871B TW I742871 B TWI742871 B TW I742871B TW 109134837 A TW109134837 A TW 109134837A TW 109134837 A TW109134837 A TW 109134837A TW I742871 B TWI742871 B TW I742871B
Authority
TW
Taiwan
Prior art keywords
area
metal
integrated circuit
periphery
peripheral area
Prior art date
Application number
TW109134837A
Other languages
English (en)
Other versions
TW202115801A (zh
Inventor
王新泳
王啟權
田麗鈞
馬遠
Original Assignee
台灣積體電路製造股份有限公司
大陸商台積電(中國)有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司, 大陸商台積電(中國)有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202115801A publication Critical patent/TW202115801A/zh
Application granted granted Critical
Publication of TWI742871B publication Critical patent/TWI742871B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/0266Marks, test patterns or identification means
    • H05K1/0268Marks, test patterns or identification means for electrical inspection or testing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11868Macro-architecture
    • H01L2027/11874Layout specification, i.e. inner core region
    • H01L2027/11875Wiring region, routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Geometry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Evolutionary Computation (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Manufacturing & Machinery (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

一種方法包括如下步驟:將電路佈局中的金屬線的第一佈置劃分為兩組金屬線,第一組金屬線位於週邊區域中,並且第二組金屬線位於中心區域中。金屬線的佈置被配置為電連接到電路佈局的第二層的接觸件。該方法包括調整中心區域中的至少一條金屬線的金屬線周邊以形成金屬線的第二佈置,其中,每個經調整的金屬線周邊與積體電路佈局的第二層中的接觸件分開至少檢查距離。將金屬線材料沉積在積體電路的電介質層中的一組開口中,該組開口對應於金屬線的第二佈置。此外,一種積體電路及其製造方法亦在此揭露。

Description

積體電路及其製造方法
本揭示內容是關於一種積體電路及其製造方法。
在電路設計處理期間對積體電路佈局中的金屬線的佈置的修改涉及修改用於在製造處理期間將與金屬線的佈置相關聯的圖案轉移到積體電路的圖案化模板。圖案化模板修改是積體電路製造中的昂貴操作,並且圖案化模板足夠複雜,使得圖案化模板中的圖案在製作模板之前被建模。減少圖案化模板的複雜性減少了與製作圖案化模板相關聯的成本和時間。
本揭示內容包含一種製造積體電路的方法,包括:在積體電路佈局的第一層中,將多條金屬線的第一佈置劃分為第一組金屬線和第二組金屬線,其中,第一組金屬線位於積體電路佈局的週邊區域中,並且第二組金屬線位於積體電路佈局的中心區域中,其中多條金屬線的第一佈置 位於積體電路佈局的第一層中,多條金屬線的第一佈置被配置為在製造處理之後與積體電路佈局的第二層的接觸件進行電連接;調整積體電路佈局的中心區域中的至少一條金屬線的金屬線周邊,以形成多條金屬線的第二佈置,其中每個經調整的金屬線周邊與積體電路佈局的第二層中的接觸件分開至少一個檢查距離;以及將金屬線材料沉積到積體電路的電介質層中的一組開口中,電介質層中的該組開口對應於多條金屬線的第二佈置。
本揭示內容包含一種積體電路,針對積體電路的第一區域,包括:一組接觸件,位於積體電路的第一層處;以及一組金屬線,位於積體電路的第二層處,第二層位於第一層上方,其中第一區域具有中心區域和週邊區域,並且第一區域包括兩個端子區域,使得在每個端子區域中,週邊區域在三個側面上圍繞中心區域,兩個端子區域中的第一端子區域中的週邊區域包括第一頂部週邊區域、第一底部週邊區域和第一邊緣週邊區域,第一頂部週邊區域和第一底部週邊區域位於中心區域的相對側上,兩個端子區域中的第二端子區域中的週邊區域包括第二頂部週邊區域、第二底部週邊區域和第二邊緣週邊區域,第二頂部週邊區域和第二底部週邊區域位於中心區域的相對側上,第一頂部週邊區域和第二頂部週邊區域位於中心區域的同一側上,並且第一頂部週邊區域和第二頂部週邊區域的多條金屬線各自具有多條金屬線的第二圖案。
本揭示內容包含一種製造積體電路的方法,該方法 包括:向積體電路的第一區域的第一層的第一組接觸件分配積體電路的第一區域的第二層的多條金屬線的第一圖案;向積體電路的第一區域的第一層的第二組接觸件分配積體電路的第一區域的第二層的多條金屬線的第二圖案;針對多條金屬線的第二圖案中的每條金屬線,確定是否修改金屬線周邊;向第一組金屬線分配被確定為不進行金屬線周邊調整的第二圖案的多條金屬線;向第二組金屬線分配被確定為進行金屬線周邊調整的第二圖案的多條金屬線;調整第二組金屬線中的每條金屬線的金屬線周邊,以具有經調整的金屬線周邊;基於第一組金屬線的多條未調整的金屬線以及第二組金屬線的經調整的一組金屬線來生成多條金屬線的第三圖案,其中多條金屬線的第一圖案位於第一區域的週邊區域中,並且多條金屬線的第二圖案位於第一區域的中心區域中;以及在積體電路的電介質層中形成具有多條金屬線的第三圖案的多條金屬線。
100:第一區域
102:區域邊界
104、104A~104D:週邊區域
106:中心區域
108:單元邊界
110:主動區域
112:導電線
114、114A、114B:接觸件
116A~116D:金屬線
118:VDD線
122、124:延伸部
120:VSS線
200:方法
205、210、215、220、225:操作
304A1~304A5、304B、304C1~304C5、304D、304:週邊區域
306:元件/中心區域
301:第一區域
302:區域邊界
303:區域長度
305:區域寬度
308:單元內邊界
309A~309E:區域
318:VDD線
320:VSS線
300、400:積體電路(IC)佈局
402、404、406、434:金屬線
408、410、412:接觸件
413:初始周邊
407A、407B、407AB:線區域
414A、414B:周邊部分
416A~416D、418A~418D:周邊區段
418:周邊
422、420:檢查視窗
424:檢查距離
430、460:積體電路佈局
472A、472B:金屬線區域
476:檢查視窗
466A~466C:金屬線區段
462:金屬線
500:積體電路佈局
512:導電線
502:第一區域
504、508A:中心區域
506、506A、506B、508B:週邊區域
510、508:電路區域
512、514:導電線
600:EDA系統
602:處理器
604:儲存介質
606:電腦程式代碼/指令
608:匯流排
610:輸入輸出(I/O)介面
612:網路介面
614:網路
607:資料庫
642:使用者介面
700:系統
760:IC器件
720:設計室
730:遮罩室
750:IC製造商/加工廠(代工廠)
722:IC設計佈局
732:資料準備
744:遮罩製造
745:遮罩
752:晶圓製造
753:半導體晶圓
本揭露內容的各方面搭配隨附的圖式的參閱,可以對以下的詳細說明有更佳的理解。值得注意的是,根據產業的標準慣例,諸項特徵並沒有按比例繪製。實際上,諸項特徵的尺寸為了論述清晰可為任意的增大或縮小。
第1圖是根據一些實施例的積體電路佈局的區域的俯視圖。
第2圖是根據一些實施例的修改積體電路的金屬線的佈置的方法的流程圖。
第3圖是根據一些實施例的積體電路佈局的區域的俯視圖。
第4A圖至第4C圖是根據一些實施例的在金屬線周邊(perimeter)調整處理期間的積體電路佈局的俯視圖。
第5圖是根據一些實施例的積體電路佈局的俯視圖。
第6圖是根據一些實施例的電子設計自動化(EDA)系統的框圖。
第7圖是根據一些實施例的積體電路製造系統以及與其相關聯的IC製造流程的框圖。
以下揭露內容提供了用於實施所提供標的的不同特徵的許多不同實施例或實例。以下描述了部件、材料、值、步驟、操作、材料、佈置等的特定實例以簡化本揭露內容。當然,該等僅僅是實例,而並非旨在為限制性的。可設想到其他部件、值、操作、材料、佈置等。例如,在以下描述中在第二特徵上方或之上形成第一特徵可以包括第一特徵和第二特徵形成為直接接觸的實施例,並且亦可以包括可以在第一特徵與第二特徵之間形成額外特徵,使得第一特徵和第二特徵可以不直接接觸的實施例。另外,本揭露可以在各種實例中重複參考數字及/或字母。該重複是為了簡單和清楚的目的,並且本身並不代表所論述的各 種實施例及/或配置之間的關係。
此外,在此可以使用空間相對術語,諸如「下方」、「以下」、「下部」、「上方」、「上部」等來簡化描述,以描述如圖中所示的一個元件或特徵與另一元件或特徵的關係。除了圖中所示的取向之外,空間相對術語意欲包括使用或操作中的裝置/元件的不同取向。設備可以以其他方式取向(旋轉90度或在其他方向上),並且可以類似地相應解釋在此使用的空間相對描述詞。
在製造積體電路的處理中,產生不同層中的電路元件的佈置。元件的佈置包括導電接觸件、導電線、主動區、閘電極線等。接觸件在積體電路的層中的位置與元件(例如,導電線或主動區域(例如,電晶體的源極/汲極區域))在接觸件之下的層中的位置有關。在一些實施例中,導電線是嵌入在積體電路的電介質材料層中的金屬線。
導電線在積體電路中的位置與接觸件在金屬線層之下的層中的位置有關,以便在積體電路內形成多層互連結構。金屬線放置還包括考慮通過積體電路的層的金屬線路徑(例如,金屬線佈線)以避免與電路的其他元件干擾,並且降低遮罩複雜性以製造金屬線的佈置。金屬線的形狀或周邊不僅與接觸件在金屬線層之下的層中的位置有關,而且還與線形狀或線周邊上的光刻相關限制有關。基於積體電路的設計規則來確定一些金屬線形狀,以減少積體電路的單層中的金屬線之間的電容干擾。一些金屬線形狀是基於設計規則來確定的,以增強積體電路的一層上的金屬 線之間的分離,並接觸積體電路的下層,以減少不同層中的金屬線和接觸件之間的電容干擾。
在積體電路設計處理期間,對積體電路的一層中的元件的位置的調整影響元件在積體電路的不同或相鄰層上的位置。例如,調整積體電路的第一層中的通孔位置有時會驅動積體電路的上層中的金屬線位置的修改,其中金屬線旨在與具有調整位置的接觸件進行電連接。相反,在積體電路佈局中對金屬線佈置中的金屬線的位置和形狀的調整有時會驅動積體電路佈局的不同層中的接觸件位置的變化。
本揭露的一些實施例涉及一種製造積體電路的方法,該積體電路具有積體電路的區域,其中,金屬線佈置在金屬線調整處理(有時稱為下線處理)期間保持恒定或不變,其中,金屬線的形狀或周邊被調整以便降低用於圖案化積體電路的層的光刻遮罩的複雜性或降低在積體電路的製造期間發生缺陷的可能性。
第1圖是根據一些實施例的積體電路佈局的第一區域100的俯視圖。第一區域100具有圍繞第一區域100的區域邊界102。第一區域100被劃分為週邊區域104和中心區域106。週邊區域104包括四個區域:頂部週邊區域104A和底部週邊區域104C以及邊緣週邊區域104B和104D,頂部週邊區域104A和底部週邊區域104C位於中心區域106的相對側上,邊緣週邊區域104B和104D位於中心區域106的彼此相對的兩側上。單元邊 界108將中心區域106與週邊區域104分開。
第一區域100包括主動區域110和在一些主動區域110上方延伸的導電線112。接觸件114位於一些主動區域110和一些導電線112上方。例如,積體電路的第一區域的一些實施例包括作為導電線的多晶矽線、和作為積體電路的源極/汲極區域和閘極電極的接觸件114的金屬插塞。金屬線116A~116D位於接觸件114上方,以向並從電晶體或其他電路元件傳送信號,並調節電晶體或其他電路元件的操作。
在頂部週邊區域104A中,金屬線具有第一頂部佈置並且包括VDD線118和延伸部122,以便與邊緣週邊區域104B中的接觸件114A進行電連接。在底部週邊區域104C中,金屬線具有第一底部佈置並且包括具有VSS線120和延伸部124,以與邊緣週邊區域104B中的接觸件114B進行電連接。邊緣週邊區域104B具有第一邊緣佈置的金屬線,並且邊緣週邊區域104D具有第二邊緣佈置的金屬線。
中心區域106具有第一中心佈置的金屬線。在積體電路設計處理期間,保持週邊區域104A~104D中的金屬線的佈置,同時修改中心區域106中的金屬線的佈置。通過在佈局處理期間保持週邊區域104A~104D中的金屬線的佈置,減少或消除了用於積體電路的接觸層的圖案化模板或光刻遮罩的變化。例如,VDD線118和VSS線120是適合長距離運行的積體電路的元件。在一些實施例 中,VDD線118和VSS線120是直的金屬線段。通過使用延伸部(例如,延伸部122或延伸部124)以與積體電路的下層中的接觸件進行電連接,VDD線118和VSS線120的主要部分繼續用於長距離而不改變方向,簡化了用於在積體電路中製造金屬線的光刻遮罩。通過限制其中金屬線的位置或周邊被調整的區域,減少了遮罩複雜性並且使得遮罩重新設計操作不那麼頻繁和不那麼複雜。降低複雜性有助於更快地設計積體電路;並且提高用於積體電路的製造處理的盈利能力。
第2圖是根據一些實施例的修改積體電路的金屬線的佈置的方法200的流程圖。在一些實施例中,方法200用於通過保持週邊區域中的金屬線的佈線圖案固定來製造如下面第3圖中所述的積體電路,同時提供在積體電路的單元的內部部分中佈線金屬線的靈活性。在操作205中,將積體電路的區域劃分為週邊區域和中心區域。下面在第3圖的描述中給出了週邊和中心區域的示例(其中,週邊區域304A1~304A5、304B、304C1~304C5和304D構成週邊區域304,並且元件306是中心區域)。週邊區域是與積體電路的一個或多個單元共用的元件相關聯的區域。一些元件包括跨越積體電路中的一個或多個單元的寬度的電源線或地線,並且電源線或地線適於跨越兩個或更多個單元的跨度連續運行,以便於通過在電路中提供不間斷長度的金屬線(用作VDD或VSS線)來減小單元尺寸和/或降低遮罩複雜性。
在操作210中,評估中心區域中的多條金屬線中的至少一條金屬線,以便確定是否要在金屬線的佈置中對金屬線執行金屬線周邊調整。在一些實施例中,金屬線116B和116C是從中心區域跨越到週邊區域的金屬線。在一些實施例中,金屬線116A和116D是不進入中心區域106但完全保留在第1圖的積體電路佈局的第一區域100的週邊區域104中的金屬線。當金屬線從中心區域跨越到週邊區域時,當金屬線的中心區域內的部分經歷金屬線周邊調整時,不調整金屬線的穿過單元邊界(例如,參見第1圖中的單元邊界108)的部分。在一些實施例中,通過減少金屬線周邊調整的量來避免金屬線與最近的接觸件的干擾。
在操作215中,在第一區域的中心區域中的該組金屬線中的每條金屬線的金屬線周邊均經歷金屬線周邊調整。在一些實施例中,金屬線周邊調整在第4A圖至第4C圖中描述,其中金屬線406被劃分為多個區域,並且每個區域被獨立地評估以確定金屬線周邊的最終形狀。執行金屬線周邊調整以簡化圖案化模板(例如,用於在半導體襯底上形成部件的光刻遮罩),或者以提高在積體電路的製造處理中成功製造金屬線圖案的可能性。
在操作220中,根據積體電路的第一區域的中心區域中的每條金屬線的各個金屬線周邊的調整,生成經調整圖案的金屬線。在一些實施例中,使用如下文在第6圖中描述的電子設計自動化(EDA)系統來生成調整的金屬 線圖案。在方法200的一些實施例中,對金屬線周邊的調整包括將兩條金屬線彼此連接。當兩條相鄰的金屬線在積體電路中具有相同的功能並且當連接的線以與兩條獨立的分離線的操作類似的方式進行操作時,執行兩條金屬線的連接。通過對線進行連接,與沒有連接的金屬線的圖案化模板的狀態相比,簡化了圖案化模板。在方法200的一些實施例中,金屬線周邊調整包括平滑金屬線的周邊。周邊平滑包括擴大金屬線的一些部分,以便沿著金屬線側壁的調整和未調整的鄰接邊緣具有連續基本線性的金屬線邊緣。產生更平滑的金屬線邊緣或側壁簡化了用於在積體電路中生成金屬線的遮罩,從而降低了製造電路的成本和製造誤差的可能性。在一些實例中,金屬線周邊調整包括在積體電路的同一層中延伸或擴大金屬線的更靠近另一金屬線的部分。經調整的金屬線圖案中的金屬線包括在第一區域中未經歷任何周邊調整的金屬線、經歷部分周邊調整的金屬線、經歷完整周邊調整的金屬線、以及連接在一起的金屬線。經調整的金屬線圖案還包括在第一區域的週邊區域中的金屬線,其未經歷任何金屬線周邊調整,而是保持其在積體電路的層中的初始圖案、周邊或佈線。在操作225中,金屬線以如上文所述的製造處理在下面的系統700的晶圓製造752中形成。
第3圖是根據一些實施例的具有第一區域301的積體電路(IC)佈局300的俯視圖。IC佈局300是反映使用方法200對積體電路佈局進行的改變的一個實施例,如 上所述。下面描述的第4A圖至第4C圖描述了在一些實施例中如何實現方法200以進行IC(integrated circuit,積體電路)佈局(例如,下面描述的IC佈局300)的進一步細節。第一區域301具有區域邊界302、區域長度303和區域寬度305,其中,區域長度303大於區域寬度305。第一區域301具有中心區域306和週邊區域304,週邊區域304包括邊緣週邊區域304B和邊緣週邊區域304D、頂部週邊區域304A1~304A5和底部週邊區域304C1~304C5。通過單元內邊界308將週邊區域304與中心區域306分開。第一區域301被劃分為區域309A~309E,使得所有區域具有區域寬度305,並且每個區域具有區域長度311,區域長度311等於區域長度303除以區域的數量。在第3圖中,區域長度311是區域長度303的1/5,因為存在五個區域309A~309E。第一區域301中的金屬線圖案包括具有相同頂部週邊區域金屬線圖案的每個頂部週邊區域304A1~304A5、和具有相同底部週邊金屬線圖案的每個底部週邊區域304C1~304C5。
在第3圖中,區域309A和區域309E是第一區域301的端子區域,並且區域309B~309D是第一區域的非端子區域。端子區域309A和309E是其中週邊區域304的在三個側面上圍繞中心區域306的部分的區域。在端子區域309A中,邊緣週邊區域304B與頂部週邊區域304A1和底部週邊區域304C1鄰接。在端子區域309E 中,邊緣週邊區域304D與頂部週邊區域304A5和底部週邊區域304C5鄰接。非端子區域309B、309C和309D是其中週邊區域的位於中心區域306的兩個非相鄰側上的部分的區域。
在一些實施例中,根據方法200的操作210來評估中心區域306中的金屬線的金屬線周邊調整。根據一些實施例,不根據操作210來評估週邊區域304(包括週邊區域304A1~304A5、304B、304C1~304C5和304D)中的金屬線的金屬線周邊調整。
VDD線318延伸穿過頂部週邊區域304A1~304A5中的每一個,並且VSS線320延伸穿過底部週邊區域304C1~304C5中的每一個。VDD線318的圖案在區域309A~309E中的每一個中是相同的,並且VSS線320的圖案在區域309A~309E中的每一個中是相同的。VDD線和VSS線是週邊區域304中的金屬線的示例,其未在方法200的操作210下針對金屬線的金屬線周邊調整進行評估,因為向積體電路的單元傳導電壓或從積體電路的單元傳導電壓的金屬線在積體電路佈局的長區域上方延伸。通過在直線圖案中保留VDD和VSS線,或者通過防止線位置調整,中心區域內的金屬線圖案被簡化,並且具有與中心區域金屬線和周邊區域金屬線都經受金屬線周邊調整時相比較不複雜的佈線圖案。因此,第一區域301的每個區域表示其中頂部或底部週邊區域中的金屬線圖案重複的區域的一部分,以便簡化遮罩佈局並降低積體 電路製造中用於遮罩和圖案轉移器件的遮罩生成的複雜性。
第4A圖是根據一些實施例的在金屬線周邊調整處理期間的積體電路佈局400的俯視圖。在第4A圖中,使用檢查視窗來評估金屬線的一部分的調整,以確定經調整的金屬線是否過於接近與積體電路中的另一金屬線連接的接觸件,如上面在方法200操作210中所述。如下面進一步描述的,金屬線406不進行到方法200中的操作215或220,因為金屬線406在金屬線周邊調整時過於接近接觸件410。
積體電路佈局400包括在積體電路佈局的第一層中的三條金屬線402、404和406,並且其中,金屬線402被配置為與接觸件408連接,金屬線404被配置為與接觸件410連接,並且金屬線406被配置為連接到接觸件412。在第4A圖中,金屬線406的金屬線周邊調整被評估。
執行金屬線周邊調整以使積體電路中的金屬線對用於製造電路的製造處理的缺陷更具彈性,和/或降低用於製造電路中的金屬線的圖案化模板(例如,光刻遮罩)的複雜性或成本。在類似於上述方法200的操作210的金屬線周邊調整處理期間,單獨評估初始金屬線周邊的部分以確定是否要進行金屬線周邊調整。
在積體電路佈局400中,金屬線406具有初始周邊413。通過分析金屬線406的形狀來確定要考慮用於金屬線調整的初始周邊413的部分。在一些實施例中,通過 使用重疊的直線形狀對初始周邊413的形狀進行建模從而發現待調整的初始周邊413的部分。通過組合包括第一線區域407A、第二線區域407B和第三線區域(重疊線區域)407AB的兩個矩形形狀,成功地建模金屬線406的初始周邊413。第一直線形狀包括第一線區域407A和第三線區域407AB,並且第二直線區域包括第二線區域407B和第三線區域407AB。在一些實施例中,利用除了直線形狀之外的形狀來建模初始周邊。在一些實施例中,建模形狀包括梯形、平行四邊形、橢圓形、圓形、三角形等。
如下所述,通過評估一次移動金屬線的一個邊緣(或者用於建模初始金屬線周邊的直線區域的一側)的效果來進行金屬線調整。在金屬線調整期間,評估用於建模初始金屬線周邊的第一直線區域的邊緣(或周邊區段)以進行調整,同時保持用於對初始金屬線周邊進行建模的每個其他直線區域的尺寸不變。使用電子設計自動化(EDA)系統來調整金屬線的邊緣,如下面關於第6圖所述。在一些實施例中,金屬線調整包括EDA系統調整和人為調整的組合,或者對EDA系統的監管。
在第4A圖中,初始周邊413的第一周邊部分414A由實線表示。初始周邊413的第二周邊部分414B由具有長虛線的線表示。獨立地評估第一周邊部分414A和第二周邊部分414B的金屬線位置調整。在第一輪金屬線周邊調整中,第一周邊部分414A是可修改部分,並且 第二周邊部分414B是固定部分。在第二輪金屬線周邊調整中,第一周邊部分是固定部分,並且第二周邊部分414B是可修改部分。為了清楚起見,下面描述了第一周邊部分414A的調整。利用類似的步驟來執行其他周邊部分調整。
在周邊調整處理期間,第一周邊部分414A的每個邊緣被進行如下調整:第一周邊區段416A朝向金屬線404移位元到由經調整的周邊區段418A指示的位置。如由經調整的周邊區段418B所示,不調整第二周邊區段416B。如由經調整的周邊區段418C所示,不調整第三周邊區段416C。第四周邊區段416D在金屬線406的相對側上朝向金屬線404延伸,如由經調整的周邊區段418D所示。當區段形成經調整的周邊418的部分時,未被移動的周邊區段被稱為“經調整的”,儘管沒有對該區段進行調整。經調整的周邊418包括第二周邊部分414B和經調整的周邊區段418A~418D。根據一些實施例,周邊區段遠離直線區域的中心並且朝向相鄰的金屬線移動,該直線區域描述了金屬線的初始周邊的部分。根據一些實施例,周邊區段朝向直線區域的中心並且遠離相鄰的金屬線移動,該直線區域描述金屬線的初始周邊的部分。
檢查視窗422在距離經調整的周邊418的檢查距離424處圍繞經調整的周邊418。檢查視窗422被配置為確定初始周邊413的未修改的第二周邊部分414B是否違反了積體電路的設計規則。積體電路的設計規則尤其描述了滿足積體電路的性能規範的積體電路的結構特徵。積體 電路的結構特徵包括電路的導電元件之間的間隔距離,其保持例如導電元件之間的電容低於允許電路滿足性能規範的閾值。導電元件之間的間隔距離包括相鄰金屬線之間的間隔距離、金屬線和通孔之間的間隔距離等。檢查視窗422位於距離周邊區段418A~418D的檢查距離424處,以幫助確定周邊區段418A~418D是否違反積體電路的設計規則。在第4A圖中,在金屬線周邊調整處理之後,金屬線406不違反關於金屬線402或接觸件408的積體電路的設計規則。然而,金屬線406確實違反了關於金屬線404和接觸件410的積體電路的設計規則,因為基於周邊區段418A的位置,接觸件410落在檢查視窗422內。初始周邊413周圍的初始檢查視窗420示出了初始金屬線周邊不與連接到鄰接金屬線406的金屬線的任何接觸件重疊。檢查視窗422與初始檢查視窗420不同,因為檢查視窗422在金屬線周邊調整期間評估改變的周邊而不是整個周邊的影響。
第4B圖是根據一些實施例的在金屬線周邊調整處理期間的積體電路佈局430的俯視圖。在第4B圖中,使用檢查視窗來評估金屬線的一部分的調整,以確定經調整的金屬線是否過於接近與積體電路中的另一金屬線連接的接觸件,如上面在方法200操作210中所述。如下面進一步描述的,在第4B圖中,經歷周邊調整的金屬線406未過於接近與另一金屬線連接的接觸件(例如,沒有連接到金屬線434的接觸件),因此,金屬線406進入方法 200中的操作215和220。
除了第4B圖中不存在接觸件410之外,第4B圖的積體電路佈局與第4A圖的積體電路佈局相同。因此,與上面在第4A圖中提供的描述相對應的第4B圖的元件具有相同的標識數位。在第4B圖中,在積體電路佈局430中,金屬線434不與積體電路的下層中的接觸件建立連接。因此,在金屬線周邊調整以產生經調整的周邊418之後,在檢查視窗422內沒有接觸件並且沒有違反積體電路的設計規則,如第4A圖中的情況,因為在積體電路佈局400中存在接觸件410。
第4C圖是根據一些實施例的在金屬線周邊調整處理期間的積體電路佈局460的俯視圖。在第4C圖中,使用檢查視窗來評估金屬線的一部分的調整,以確定經調整的金屬線是否過於接近與積體電路中的另一金屬線連接的接觸件,如上面在方法200操作210中所述。如下面進一步描述的,在第4C圖中,經歷周邊調整的金屬線406未過於接近連接到一條金屬線的接觸件(例如,沒有連接到金屬線462的接觸件),但過於接近連接到另一金屬線的接觸件(例如,存在連接到金屬線404的接觸件410),因此當金屬線406進行到方法200中的操作215和220時,僅進行兩個可能的金屬線周邊調整中的一個。
除了第4C圖中不存在接觸件408之外,第4C圖的積體電路佈局與第4A圖的積體電路佈局相同。因此,與上面在第4A圖中提供的描述相對應的第4C圖的元件具 有相同的標識數位。在第4C圖中,金屬線462不與積體電路的下層中的接觸件電連接。在積體電路佈局460中,金屬線406經歷類似於第4A圖中的積體電路佈局400的處理的金屬線周邊調整處理。
雖然金屬線區域407A的周邊調整導致了設計規則違反,因為圍繞經調整的金屬線區域472A的檢查視窗476與接觸件410重合,如上面在第4A圖中所描述的,金屬線區域407B的周邊調整不會導致設計規則違反,因為圍繞經調整的金屬線區域472B的檢查視窗476與連接到金屬線462的接觸件不重合。經調整的金屬線區域472B包括金屬線區段466A~466C,金屬線區段466A~466C朝向金屬線462延伸超過第二周邊部分414B。
當經調整的金屬線的周邊過於接近積體電路的同一層(或積體電路的下一層)中的鄰接部件時,具有經調整的周邊的金屬線違反了積體電路的設計規則,如上面在第4A圖和第4C圖中描述的,其中,經調整的金屬線周邊418干擾接觸件410。當調整積體電路的佈局導致經調整的金屬線和鄰接的金屬線具有增加的寄生電容時,發生設計規則違反的一個非限制性示例,導致開關頻率較慢和/或積體電路的功耗增加,這可能在違反關於第4A圖中的經調整線周邊418周圍的檢查視窗422內的接觸件(參見接觸件410)的積體電路佈局的設計規則時發生。
第5圖是具有不同佈置的金屬線的區域的積體電 路佈局500的俯視圖。積體電路佈局500類似於上面第3圖中的積體電路300,但包括關於相鄰區域的附加資訊,以闡明如何在(有資格進行中心區域金屬線周邊調整的)積體電路佈局500的兩個單獨區域的週邊區域上共用電源輸送軌道(導電線512)。積體電路佈局500具有第一區域502,第一區域502具有中心區域504和週邊區域506。週邊區域506具有頂部週邊區域506A和底部週邊區域506B。頂部週邊區域506A鄰接第一電路區域510,並且底部週邊區域506B鄰接第二電路區域508。導電線512在第一區域502中延伸穿過頂部週邊區域506A。導電線514延伸穿過底部週邊區域506B。導電線512和514是穿過第一區域502的邊界的共用線。因此,導電線512由第一區域502和第一電路區域510共用,並且導電線514由第一區域502和第二電路區域508共用。週邊區域506是其中在電路佈局設計處理期間金屬線路徑保持恒定的區域。中心區域504中的金屬線經歷周邊調整和/或路徑調整,以便簡化遮罩製造並改善反相電路性能。在一些實施例中,具有固定周邊區域和可修改中心區域的積體電路的一個或多個區域彼此鄰接。因此,在一些實施例中,第二電路區域508包括第二中心區域508A和第二週邊區域508B,如上文關於第一區域502所述。
第6圖是根據一些實施例的EDA系統600的框圖。EDA系統600用於製備IC佈局(例如,上面提供的IC佈局300和IC佈局500),並將資訊饋送到如下面的 第7圖所述的製造處理流程。電子設計自動化用於描述接觸件和其他電路元件的位置,以便滿足積體電路的設計規則,從而滿足電路性能標準,並減小用於生成積體電路的半導體晶圓上的總面積。在一些實施例中,EDA系統600用於在評估積體電路佈局時計算檢查視窗(例如,檢查視窗420和422)和檢查距離424。
在一些實施例中,EDA系統600包括自動圖案生成系統。根據一些實施例,例如使用EDA系統600來實現本文描述的設計用於根據一個或多個實施例的金屬線佈置或金屬線佈線佈置的佈局圖的方法。
在一些實施例中,EDA系統600是包括硬體處理器602和非暫態電腦可讀儲存介質604的通用計算設備。儲存介質604尤其編碼有(即,儲存)電腦程式代碼606(即,可執行指令組)。由硬體處理器602執行指令606(至少部分地)表示EDA工具根據一個或多個(下文中提到的處理和/或方法)來實現例如本文所述的方法的部分或全部。
硬體處理器602經由匯流排608電耦合到電腦可讀儲存介質604。硬體處理器602還通過匯流排608電耦合到輸入輸出(I/O)介面610。網路介面612還經由匯流排608電連接到硬體處理器602。網路介面612連接到網路614,使得硬體處理器602和電腦可讀儲存介質604能夠經由網路614連接到外部元件。硬體處理器602被配置為執行編碼在電腦可讀儲存介質604中的電腦程式代碼 606,以便使EDA系統600可用於執行所述處理和/或方法的部分或全部。在一個或多個實施例中,硬體處理器602是中央處理單元(CPU)、多處理器、分散式處理系統、專用積體電路(ASIC)和/或合適的處理單元。
在一個或多個實施例中,電腦可讀儲存介質604是電子、磁、光、電磁、紅外和/或半導體系統(或裝置或設備)。例如,電腦可讀儲存介質604包括半導體或固態記憶體、磁帶、可移動電腦磁片、隨機存取記憶體(RAM)、唯讀記憶體(ROM)、剛性磁片、和/或光碟。在使用光碟的一個或多個實施例中,電腦可讀儲存介質604包括壓縮磁碟-唯讀記憶體(CD-ROM)、壓縮磁碟-讀/寫(CD-R/W)和/或數位視訊光碟(DVD)。
在一個或多個實施例中,儲存介質604儲存電腦程式代碼606,其被配置為使得EDA系統600(其中這種執行(至少部分地)表示EDA工具)可用於執行所述處理和/或方法的部分或全部。在一個或多個實施例中,儲存介質604還儲存有助於執行所述處理和/或方法的部分或全部的資訊。在一個或多個實施例中,儲存介質604儲存標準單元的資料庫607,該標準單元的資料庫607包括如本文所公開的那些標準單元。
EDA系統600包括I/O介面610。I/O介面610耦合到外部電路。在一個或多個實施例中,I/O介面610包括鍵盤、小鍵盤、滑鼠、跟蹤球、觸控板、觸控式螢幕和/或游標方向鍵,用於將資訊和命令傳送到處理器602。
EDA系統600還包括耦合到處理器602的網路介面612。網路介面612允許EDA系統600與網路614進行通信,一個或多個其他電腦系統連接到網路614。網路介面612包括無線網路介面,例如BLUETOOTH、WIFI、WIMAX、GPRS或WCDMA;或有線網路介面,例如ETHERNET、USB或IEEE-1364。在一個或多個實施例中,所述處理和/或方法的部分或全部在兩個或更多個系統600中實現。
EDA系統600被配置為通過I/O介面610接收資訊。通過I/O介面610接收的資訊包括如下項中的一項或多項:指令、資料、設計規則、標準單元的庫、和/或由處理器602處理的其他參數。該資訊通過匯流排608傳送到處理器602。EDA系統600被配置為通過I/O介面610接收與UI有關的資訊。該資訊作為使用者介面(UI)642儲存在電腦可讀儲存介質604中。
在一些實施例中,所述處理和/或方法的部分或全部被實現為用於由處理器執行的獨立軟體應用程式。在一些實施例中,所述處理和/或方法的部分或全部被實現為作為附加軟體應用程式的部分的軟體應用程式。在一些實施例中,所述處理和/或方法的部分或全部被實現為軟體應用程式的外掛程式。在一些實施例中,所述處理和/或方法中的至少一個被實現為作為EDA工具的部分的軟體應用程式。在一些實施例中,所述處理和/或方法的部分或全部被實現為由EDA系統600使用的軟體應用程式。在一些實 施例中,使用例如可從鏗騰電子科技有限公司(CADENCE DESIGN SYSTEMS,Inc)獲得的VIRTUOSO®之類的工具、或其他合適的佈局生成工具來生成包括標準單元的佈局圖。
在一些實施例中,該處理被實現為儲存在非暫態電腦可讀記錄介質中的程式的功能。非暫態電腦可讀記錄介質的示例包括但不限於外部/可移動和/或內部/內置儲存裝置或記憶體單元,例如下列項中的一項或多項:光碟(例如,DVD)、磁片(例如,硬碟)、半導體記憶體(例如,ROM、RAM、儲存卡)等。
第7圖是根據一些實施例的積體電路(IC)製造系統700以及與其相關聯的IC製造流程的框圖。IC製造系統700從如上所述的EDA系統600接收資訊,以便在襯底上執行處理步驟,以根據EDA系統600輸出來形成積體電路,並滿足被配置為實現預定電路性能結果的設計規範。在一些實施例中,基於佈局圖,使用製造系統700來製造以下各項中的至少一項:(A)一個或多個半導體遮罩,或(B)半導體積體電路的層中的至少一個元件。根據一些實施例,積體電路製造系統700執行與方法200的操作225相關聯的步驟,以在襯底上沉積與積體電路相關聯的材料並蝕刻形狀。
在第7圖中,IC製造系統700包括在與製造IC器件760相關聯的設計、開發和製造週期和/或服務中彼此交互的實體,例如設計室720、遮罩室730和IC製造商/ 加工廠(“代工廠”)750。系統700中的實體通過通信網路連接。在一些實施例中,通信網路是單個網路。在一些實施例中,通信網路是各種不同的網路,例如內聯網和互聯網。通信網路包括有線和/或無線通訊通道。每個實體與一個或多個其他實體進行交互,並且向一個或多個其他實體提供服務和/或從一個或多個其他實體接收服務。在一些實施例中,設計室720、遮罩室730和IC代工廠750中的兩個或更多個由單個較大的公司擁有。在一些實施例中,設計室720、遮罩室730和IC代工廠750中的兩個或更多個共存於公共設施中並使用公共資源。
設計室(或設計團隊)720生成IC設計佈局722。在一些實施例中,在IC代工廠750使用由設計室生成的積體電路佈局在IC代工廠750處形成IC之前,設計室720執行與評估金屬線圖案和調整金屬線圖案相關聯的方法200的操作。IC設計佈局722包括為IC器件760設計的各種幾何佈置。幾何佈置對應於構成待製造的IC器件760的各種元件的金屬、氧化物或半導體材料區段的佈置。各層組合以形成各種IC部件。例如,IC設計佈局722的部分包括在半導體襯底(例如,矽晶圓)中形成的各種IC部件(例如,主動區域、閘極電極、源極和汲極、層間互連的金屬線或通孔、以及用於接合焊盤的開口)以及設置在半導體襯底上的各種材料層。設計室720實現適當的設計處理以形成IC設計佈局722。設計處理包括以下各項中的一項或多項:邏輯設計、物理設計或佈局和佈線。IC 設計佈局722呈現在具有幾何佈置資訊的一個或多個資料檔案中。例如,IC設計佈局722可以以GDSII檔案格式或DFII檔案格式表示。
遮罩室730包括資料準備732和遮罩製造744。遮罩室730使用IC設計佈局722來製造一個或多個遮罩745,用於根據IC設計佈局722來製造IC器件760的各個層。遮罩室730執行遮罩資料準備732,其中IC設計佈局722被轉換為代表性資料檔案(“RDF”)。遮罩資料準備732將RDF提供給遮罩製造744。遮罩製造744包括遮罩寫入器。遮罩寫入器將RDF轉換為襯底上的圖像,例如遮罩(遮罩版)745或半導體晶圓753。IC設計佈局722由遮罩資料準備732操縱,以符合遮罩寫入器的特定特性和/或IC代工廠750的要求。在第7圖中,遮罩資料準備732和遮罩製造744被示為單獨的元件。在一些實施例中,遮罩資料準備732和遮罩製造744可以統稱為遮罩資料準備。
在一些實施例中,遮罩資料準備732包括光學鄰近校正(OPC),OPC使用光刻增強技術來補償圖像誤差,例如可能由衍射、干涉、其他處理效應等引起的圖像誤差。OPC調整IC設計佈局722。在一些實施例中,遮罩資料準備732包括其他解析度增強技術(RET),例如,離軸照射、子解析度輔助特徵、相移遮罩、其他合適的技術等、或前述的組合。在一些實施例中,還使用反演光刻技術(ILT),ILT將OPC視為反演成像問題。
在一些實施例中,遮罩資料準備732包括遮罩規則檢查器(MRC),(具有遮罩創建規則組的)MRC檢查已經經歷OPC中的處理的IC設計佈局722,該遮罩創建規則組包括某些幾何和/或連接限制以確保足夠的餘量,從而解決半導體製造處理中的可變性等。在一些實施例中,MRC修改IC設計佈局722以補償遮罩製造744期間的限制,其可以撤銷由OPC執行的部分修改以便滿足遮罩創建規則。
在一些實施例中,遮罩資料準備732包括光刻處理檢查(LPC),LPC模擬將由IC代工廠750實施的用於製造IC器件760的處理。LPC基於IC設計佈局722來模擬該處理從而創建類比製造的器件,例如IC器件760。LPC類比中的處理參數可以包括與IC製造週期的各種處理相關聯的參數、與用於製造IC的工具相關聯的參數、和/或製造處理的其他方面。LPC考慮各種因數,例如,空間圖像對比度、焦深(“DOF”)、遮罩誤差增強因數(“MEEF”)、其他合適的因數等或前述的組合。在一些實施例中,在已經通過LPC創建了類比製造的器件之後,如果模擬的器件在形狀上不夠接近以滿足設計規則,則重複OPC和/或MRC以進一步細化IC設計佈局722。
應該理解,為了清楚起見,已經簡化了遮罩資料準備732的上述描述。在一些實施例中,資料準備732包括附加特徵(例如,邏輯操作(LOP))以根據製造規則來修改IC設計佈局722。另外,在資料準備732期間應用 於IC設計佈局722的處理可以以各種不同的循序執行。
在遮罩資料準備732之後並且在遮罩製造744期間,基於修改的IC設計佈局722來製造一個或多個遮罩745(或光遮罩或遮罩版)。在一些實施例中,遮罩製造744包括基於IC設計佈局722來執行一個或多個光刻曝光。在一些實施例中,基於修改的IC設計佈局722,使用一個電子束(e-束)或多個電子束的機制在一個或多個遮罩745上形成圖案。遮罩745可以以各種技術形成。在一些實施例中,使用二進位技術來形成遮罩745。在一些實施例中,遮罩圖案包括不透明區域和透明區域。用於曝光已經塗覆在晶圓上的圖像敏感材料層(例如,光致抗蝕劑)的輻射束(例如,紫外(UV)束)被不透明區域阻擋並透過透明區域。在一個示例中,遮罩745的二元遮罩版本包括透明襯底(例如,熔融石英)和塗覆在二元遮罩的不透明區域中的不透明材料(例如,鉻)。在另一示例中,使用相移技術來形成遮罩745。在遮罩745的相移遮罩(PSM)版本中,在相移遮罩上形成的圖案中的各種部件被配置為具有適當的相位差以增強解析度和成像品質。在各種示例中,相移遮罩可以是衰減的PSM或交替的PSM。由遮罩製造744生成的(一個或多個)遮罩用於各種處理中。例如,這樣的(一個或多個)遮罩用於離子注入處理以在半導體晶圓753中形成各種摻雜區域、用於蝕刻處理以在半導體晶圓753中形成各種蝕刻區域、和/或用於其他合適的處理。
IC代工廠750包括晶圓製造752。IC代工廠750是IC製造業務,其包括用於製造各種不同IC產品的一個或多個製造設施。在一些實施例中,IC代工廠750是半導體代工廠。例如,可能存在用於多個IC產品的前端製造(生產線前道工序(FEOL)製造)的製造設施,而第二製造設施可以為IC產品的互連和封裝提供後端製造(生產線後道工序(BEOL)製造),並且第三製造設施可以為代工業務提供其他服務。
在一些實施例中,晶圓製造752包括與在半導體晶圓上沉積電介質材料層或與已經在半導體晶圓上的層材料相關聯的操作,以便於使積體電路中的金屬線彼此絕緣。在一些實施例中,沉積在半導體晶圓上的電介質材料包括二氧化矽、旋塗玻璃、氟化石英玻璃(FSG)、硼磷矽玻璃(BPSG)、或通常用於積體電路製造的其他電介質材料(包括低K電介質材料)。使用化學汽相沉積、液體材料沉積、然後薄膜(通過旋轉晶圓以去除多餘的沉積液體)、或原子層沉積(ALD)來沉積電介質材料以構建電介質材料層,電介質材料層中的接觸開口是通過蝕刻處理形成的溝槽開口。
通過以下方式在積體電路的層中形成接觸件:沉積圖案化材料層(例如,光致抗蝕劑),並使用光刻或其他圖案轉移技術在圖案化材料中生成圖案,使得在去除形成在圖案化材料層中的部分圖案內的材料之後,去除下面的電介質材料的暴露部分,並且在開口中沉積導電材料,以 與蝕刻的電介質材料之下的導電部件形成電連接。
在一些實施例中,通過以下方式形成接觸件:等離子體通過光致抗蝕劑層中的開口蝕刻電介質材料,以形成穿過電介質材料的接觸開口以暴露例如源極/汲極區域或閘極電極表面。一旦已經通過電介質材料層形成了接觸開口,則襯裡材料、抗擴散阻擋層或晶種層被沉積在電介質材料中的開口的側壁上,隨後在接觸開口中沉積導電材料。在一些實施例中,沉積在接觸開口中的導電材料包括金屬,例如鎢、鎳、鈦、鉑、鈷及其合金、以及用於本領域技術人員已知的導電接觸材料的其他配方。
通過以下方式在電介質材料層中形成金屬線:沉積圖案化材料層(例如,光致抗蝕劑),並且使用光刻或其他圖案轉移技術在圖案化材料中生成圖案,使得在去除形成在圖案化材料層中的部分圖案內的材料之後,去除下面的電介質材料的暴露部分,並且線上開口或溝槽開口中沉積導電材料。
在一些實施例中,沉積到線開口或溝槽開口中的導電材料包括金屬,例如鎢、鎳、鉑、鈦、鈷及其合金、以及用於本領域技術人員已知的金屬線的其他配方。
IC代工廠750使用由遮罩室730製造的(一個或多個)遮罩745來製造IC器件760。因此,IC代工廠750至少間接地使用IC設計佈局722來製造IC器件760。在一些實施例中,由IC代工廠750使用(一個或多個)遮罩745來製造半導體晶圓753從而形成IC器件760。 在一些實施例中,IC製造包括至少間接地基於IC設計佈局722來執行一個或多個光刻曝光。半導體晶圓753包括其上形成有材料層的矽襯底或其他適當的襯底。半導體晶圓753還包括以下各項中的一項或多項:各種摻雜區域、電介質部件、多級互連件等(在隨後的製造步驟中形成)。
關於積體電路(IC)製造系統(例如,第7圖的系統700)以及與其相關聯的IC製造流程的細節可在以下專利申請中找到:例如,2016年2月9日授權的美國專利No.9,256,709,2015年10月1日公開的美國授權前公開No.20150278429,2014年2月6日公開的美國授權前公開No.20140040838,以及2007年8月21日授權的美國專利No.7,260,442,前述專利申請中的每一個均通過引用以其整體合併於此。
如本文所公開的,積體電路包括複雜佈置的金屬線,以與積體電路的下層上的接觸件進行電連接。在積體電路的設計處理中,金屬線佈置的調整有時會驅動積體電路的下層中的接觸件位置的變化,導致與重新設計與積體電路的下層中的接觸件位置相關聯的圖案化元件或光刻遮罩相關聯的額外成本。一種用於消除或減少與重新設計與積體電路的下層中的接觸件位置相關聯的圖案化元件或光刻遮罩相關聯的額外成本的技術涉及在金屬線調整處理期間保持積體電路區域的週邊部分不變,同時允許積體電路區域的中心部分經歷金屬線周邊調整,也稱為金屬線佈線調整。
本揭露的一些方面涉及一種製造積體電路的方法,包括:在積體電路佈局的第一層中,將金屬線的第一佈置劃分為第一組金屬線和第二組金屬線,其中,第一組金屬線位於積體電路佈局的週邊區域中,並且第二組金屬線位於積體電路佈局的中心區域中,其中金屬線的第一佈置位於積體電路佈局的第一層中,金屬線的第一佈置被配置為在製造處理之後與積體電路佈局的第二層的接觸件進行電連接;調整積體電路佈局的中心區域中的至少一條金屬線的金屬線周邊,以形成金屬線的第二佈置,其中每個經調整的金屬線周邊與積體電路佈局的第二層中的接觸件分開至少一個檢查距離;以及將金屬線材料沉積到積體電路的電介質層中的一組開口中,電介質層中的該組開口對應於金屬線的第二佈置。
在一些實施例中,該方法還包括:選擇中心區域中的至少一條金屬線的周邊的第一部分;以及將金屬線周邊的第一部分從初始位置移動到更靠近相鄰金屬線的第二位置。在一些實施例中,移動金屬線周邊的第一部分包括將至少一條金屬線連接到第二金屬線。在一些實施例中,移動金屬線周邊的第一部分包括使得至少一條金屬線的周邊平滑。在一些實施例中,該方法包括測量金屬線周邊的第二位置與對於金屬線周邊的第二位置的最接近金屬線之間的間隔距離;以及當金屬線周邊的第二位置與對於金屬線周邊的第二位置的最接近金屬線之間的間隔距離小於檢查距離時,進一步調整金屬線周邊,其中圍繞至少一條金屬 線的經調整區段的檢查視窗在與金屬線的經調整區段相距檢查距離處具有至少一條金屬線的經調整區段的形狀。在一些實施例中,該方法包括:對於金屬線周邊的不連續的第一部分,延伸金屬線周邊的不連續的第一部分的至少一個區段,以形成與第二延伸部或金屬線周邊的第一部分相交的第一延伸部。在一些實施例中,該方法包括將第一區域劃分為多個子區域,其中,至少一個子區域具有頂部週邊區域和底部週邊區域;以及在每個頂部週邊區域或每個底部週邊區域中再製造金屬線的第三佈置,其中,第三佈置是第二組金屬線的子集。在一些實施例中,調整積體電路佈局的中心區域中的至少一條金屬線的金屬線周邊包括將頂部週邊區域或底部週邊區域的至少一條金屬線與積體電路的中心區域的金屬線進行組合。
本揭露的各個方面涉及一種積體電路,針對積體電路的第一區域,包括:一組接觸件,位於積體電路的第一層處;以及一組金屬線,位於積體電路的第二層處,第二層位於第一層上方,其中第一區域具有中心區域和週邊區域,並且第一區域包括兩個端子區域,使得在每個端子區域中,週邊區域在三個側面上圍繞中心區域,兩個端子區域中的第一端子區域中的週邊區域包括第一頂部週邊區域、第一底部週邊區域和第一邊緣週邊區域,第一頂部週邊區域和第一底部週邊區域位於中心區域的相對側上,兩個端子區域中的第二端子區域中的週邊區域包括第二頂部週邊區域、第二底部週邊區域和第二邊緣週邊區域,第二頂部 週邊區域和第二底部週邊區域位於中心區域的相對側上,第一頂部週邊區域和第二頂部週邊區域位於中心區域的同一側上,並且第一頂部週邊區域和第二頂部週邊區域的金屬線各自具有金屬線的第二圖案。在一些實施例中,第一底部週邊區域和第二底部週邊區域的金屬線各自具有金屬線的第三圖案。在一些實施例中,第一區域還包括至少一個非端子區域,該至少一個非端子區域位於第一端子區域和第二端子區域之間,並且其中每個非端子區域均具有非端子頂部週邊區域,該非端子頂部週邊區域具有金屬線的第二圖案。在一些實施例中,其中第一底部週邊區域、第二底部週邊區域和每個非端子底部區域的金屬線具有金屬線的第三圖案。本揭露的各個方面涉及一種製造積體電路的方法,該方法包括:向積體電路的第一區域的第一層的第一組接觸件分配積體電路的第一區域的第二層的金屬線的第一圖案;向積體電路的第一區域的第一層的第二組接觸件分配積體電路的第一區域的第二層的金屬線的第二圖案;針對金屬線的第二圖案中的每條金屬線,確定是否修改金屬線周邊;向第一組金屬線分配被確定為不進行金屬線周邊調整的第二圖案的金屬線;向第二組金屬線分配被確定為進行金屬線周邊調整的第二圖案的金屬線;調整第二組金屬線中的每條金屬線的金屬線周邊,以具有經調整的金屬線周邊;基於第一組金屬線的未調整的金屬線以及第二組金屬線的經調整的一組金屬線來生成金屬線的第三圖案,其中金屬線的第一圖案位於第一區域的週邊區域中, 並且金屬線的第二圖案位於第一區域的中心區域中;以及在積體電路的電介質層中形成具有金屬線的第三圖案的金屬線。
在一些實施例中,該方法還包括調整第二區域的金屬線的金屬線周邊的第一部分,其中第二區域是積體電路的佈局的中心區域,並且其中調整金屬線周邊的第一部分還包括:將金屬線周邊的經調整部分移動到第二位置,該第二位置與對於金屬線周邊的經調整部分的最接近金屬線相距至少檢查距離。
在一些實施例中,調整金屬線周邊的第一部分還包括通過將金屬線周邊的第一部分移動得更靠近第一層的接觸件或第二層的金屬線來測試第二金屬線周邊區段;確定第一層的接觸件或第二層的金屬線是否在距第二金屬線周邊區段的每個邊緣的檢查距離內;以及當第二金屬線周邊區段距離第二層的金屬線或第一層的接觸件超過檢查距離時,利用第二金屬線周邊區段代替金屬線周邊的第一部分。在一些實施例中,調整金屬線周邊的第一部分還包括連接中心區域中的兩條金屬線。在一些實施例中,該方法還包括在中心區域的頂側處將第一區域的週邊區域劃分為至少兩個頂部週邊區域,並且在第一區域的至少兩個頂部週邊區域中的每一個頂部週邊區域中重複金屬線的第三圖案。在一些實施例中,該方法還包括在中心區域的底側處將第一區域的週邊區域劃分為至少兩個底部週邊區域,並且在第一區域的至少兩個底部週邊區域中的每一個底部週邊區 域中重複金屬線的第四圖案。在一些實施例中,該方法還包括使VDD電源線線延伸通過週邊區域的頂側,並且使VSS電源線線延伸通過週邊區域的底側。在一些實施例中,該方法還包括在調整第二組金屬線中的金屬線的金屬線周邊期間,保持週邊區域的邊緣週邊區域中的金屬線的佈置。
上文概述若干實施例的特徵或實例,使得熟習此項技術者可更好地理解本揭示案的態樣。熟習此項技術者應瞭解,可輕易使用本揭示案作為設計或修改其他製程及結構的基礎,以便實施本文所介紹的實施例或實例的相同目的及/或實現相同優勢。熟習此項技術者亦應認識到,此類等效結構並未脫離本揭示案的精神及範疇,且可在不脫離本揭示案的精神及範疇的情況下產生本文的各種變化、替代及更改。
200:方法
205、210、215、220、225:操作

Claims (10)

  1. 一種製造積體電路的方法,包括:在一積體電路佈局的一第一層中,將多條金屬線的一第一佈置劃分為一第一組金屬線和一第二組金屬線,其中該第一組金屬線位於該積體電路佈局的一週邊區域中,並且該第二組金屬線位於該積體電路佈局的一中心區域中,其中該些金屬線的該第一佈置位於該積體電路佈局的該第一層中,該些金屬線的該第一佈置被配置為在一製造處理之後與該積體電路佈局的一第二層的多個接觸件進行電連接;調整該積體電路佈局的該中心區域中的至少一條金屬線的一金屬線周邊,以形成該些金屬線的一第二佈置,其中每個經調整的金屬線周邊與該積體電路佈局的該第二層中的多個接觸件分開至少一檢查距離;以及將金屬線材料沉積到該積體電路的一電介質層中的一組開口中,該電介質層中的該組開口對應於該些金屬線的該第二佈置。
  2. 如請求項1所述之方法,其中選擇該中心區域中的該至少一條金屬線的一周邊的一第一部分;將該金屬線周邊的該第一部分從一初始位置移動到更靠近一相鄰金屬線的一第二位置;測量該金屬線周邊的該第二位置與對於該金屬線周邊的 該第二位置的一最接近金屬線之間的一間隔距離;以及當該金屬線周邊的該第二位置與對於該金屬線周邊的該第二位置的該最接近金屬線之間的該間隔距離小於該檢查距離時,進一步調整該金屬線周邊,其中圍繞該至少一條金屬線的一經調整區段的一檢查視窗在與該金屬線的該經調整區段相距該檢查距離處具有該至少一條金屬線的該經調整區段的一形狀。
  3. 如請求項1所述之方法,還包括:將一第一區域劃分為多個子區域,其中至少一個子區域具有一頂部週邊區域和一底部週邊區域;以及在每個頂部週邊區域或每個底部週邊區域中再製造該些金屬線的第三佈置,其中該第三佈置是該第二組金屬線的一子集。
  4. 一種積體電路,針對該積體電路的一第一區域,包括:一組接觸件,位於該積體電路的一第一層;以及一組金屬線,位於該積體電路的一第二層,該第二層位於該第一層上方,其中該第一區域具有一中心區域和一週邊區域,並且該第一區域包括兩個端子區域,使得在每個端子區域中,該週邊區域在三個側面上圍繞該中心區域,該兩個端子區域中的一第一端子區域中的該週邊區域包 括一第一頂部週邊區域、一第一底部週邊區域和一第一邊緣週邊區域,該第一頂部週邊區域和該第一底部週邊區域位於該中心區域的相對側上,該兩個端子區域中的一第二端子區域中的該週邊區域包括一第二頂部週邊區域、一第二底部週邊區域和一第二邊緣週邊區域,該第二頂部週邊區域和該第二底部週邊區域位於該中心區域的相對側上,該第一頂部週邊區域和該第二頂部週邊區域位於該中心區域的同一側上,並且該第一頂部週邊區域和該第二頂部週邊區域的多條金屬線的各自具有多條金屬線的一第二圖案。
  5. 如請求項4所述的積體電路,其中該第一底部週邊區域和該第二底部週邊區域的多條金屬線各自具有多條金屬線的一第三圖案。
  6. 如請求項4所述的積體電路,其中該第一區域還包括至少一個非端子區域,該至少一個非端子區域位於該第一端子區域和該第二端子區域之間,並且其中每個非端子區域具有一非端子頂部週邊區域,該非端子頂部週邊區域具有多條金屬線的該第二圖案。
  7. 一種製造一積體電路的方法,包括:向該積體電路的一第一區域的一第一層的一第一組接觸件分配該積體電路的該第一區域的一第二層的多條金屬線 的一第一圖案;向該積體電路的該第一區域的該第一層的一第二組接觸件分配該積體電路的該第一區域的該第二層的多條金屬線的一第二圖案;針對多條金屬線的該第二圖案中的每條金屬線,確定是否修改一金屬線周邊;向該第一組金屬線分配被確定為不進行金屬線周邊調整的該第二圖案的多條金屬線;向該第二組金屬線分配被確定為進行金屬線周邊調整的該第二圖案的多條金屬線;調整該第二組金屬線中的每條金屬線的該金屬線周邊,以具有一經調整的金屬線周邊;基於該第一組金屬線的多條未調整的金屬線以及該第二組金屬線的該經調整的一組金屬線來生成多條金屬線的一第三圖案,其中多條金屬線的該第一圖案位於該第一區域的一週邊區域中,並且多條金屬線的該第二圖案位於該第一區域的一中心區域中;以及在該積體電路的一電介質層中形成具有多條金屬線的該第三圖案的多條金屬線。
  8. 如請求項7所述的方法,還包括:對於該第二區域的一金屬線調整一金屬線周邊的一第一部分,其中該第二區域是該積體電路的一佈局的一中心區域,並且其中調整該金屬線周邊的該第一部分還包括: 將該金屬線周邊的一經調整部分移動到一第二位置,該第二位置與對於該金屬線周邊的該經調整部分的一最接近金屬線相距至少一檢查距離。
  9. 如請求項7所述的方法,還包括:在該中心區域的一頂側處將該第一區域的該週邊區域劃分為至少兩個頂部週邊區域,並且在該第一區域的該至少兩個頂部週邊區域中的每一個頂部週邊區域中重複多條金屬線的一第三圖案。
  10. 如請求項7所述的方法,還包括:在調整多條金屬線的該第二組金屬線中的該多條金屬線的該金屬線周邊期間,保持該週邊區域的多個邊緣週邊區域中的多條金屬線的一佈置。
TW109134837A 2019-10-14 2020-10-07 積體電路及其製造方法 TWI742871B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN201910974639.2A CN112736027A (zh) 2019-10-14 2019-10-14 具有约束金属线布置的集成电路
CN201910974639.2 2019-10-14

Publications (2)

Publication Number Publication Date
TW202115801A TW202115801A (zh) 2021-04-16
TWI742871B true TWI742871B (zh) 2021-10-11

Family

ID=75383086

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109134837A TWI742871B (zh) 2019-10-14 2020-10-07 積體電路及其製造方法

Country Status (3)

Country Link
US (3) US11030382B2 (zh)
CN (1) CN112736027A (zh)
TW (1) TWI742871B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114781319B (zh) * 2022-06-17 2022-09-23 飞腾信息技术有限公司 基于金属线的时序修复方法、设备及介质
TWI802474B (zh) * 2022-07-25 2023-05-11 晶豪科技股份有限公司 Ic 晶粒形成方法以及ic 晶粒結構

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140282289A1 (en) * 2013-03-15 2014-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Cell boundaries for self aligned multiple patterning abutments
US20150248521A1 (en) * 2012-03-30 2015-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and Apparatus for SRAM Cell Structure
US20160342726A1 (en) * 2015-05-19 2016-11-24 Globalfoundries Inc. Method, apparatus, and system for offset metal power rail for cell design
US20180068050A1 (en) * 2016-09-07 2018-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. System for and method of manufacturing a layout design of an integrated circuit

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06196563A (ja) * 1992-09-29 1994-07-15 Internatl Business Mach Corp <Ibm> Vlsiの配線設計に対するコンピュータ実施可能な過密領域配線方法
US6388332B1 (en) * 1999-08-10 2002-05-14 Philips Electronics North America Corporation Integrated circuit power and ground routing
US7222322B1 (en) * 2003-01-14 2007-05-22 Cadence Design Systems, Inc. Method and mechanism for implementing tessellation-based routing
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US7246337B2 (en) * 2004-12-08 2007-07-17 Lsi Corporation Density driven layout for RRAM configuration module
JP5609364B2 (ja) * 2010-07-22 2014-10-22 富士通株式会社 集積回路設計装置、集積回路設計方法、及び集積回路設計プログラム
CN102663147B (zh) * 2012-02-28 2014-02-05 上海华力微电子有限公司 一种用于铜互连冗余金属图形的插入算法
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US8949749B2 (en) * 2012-10-23 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Layout design for electron-beam high volume manufacturing
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US9465906B2 (en) 2014-04-01 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integrated circuit manufacturing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150248521A1 (en) * 2012-03-30 2015-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and Apparatus for SRAM Cell Structure
US20140282289A1 (en) * 2013-03-15 2014-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Cell boundaries for self aligned multiple patterning abutments
US20160342726A1 (en) * 2015-05-19 2016-11-24 Globalfoundries Inc. Method, apparatus, and system for offset metal power rail for cell design
US20180068050A1 (en) * 2016-09-07 2018-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. System for and method of manufacturing a layout design of an integrated circuit

Also Published As

Publication number Publication date
CN112736027A (zh) 2021-04-30
US20230367949A1 (en) 2023-11-16
TW202115801A (zh) 2021-04-16
US20210110000A1 (en) 2021-04-15
US11748550B2 (en) 2023-09-05
US11030382B2 (en) 2021-06-08
US20210294961A1 (en) 2021-09-23

Similar Documents

Publication Publication Date Title
KR102233083B1 (ko) 상이한 높이 행들에서 더 유사한 셀 밀도들을 가지는 셀 영역을 포함하는 반도체 디바이스, 및 이의 레이아웃 도면을 생성하기 위한 방법 및 시스템
US11675961B2 (en) Engineering change order cell structure having always-on transistor
US20230367949A1 (en) Integrated circuit with constrained metal line arrangement, method of using, and system for using
TW202022486A (zh) 積體電路元件設計的製備方法
US11256844B2 (en) Cell row arrangement in regions of integrated circuit layout
US20240088126A1 (en) Cell structure having different poly extension lengths
US20230402374A1 (en) Signal conducting line arrangements in integrated circuits
TWI735961B (zh) 積體電路佈局圖產生系統及其產生方法
TW202032262A (zh) 製造半導體元件之方法及用於製造半導體元件之系統
US20230154990A1 (en) Arrangement of source or drain conductors of transistor
US20220310584A1 (en) Active zones with offset in semiconductor cell
TWI831131B (zh) 積體電路及用於形成半導體結構的方法
KR102379425B1 (ko) 스태거드 게이트-스터브-사이즈 프로파일을 갖는 반도체 디바이스 및 그 제조 방법
US11854786B2 (en) Deep lines and shallow lines in signal conducting paths
US11967596B2 (en) Power rail and signal conducting line arrangement
US11842994B2 (en) Semiconductor device having staggered gate-stub-size profile and method of manufacturing same
TW202412263A (zh) 積體電路及其製造方法