CN112736027A - 具有约束金属线布置的集成电路 - Google Patents

具有约束金属线布置的集成电路 Download PDF

Info

Publication number
CN112736027A
CN112736027A CN201910974639.2A CN201910974639A CN112736027A CN 112736027 A CN112736027 A CN 112736027A CN 201910974639 A CN201910974639 A CN 201910974639A CN 112736027 A CN112736027 A CN 112736027A
Authority
CN
China
Prior art keywords
metal line
integrated circuit
region
metal
perimeter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201910974639.2A
Other languages
English (en)
Inventor
王新泳
王启权
田丽钧
马远
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
TSMC China Co Ltd
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
TSMC China Co Ltd
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by TSMC China Co Ltd, Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical TSMC China Co Ltd
Priority to CN201910974639.2A priority Critical patent/CN112736027A/zh
Priority to US16/670,000 priority patent/US11030382B2/en
Priority to TW109134837A priority patent/TWI742871B/zh
Publication of CN112736027A publication Critical patent/CN112736027A/zh
Priority to US17/342,006 priority patent/US11748550B2/en
Priority to US18/357,731 priority patent/US20230367949A1/en
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/0266Marks, test patterns or identification means
    • H05K1/0268Marks, test patterns or identification means for electrical inspection or testing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays
    • H01L2027/11868Macro-architecture
    • H01L2027/11874Layout specification, i.e. inner core region
    • H01L2027/11875Wiring region, routing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/118Masterslice integrated circuits
    • H01L27/11803Masterslice integrated circuits using field effect technology
    • H01L27/11807CMOS gate arrays

Abstract

本公开涉及具有约束金属线布置的集成电路。一种方法包括如下步骤:将电路布局中的第一布置的金属线划分为两组金属线,第一组金属线位于外围区域中,并且第二组金属线位于中心区域中。金属线的布置被配置为电连接到电路布局的第二层的接触件。该方法包括调整中心区域中的至少一条金属线的金属线周边以形成第二布置的金属线,其中,每个经调整的金属线周边与集成电路布局的第二层中的接触件分开至少检查距离。将金属线材料沉积在集成电路的电介质层中的一组开口中,该组开口对应于第二布置的金属线。

Description

具有约束金属线布置的集成电路
技术领域
本公开涉及具有约束金属线布置的集成电路。
背景技术
在电路设计工艺期间对集成电路布局中的金属线的布置的修改涉及修改用于在制造工艺期间将与金属线的布置相关联的图案转移到集成电路的图案化模板。图案化模板修改是集成电路制造中的昂贵操作,并且图案化模板足够复杂,使得图案化模板中的图案在制作模板之前被建模。减少图案化模板的复杂性减少了与制作图案化模板相关联的成本和时间。
发明内容
根据本公开的一个实施例,提供了一种制造集成电路的方法,包括:在集成电路布局的第一层中,将第一布置的金属线划分为第一组金属线和第二组金属线,其中,所述第一组金属线位于所述集成电路布局的外围区域中,并且所述第二组金属线位于所述集成电路布局的中心区域中,其中,所述第一布置的金属线位于所述集成电路布局的第一层中,所述第一布置的金属线被配置为在制造工艺之后与所述集成电路布局的第二层的接触件进行电连接;调整所述集成电路布局的所述中心区域中的至少一条金属线的金属线周边,以形成第二布置的金属线,其中,每个经调整的金属线周边与所述集成电路布局的所述第二层中的接触件分开至少检查距离;以及将金属线材料沉积到所述集成电路的电介质层中的一组开口中,所述电介质层中的该组开口对应于所述第二布置的金属线。
根据本公开的另一实施例,提供了一种集成电路,针对所述集成电路的第一区域,包括:一组接触件,位于所述集成电路的第一层处;以及一组金属线,位于所述集成电路的第二层处,所述第二层位于所述第一层上方,其中所述第一区域具有中心区域和外围区域,并且所述第一区域包括两个端子区域,使得在每个端子区域中,所述外围区域在三个侧面上围绕所述中心区域,所述两个端子区域中的第一端子区域中的所述外围区域包括第一顶部外围区域、第一底部外围区域和第一边缘外围区域,所述第一顶部外围区域和所述第一底部外围区域位于所述中心区域的相对侧上,所述两个端子区域中的第二端子区域中的所述外围区域包括第二顶部外围区域、第二底部外围区域和第二边缘外围区域,所述第二顶部外围区域和所述第二底部外围区域位于所述中心区域的相对侧上,所述第一顶部外围区域和所述第二顶部外围区域位于所述中心区域的同一侧上,并且所述第一顶部外围区域和所述第二顶部外围区域的金属线各自具有第二图案的金属线。
根据本公开的又一实施例,提供了一种制造集成电路的方法,包括:向所述集成电路的第一区域的第一层的第一组接触件分配所述集成电路的所述第一区域的第二层的第一图案的金属线;向所述集成电路的所述第一区域的所述第一层的第二组接触件分配所述集成电路的所述第一区域的所述第二层的第二图案的金属线;针对所述第二图案的金属线中的每条金属线,确定是否修改金属线周边;向第一组金属线分配被确定为不进行金属线周边调整的所述第二图案的金属线;向第二组金属线分配被确定为进行金属线周边调整的所述第二图案的金属线;调整所述第二组金属线中的每条金属线的所述金属线周边,以具有经调整的金属线周边;基于所述第一组金属线的未调整的金属线以及所述第二组金属线的经调整的一组金属线来生成第三图案的金属线,其中,所述第一图案的金属线位于所述第一区域的外围区域中,并且所述第二图案的金属线位于所述第一区域的中心区域中;以及在所述集成电路的电介质层中形成具有所述第三图案的金属线的金属线。
附图说明
当结合附图阅读时,从以下详细描述中可以最好地理解本公开的各方面。应当注意,根据工业中的标准实践,各种部件未按比例绘制。实际上,为了清楚讨论,可以任意增加或减少各种部件的尺寸。
图1是根据一些实施例的集成电路布局的区域的俯视图。
图2是根据一些实施例的修改集成电路的金属线的布置的方法的流程图。
图3是根据一些实施例的集成电路布局的区域的俯视图。
图4A-图4C是根据一些实施例的在金属线周边(perimeter)调整工艺期间的集成电路布局的俯视图。
图5是根据一些实施例的集成电路布局的俯视图。
图6是根据一些实施例的电子设计自动化(EDA)系统的框图。
图7是根据一些实施例的集成电路制造系统以及与其相关联的IC制造流程的框图。
具体实施方式
下面的公开内容提供了用于实现所提供主题的不同部件的许多不同的实施例或示例。下文描述了组件、值、操作、材料、布置等的具体示例以简化本公开。当然,这些仅仅是示例而不意图是限制性的。可以预期其他组件、值、操作、材料、布置等。例如,在下面的说明中,在第二部件上方或之上形成第一部件可以包括以直接接触的方式形成第一部件和第二部件的实施例,并且还可以包括可以在第一部件和第二部件之间形成附加部件以使得第一部件和第二部件可以不直接接触的实施例。此外,本公开可以在各种示例中重复参考标号和/或字符。该重复是为了简单和清楚的目的,并且本身并不表示所讨论的各种实施例和/或配置之间的关系。
此外,为了简化描述,本文可能使用了空间相关术语(例如“下方”、“之下”、“低于”、“以上”、“上部”等),以描述图中所示的一个元件或部件相对于另一个(一些)元件或部件的关系。这些空间相关术语意在涵盖器件在使用或工作中除了图中所示朝向之外的不同朝向。装置可能以其他方式定向(旋转了90度或处于其他朝向),并且这里所用的空间相关描述符同样可以被相应地解释。
在制造集成电路的工艺中,产生不同层中的电路元件的布置。元件的布置包括导电接触件、导电线、有源区、栅电极线等。接触件在集成电路的层中的位置与元件(例如,导电线或有源区域(例如,晶体管的源极/漏极区域))在接触件之下的层中的位置有关。在一些实施例中,导电线是嵌入在集成电路的电介质材料层中的金属线。
导电线在集成电路中的位置与接触件在金属线层之下的层中的位置有关,以便在集成电路内形成多层互连结构。金属线放置还包括考虑通过集成电路的层的金属线路径(例如,金属线布线)以避免与电路的其他元件干扰,并且降低掩模复杂性以制造金属线的布置。金属线的形状或周边不仅与接触件在金属线层之下的层中的位置有关,而且还与线形状或线周边上的光刻相关约束有关。基于集成电路的设计规则来确定一些金属线形状,以减少集成电路的单层中的金属线之间的电容干扰。一些金属线形状是基于设计规则来确定的,以增强集成电路的一层上的金属线之间的分离,并接触集成电路的下层,以减少不同层中的金属线和接触件之间的电容干扰。
在集成电路设计工艺期间,对集成电路的一层中的元件的位置的调整影响元件在集成电路的不同或相邻层上的位置。例如,调整集成电路的第一层中的通孔位置有时会驱动集成电路的上层中的金属线位置的修改,其中金属线旨在与具有调整位置的接触件进行电连接。相反,在集成电路布局中对金属线布置中的金属线的位置和形状的调整有时会驱动集成电路布局的不同层中的接触件位置的变化。
本公开的一些实施例涉及一种制造集成电路的方法,该集成电路具有这样的集成电路的区域,其中,金属线布置在金属线调整工艺(有时称为流片工艺)期间保持恒定或不变,其中,金属线的形状或周边被调整以便降低用于图案化集成电路的层的光刻掩模的复杂性或降低在集成电路的制造期间发生缺陷的可能性。
图1是根据一些实施例的集成电路布局的第一区域100的俯视图。第一区域100具有围绕第一区域100的区域边界102。第一区域100被划分为外围区域104和中心区域106。外围区域104包括四个区域:顶部外围区域104A和底部外围区域104C以及边缘外围区域104B和104D,顶部外围区域104A和底部外围区域104C位于中心区域106的相对侧上,边缘外围区域104B和104D位于中心区域106的彼此相对的两侧上。单元边界108将中心区域106与外围区域104分开。
第一区域100包括有源区域110和在一些有源区域110上方延伸的导电线112。接触件114位于一些有源区域110和一些导电线112上方。例如,集成电路的第一区域的一些实施例包括作为导电线的多晶硅线、和作为集成电路的源极/漏极区域和栅极电极的接触件114的金属插塞。金属线116A-116D位于接触件114上方,以向并从晶体管或其他电路元件传送信号,并调节晶体管或其他电路元件的操作。
在顶部外围区域104A中,金属线具有第一顶部布置并且包括VDD线118和延伸部122,以便与边缘外围区域104B中的接触件114A进行电连接。在底部外围区域104C中,金属线具有第一底部布置并且包括具有VSS线120和延伸部124,以与边缘外围区域104B中的接触件114B进行电连接。边缘外围区域104B具有第一边缘布置的金属线,并且边缘外围区域104D具有第二边缘布置的金属线。
中心区域106具有第一中心布置的金属线。在集成电路设计工艺期间,保持外围区域104A-104D中的金属线的布置,同时修改中心区域106中的金属线的布置。通过在布局工艺期间保持外围区域104A-104D中的金属线的布置,减少或消除了用于集成电路的接触层的图案化模板或光刻掩模的变化。例如,VDD线118和VSS线120是适合长距离运行的集成电路的元件。在一些实施例中,VDD线118和VSS线120是直的金属线段。通过使用延伸部(例如,延伸部122或延伸部124)以与集成电路的下层中的接触件进行电连接,VDD线118和VSS线120的主要部分继续用于长距离而不改变方向,简化了用于在集成电路中制造金属线的光刻掩模。通过约束其中金属线的位置或周边被调整的区域,减少了掩模复杂性并且使得掩模重新设计操作不那么频繁和不那么复杂。降低复杂性有助于更快地设计集成电路;并且提高用于集成电路的制造工艺的盈利能力。
图2是根据一些实施例的修改集成电路的金属线的布置的方法200的流程图。在一些实施例中,方法200用于通过保持外围区域中的金属线的布线图案固定来制造如下面图3中所述的集成电路,同时提供在IC的单元的内部部分中布线金属线的灵活性。在操作205中,将集成电路的区域划分为外围区域和中心区域。下面在图3的描述中给出了外围和中心区域的示例(其中,304A1-A5、304B、304C1-C5和304D构成外围区域304,并且元件306是中心区域)。外围区域是与集成电路的一个或多个单元共用的元件相关联的区域。一些元件包括跨越集成电路中的一个或多个单元的宽度的电源线或地线,并且电源线或地线适于跨越两个或更多个单元的跨度连续运行,以便于通过在电路中提供不间断长度的金属线(用作VDD或VSS线)来减小单元尺寸和/或降低掩模复杂性。
在操作210中,评估中心区域中的多条金属线中的至少一条金属线,以便确定是否要在金属线的布置中对金属线执行金属线周边调整。在一些实施例中,金属线116B和116C是从中心区域跨越到外围区域的金属线。在一些实施例中,金属线116A和116D是不进入中心区域106但完全保留在图1的集成电路布局的第一区域100的外围区域104中的金属线。当金属线从中心区域跨越到外围区域时,当金属线的中心区域内的部分经历金属线周边调整时,不调整金属线的穿过单元边界(例如,参见图1中的单元边界108)的部分。在一些实施例中,通过减少金属线周边调整的量来避免金属线与最近的接触件的干扰。
在操作215中,在第一区域的中心区域中的该组金属线中的每条金属线的金属线周边均经历金属线周边调整。在一些实施例中,金属线周边调整在图4A-图4C中描述,其中金属线406被划分为区域,并且每个区域被独立地评估以确定金属线周边的最终形状。执行金属线周边调整以简化图案化模板(例如,用于在半导体衬底上形成部件的光刻掩模),或者以提高在集成电路的制造工艺中成功制造金属线图案的可能性。
在操作220中,根据集成电路的第一区域的中心区域中的每条金属线的各个金属线周边的调整,生成经调整图案的金属线。在一些实施例中,使用如下文在图6中描述的电子设计自动化(EDA)系统来生成调整的金属线图案。在方法200的一些实施例中,对金属线周边的调整包括将两条金属线彼此连接。当两条相邻的金属线在集成电路中具有相同的功能并且当连接的线以与两条独立的分离线的操作类似的方式进行操作时,执行两条金属线的连接。通过对线进行连接,与没有连接的金属线的图案化模板的状态相比,简化了图案化模板。在方法200的一些实施例中,金属线周边调整包括平滑金属线的周边。周边平滑包括扩大金属线的一些部分,以便沿着金属线侧壁的调整和未调整的邻接边缘具有连续基本线性的金属线边缘。产生更平滑的金属线边缘或侧壁简化了用于在集成电路中生成金属线的掩模,从而降低了制造电路的成本和制造误差的可能性。在一些实例中,金属线周边调整包括在集成电路的同一层中延伸或扩大金属线的更靠近另一金属线的部分。经调整的金属线图案中的金属线包括在第一区域中未经历任何周边调整的金属线、经历部分周边调整的金属线、经历完整周边调整的金属线、以及连接在一起的金属线。经调整的金属线图案还包括在第一区域的外围区域中的金属线,其未经历任何金属线周边调整,而是保持其在集成电路的层中的初始图案、周边或布线。在操作225中,金属线以如上文所述的制造工艺在下面的系统700的晶圆制造752中形成。
图3是根据一些实施例的具有第一区域301的集成电路布局300的俯视图。IC布局300是反映使用方法200对集成电路布局进行的改变的一个实施例,如上所述。下面描述的图4A-图4C描述了在一些实施例中如何实现方法200以进行IC布局(例如,下面描述的IC布局300)的进一步细节。第一区域301具有区域边界302、区域长度303和区域宽度305,其中,区域长度303大于区域宽度305。第一区域301具有中心区域306和外围区域304,外围区域304包括边缘外围区域304B和边缘外围区域304D、顶部外围区域304A1-304A5和底部外围区域304C1-304C5。通过单元内边界308将外围区域304与中心区域306分开。第一区域301被划分为区域309A-309E,使得所有区域具有区域宽度305,并且每个区域具有区域长度311,区域长度311等于区域长度303除以区域的数量。在图3中,区域长度311是区域长度303的1/5,因为存在五个区域309A-309E。第一区域301中的金属线图案包括具有相同顶部外围区域金属线图案的每个顶部外围区域304A1-304A5、和具有相同底部外围金属线图案的每个底部外围区域304C1-304C5。
在图3中,区域309A和区域309E是第一区域301的端子区域,并且区域309B-309D是第一区域的非端子区域。端子区域309A和309E是其中外围区域304的在三个侧面上围绕中心区域306的部分的区域。在端子区域309A中,边缘外围区域304B与顶部外围区域304A1和底部外围区域304C1邻接。在端子区域309E中,边缘外围区域304D与顶部外围区域304A5和底部外围区域304C5邻接。非端子区域309B、309C和309D是其中外围区域的位于中心区域306的两个非相邻侧上的部分的区域。
在一些实施例中,根据方法200的操作210来评估中心区域306中的金属线的金属线周边调整。根据一些实施例,不根据操作210来评估外围区域304(包括外围区域304A1-A5、304B、304C1-C5和304D)中的金属线的金属线周边调整。
VDD线318延伸穿过顶部外围区域304A1-304A5中的每一个,并且VSS线320延伸穿过底部外围区域304C1-304C5中的每一个。VDD线318的图案在区域309A-309E中的每一个中是相同的,并且VSS线320的图案在区域309A-309E中的每一个中是相同的。VDD线和VSS线是外围区域304中的金属线的示例,其未在方法200的操作210下针对金属线的金属线周边调整进行评估,因为向集成电路的单元传导电压或从集成电路的单元传导电压的金属线在集成电路布局的长区域上方延伸。通过在直线图案中保留VDD和VSS线,或者通过防止线位置调整,中心区域内的金属线图案被简化,并且具有与中心区域金属线和周边区域金属线都经受金属线周边调整时相比较不复杂的布线图案。因此,第一区域301的每个区域表示其中顶部或底部外围区域中的金属线图案重复的区域的一部分,以便简化掩模布局并降低集成电路制造中用于掩模和图案转移器件的掩模生成的复杂性。
图4A是根据一些实施例的在金属线周边调整工艺期间的集成电路布局400的俯视图。在图4A中,使用检查窗口来评估金属线的一部分的调整,以确定经调整的金属线是否过于接近与集成电路中的另一金属线连接的接触件,如上面在方法200操作210中所述。如下面进一步描述的,金属线406不进行到方法200中的操作215或220,因为金属线406在金属线周边调整时过于接近接触件410。
集成电路布局400包括在集成电路布局的第一层中的三条金属线402、404和406,并且其中,金属线402被配置为与接触件408连接,金属线404被配置为与接触件410连接,并且金属线406被配置为连接到接触件412。在图4A中,评估金属线406的金属线周边调整。
执行金属线周边调整以使集成电路中的金属线对用于制造电路的制造工艺的缺陷更具弹性,和/或降低用于制造电路中的金属线的图案化模板(例如,光刻掩模)的复杂性或成本。在类似于上述方法200的操作210的金属线周边调整工艺期间,单独评估初始金属线周边的部分以确定是否要进行金属线周边调整。
在集成电路布局400中,金属线406具有初始周边413。通过分析金属线406的形状来确定要考虑用于金属线调整的初始周边413的部分。在一些实施例中,通过使用重叠的直线形状对初始周边413的形状进行建模从而发现待调整的初始周边413的部分。通过组合包括第一线区域407A、第二线区域407B和第三线区域(重叠线区域)407AB的两个矩形形状,成功地建模金属线406的初始周边413。第一直线形状包括第一线区域407A和第三线区域407AB,并且第二直线区域包括第二线区域407B和第三线区域407AB。在一些实施例中,利用除了直线形状之外的形状来建模初始周边。在一些实施例中,建模形状包括梯形、平行四边形、椭圆形、圆形、三角形等。
如下所述,通过评估一次移动金属线的一个边缘(或者用于建模初始金属线周边的直线区域的一侧)的效果来进行金属线调整。在金属线调整期间,评估用于建模初始金属线周边的第一直线区域的边缘(或周边区段)以进行调整,同时保持用于对初始金属线周边进行建模的每个其他直线区域的尺寸不变。使用电子设计自动化(EDA)系统来调整金属线的边缘,如下面关于图6所述。在一些实施例中,金属线调整包括EDA系统调整和人为调整的组合,或者对EDA系统的监管。
在图4A中,初始周边413的第一周边部分414A由实线表示。初始周边413的第二周边部分414B由具有长虚线的线表示。独立地评估第一周边部分414A和第二周边部分414B的金属线位置调整。在第一轮金属线周边调整中,第一周边部分414A是可修改部分,并且第二周边部分414B是固定部分。在第二轮金属线周边调整中,第一周边部分是固定部分,并且第二周边部分414B是可修改部分。为了清楚起见,下面描述了第一周边部分414A的调整。利用类似的步骤来执行其他周边部分调整。
在周边调整工艺期间,第一周边部分414A的每个边缘被进行如下调整:第一周边区段416A朝向金属线404移位到由经调整的周边区段418A指示的位置。如由经调整的周边区段418B所示,不调整第二周边区段416B。如由经调整的周边区段418C所示,不调整第三周边区段416C。第四周边区段416D在金属线406的相对侧上朝向金属线404延伸,如由经调整的周边区段418D所示。当区段形成经调整的周边418的部分时,未被移动的周边区段被称为“经调整的”,尽管没有对该区段进行调整。经调整的周边418包括第二周边部分414B和经调整的周边区段418A-418D。根据一些实施例,周边区段远离直线区域的中心并且朝向相邻的金属线移动,该直线区域描述了金属线的初始周边的部分。根据一些实施例,周边区段朝向直线区域的中心并且远离相邻的金属线移动,该直线区域描述金属线的初始周边的部分。
检查窗口422在距离经调整的周边418的检查距离424处围绕经调整的周边418。检查窗口422被配置为确定初始周边413的未修改的第二部分414B是否违反了集成电路的设计规则。集成电路的设计规则尤其描述了满足集成电路的性能规范的集成电路的结构特征。集成电路的结构特征包括电路的导电元件之间的间隔距离,其保持例如导电元件之间的电容低于允许电路满足性能规范的阈值。导电元件之间的间隔距离包括相邻金属线之间的间隔距离、金属线和通孔之间的间隔距离等。检查窗口422位于距离周边区段418A-418D的检查距离424处,以帮助确定周边区段418A-418D是否违反集成电路的设计规则。在图4A中,在金属线周边调整工艺之后,金属线406不违反关于金属线402或接触件408的集成电路的设计规则。然而,金属线406确实违反了关于金属线404和接触件410的集成电路的设计规则,因为基于周边区段418A的位置,接触件410落在检查窗口422内。初始周边413周围的初始检查窗口420示出了初始金属线周边不与连接到邻接金属线406的金属线的任何接触件重叠。检查窗口422与初始检查窗口420不同,因为检查窗口422在金属线周边调整期间评估改变的周边而不是整个周边的影响。
图4B是根据一些实施例的在金属线周边调整工艺期间的集成电路布局430的俯视图。在图4B中,使用检查窗口来评估金属线的一部分的调整,以确定经调整的金属线是否过于接近与集成电路中的另一金属线连接的接触件,如上面在方法200操作210中所述。如下面进一步描述的,在图4B中,经历周边调整的金属线406未过于接近与另一金属线连接的接触件(例如,没有连接到金属线434的接触件),因此,金属线406进入方法200中的操作215和220。
除了图4B中不存在接触件410之外,图4B的集成电路布局与图4A的集成电路布局相同。因此,与上面在图4A中提供的描述相对应的图4B的元件具有相同的标识数字。在图4B中,在集成电路布局430中,金属线434不与集成电路的下层中的接触件建立连接。因此,在金属线周边调整以产生经调整的周边418之后,在检查窗口422内没有接触件并且没有违反集成电路的设计规则,如图4A中的情况,因为在集成电路布局400中存在接触件410。
图4C是根据一些实施例的在金属线周边调整工艺期间的集成电路布局460的俯视图。在图4C中,使用检查窗口来评估金属线的一部分的调整,以确定经调整的金属线是否过于接近与集成电路中的另一金属线连接的接触件,如上面在方法200操作210中所述。如下面进一步描述的,在图4C中,经历周边调整的金属线406未过于接近连接到一条金属线的接触件(例如,没有连接到金属线462的接触件),但过于接近连接到另一金属线的接触件(例如,存在连接到金属线404的接触件410),因此当金属线406进行到方法200中的操作215和220时,仅进行两个可能的金属线周边调整中的一个。
除了图4C中不存在接触件408之外,图4C的集成电路布局与图4A的集成电路布局相同。因此,与上面在图4A中提供的描述相对应的图4C的元件具有相同的标识数字。在图4C中,金属线462不与集成电路的下层中的接触件电连接。在集成电路布局460中,金属线406经历类似于图4A中的集成电路布局400的工艺的金属线周边调整工艺。
虽然金属线区域407A的周边调整导致了设计规则违反,因为围绕经调整的金属线区域472A的检查窗口476与接触件410重合,如上面在图4A中所描述的,金属线区域407B的周边调整不会导致设计规则违反,因为围绕经调整的金属线区域472B的检查窗口476与连接到金属线462的接触件不重合。经调整的金属线区域472B包括金属线区段466A-466C,金属线区段466A-466C朝向金属线462延伸超过第二周边部分414B。
当经调整的金属线的周边过于接近集成电路的同一层(或集成电路的下一层)中的邻接部件时,具有经调整的周边的金属线违反了集成电路的设计规则,如上面在图4A和图4C中描述的,其中,经调整的金属线周边418干扰接触件410。当调整集成电路的布局导致经调整的金属线和邻接的金属线具有增加的寄生电容时,发生设计规则违反的一个非限制性示例,导致开关频率较慢和/或集成电路的功耗增加,这可能在违反关于图4A中的经调整线周边418周围的检查窗口422内的接触件(参见接触件410)的集成电路布局的设计规则时发生。
图5是具有不同布置的金属线的区域的集成电路布局500的俯视图。集成电路布局500类似于上面图3中的集成电路300,但包括关于相邻区域的附加信息,以阐明如何在(有资格进行中心区域金属线周边调整的)集成电路布局500的两个单独区域的外围区域上共享电源输送轨道(导电线512)。集成电路布局500具有第一区域502,第一区域502具有中心区域504和外围区域506。外围区域506具有顶部外围区域506A和底部外围区域506B。顶部外围区域506A邻接第一电路区域510,并且底部外围区域506B邻接第二电路区域508。导电线512在第一区域502中延伸穿过顶部外围区域506A。导电线514延伸穿过底部外围区域506B。导电线512和514是穿过第一区域502的边界的共享线。因此,导电线512由第一区域502和第一电路区域510共享,并且导电线514由第一区域502和第二电路区域508共享。外围区域506是其中在电路布局设计工艺期间金属线路径保持恒定的区域。中心区域504中的金属线经历周边调整和/或路径调整,以便简化掩模制造并改善反相电路性能。在一些实施例中,具有固定周边区域和可修改中心区域的集成电路的一个或多个区域彼此邻接。因此,在一些实施例中,第二电路区域508包括第二中心区域508A和第二外围区域508B,如上文关于第一区域502所述。
图6是根据一些实施例的EDA系统600的框图。EDA系统600用于制备IC布局(例如,上面提供的IC布局300和IC布局500),并将信息馈送到如下面的图7所述的制造工艺流程。电子设计自动化用于描述接触件和其他电路元件的位置,以便满足集成电路的设计规则,从而满足电路性能标准,并减小用于生成集成电路的半导体晶圆上的总面积。在一些实施例中,EDA系统600用于在评估集成电路布局时计算检查窗口(例如,检查窗口420和422)和检查距离424。
在一些实施例中,EDA系统600包括自动图案生成系统。根据一些实施例,例如使用EDA系统600来实现本文描述的设计用于根据一个或多个实施例的金属线布置或金属线布线布置的布局图的方法。
在一些实施例中,EDA系统600是包括硬件处理器602和非暂态计算机可读存储介质604的通用计算设备。存储介质604尤其编码有(即,存储)计算机程序代码606(即,可执行指令组)。由硬件处理器602执行指令606(至少部分地)表示EDA工具根据一个或多个(下文中提到的工艺和/或方法)来实现例如本文所述的方法的部分或全部。
硬件处理器602经由总线608电耦合到计算机可读存储介质604。硬件处理器602还通过总线608电耦合到I/O接口610。网络接口612还经由总线608电连接到硬件处理器602。网络接口612连接到网络614,使得硬件处理器602和计算机可读存储介质604能够经由网络614连接到外部元件。硬件处理器602被配置为执行编码在计算机可读存储介质604中的计算机程序代码606,以便使EDA系统600可用于执行所述工艺和/或方法的部分或全部。在一个或多个实施例中,硬件处理器602是中央处理单元(CPU)、多处理器、分布式处理系统、专用集成电路(ASIC)和/或合适的处理单元。
在一个或多个实施例中,计算机可读存储介质604是电子、磁、光、电磁、红外和/或半导体系统(或装置或设备)。例如,计算机可读存储介质604包括半导体或固态存储器、磁带、可移动计算机磁盘、随机存取存储器(RAM)、只读存储器(ROM)、刚性磁盘、和/或光盘。在使用光盘的一个或多个实施例中,计算机可读存储介质604包括压缩盘-只读存储器(CD-ROM)、压缩盘-读/写(CD-R/W)和/或数字视频光盘(DVD)。
在一个或多个实施例中,存储介质604存储计算机程序代码606,其被配置为使得EDA系统600(其中这种执行(至少部分地)表示EDA工具)可用于执行所述工艺和/或方法的部分或全部。在一个或多个实施例中,存储介质604还存储有助于执行所述工艺和/或方法的部分或全部的信息。在一个或多个实施例中,存储介质604存储标准单元的库607,该标准单元的库607包括如本文所公开的那些标准单元。
EDA系统600包括I/O接口610。I/O接口610耦合到外部电路。在一个或多个实施例中,I/O接口610包括键盘、小键盘、鼠标、跟踪球、触控板、触摸屏和/或光标方向键,用于将信息和命令传送到处理器602。
EDA系统600还包括耦合到处理器602的网络接口612。网络接口612允许EDA系统600与网络614进行通信,一个或多个其他计算机系统连接到网络614。网络接口612包括无线网络接口,例如BLUETOOTH、WIFI、WIMAX、GPRS或WCDMA;或有线网络接口,例如ETHERNET、USB或IEEE-1364。在一个或多个实施例中,所述工艺和/或方法的部分或全部在两个或更多个系统600中实现。
EDA系统600被配置为通过I/O接口610接收信息。通过I/O接口610接收的信息包括如下项中的一项或多项:指令、数据、设计规则、标准单元的库、和/或由处理器602处理的其他参数。该信息通过总线608传送到处理器602。EDA系统600被配置为通过I/O接口610接收与UI有关的信息。该信息作为用户界面(UI)642存储在计算机可读介质604中。
在一些实施例中,所述工艺和/或方法的部分或全部被实现为用于由处理器执行的独立软件应用程序。在一些实施例中,所述工艺和/或方法的部分或全部被实现为作为附加软件应用程序的部分的软件应用程序。在一些实施例中,所述工艺和/或方法的部分或全部被实现为软件应用程序的插件。在一些实施例中,所述工艺和/或方法中的至少一个被实现为作为EDA工具的部分的软件应用程序。在一些实施例中,所述工艺和/或方法的部分或全部被实现为由EDA系统600使用的软件应用程序。在一些实施例中,使用例如可从铿腾电子科技有限公司(CADENCE DESIGN SYSTEMS,Inc)获得的
Figure BDA0002233195720000151
之类的工具、或其他合适的布局生成工具来生成包括标准单元的布局图。
在一些实施例中,该工艺被实现为存储在非暂态计算机可读记录介质中的程序的功能。非暂态计算机可读记录介质的示例包括但不限于外部/可移动和/或内部/内置存储装置或存储器单元,例如下列项中的一项或多项:光盘(例如,DVD)、磁盘(例如,硬盘)、半导体存储器(例如,ROM、RAM、存储卡)等。
图7是根据一些实施例的集成电路(IC)制造系统700以及与其相关联的IC制造流程的框图。IC制造系统700从如上所述的EDS系统600接收信息,以便在衬底上执行处理步骤,以根据EDS系统600输出来形成集成电路,并满足被配置为实现预定电路性能结果的设计规范。在一些实施例中,基于布局图,使用制造系统700来制造以下各项中的至少一项:(A)一个或多个半导体掩模,或(B)半导体集成电路的层中的至少一个组件。根据一些实施例,集成电路制造系统700执行与方法200的操作225相关联的步骤,以在衬底上沉积与集成电路相关联的材料并蚀刻形状。
在图7中,IC制造系统700包括在与制造IC器件760相关联的设计、开发和制造周期和/或服务中彼此交互的实体,例如设计室720、掩模室730和IC制造商/加工厂(“代工厂”)750。系统700中的实体通过通信网络连接。在一些实施例中,通信网络是单个网络。在一些实施例中,通信网络是各种不同的网络,例如内联网和互联网。通信网络包括有线和/或无线通信信道。每个实体与一个或多个其他实体进行交互,并且向一个或多个其他实体提供服务和/或从一个或多个其他实体接收服务。在一些实施例中,设计室720、掩模室730和IC代工厂750中的两个或更多个由单个较大的公司拥有。在一些实施例中,设计室720、掩模室730和IC代工厂750中的两个或更多个共存于公共设施中并使用公共资源。
设计室(或设计团队)720生成IC设计布局图722。在一些实施例中,在IC代工厂750使用由设计室生成的集成电路布局在IC代工厂750处形成IC之前,设计室720执行与评估金属线图案和调整金属线图案相关联的方法200的操作。IC设计布局图722包括为IC器件760设计的各种几何布置。几何布置对应于构成待制造的IC器件760的各种组件的金属、氧化物或半导体材料区段的布置。各层组合以形成各种IC部件。例如,IC设计布局图722的部分包括在半导体衬底(例如,硅晶圆)中形成的各种IC部件(例如,有源区域、栅极电极、源极和漏极、层间互连的金属线或通孔、以及用于接合焊盘的开口)以及设置在半导体衬底上的各种材料层。设计室720实现适当的设计工艺以形成IC设计布局图722。设计工艺包括以下各项中的一项或多项:逻辑设计、物理设计或布局和布线。IC设计布局图722呈现在具有几何布置信息的一个或多个数据文件中。例如,IC设计布局图722可以以GDSII文件格式或DFII文件格式表示。
掩模室730包括数据准备732和掩模制造744。掩模室730使用IC设计布局图722来制造一个或多个掩模745,用于根据IC设计布局图722来制造IC器件760的各个层。掩模室730执行掩模数据准备732,其中IC设计布局图722被转换为代表性数据文件(“RDF”)。掩模数据准备732将RDF提供给掩模制造744。掩模制造744包括掩模写入器。掩模写入器将RDF转换为衬底上的图像,例如掩模(掩模版)745或半导体晶圆753。IC设计布局图722由掩模数据准备732操纵,以符合掩模写入器的特定特性和/或IC代工厂750的要求。在图7中,掩模数据准备732和掩模制造744被示为单独的元件。在一些实施例中,掩模数据准备732和掩模制造744可以统称为掩模数据准备。
在一些实施例中,掩模数据准备732包括光学邻近校正(OPC),OPC使用光刻增强技术来补偿图像误差,例如可能由衍射、干涉、其他工艺效应等引起的图像误差。OPC调整IC设计布局图722。在一些实施例中,掩模数据准备732包括其他分辨率增强技术(RET),例如,离轴照射、子分辨率辅助特征、相移掩模、其他合适的技术等、或前述的组合。在一些实施例中,还使用反演光刻技术(ILT),ILT将OPC视为反演成像问题。
在一些实施例中,掩模数据准备732包括掩模规则检查器(MRC),(具有掩模创建规则组的)MRC检查已经经历OPC中的工艺的IC设计布局图722,该掩模创建规则组包括某些几何和/或连接限制以确保足够的余量,从而解决半导体制造工艺中的可变性等。在一些实施例中,MRC修改IC设计布局图722以补偿掩模制造744期间的限制,其可以撤消由OPC执行的部分修改以便满足掩模创建规则。
在一些实施例中,掩模数据准备732包括光刻工艺检查(LPC),LPC模拟将由IC代工厂750实施的用于制造IC器件760的处理。LPC基于IC设计布局图722来模拟该处理从而创建模拟制造的器件,例如IC器件760。LPC模拟中的处理参数可以包括与IC制造周期的各种工艺相关联的参数、与用于制造IC的工具相关联的参数、和/或制造工艺的其他方面。LPC考虑各种因子,例如,空间图像对比度、焦深(“DOF”)、掩模误差增强因子(“MEEF”)、其他合适的因子等或前述的组合。在一些实施例中,在已经通过LPC创建了模拟制造的器件之后,如果模拟的器件在形状上不够接近以满足设计规则,则重复OPC和/或MRC以进一步细化IC设计布局图722。
应该理解,为了清楚起见,已经简化了掩模数据准备732的上述描述。在一些实施例中,数据准备732包括附加特征(例如,逻辑操作(LOP))以根据制造规则来修改IC设计布局图722。另外,在数据准备732期间应用于IC设计布局图722的工艺可以以各种不同的顺序执行。
在掩模数据准备732之后并且在掩模制造744期间,基于修改的IC设计布局图722来制造一个或多个掩模745(或光掩模或掩模版)。在一些实施例中,掩模制造744包括基于IC设计布局图722来执行一个或多个光刻曝光。在一些实施例中,基于修改的IC设计布局图722,使用一个电子束(e-束)或多个电子束的机制在一个或多个掩模745上形成图案。掩模745可以以各种技术形成。在一些实施例中,使用二进制技术来形成掩模745。在一些实施例中,掩模图案包括不透明区域和透明区域。用于曝光已经涂覆在晶圆上的图像敏感材料层(例如,光致抗蚀剂)的辐射束(例如,紫外(UV)束)被不透明区域阻挡并透过透明区域。在一个示例中,掩模745的二元掩模版本包括透明衬底(例如,熔融石英)和涂覆在二元掩模的不透明区域中的不透明材料(例如,铬)。在另一示例中,使用相移技术来形成掩模745。在掩模745的相移掩模(PSM)版本中,在相移掩模上形成的图案中的各种部件被配置为具有适当的相位差以增强分辨率和成像质量。在各种示例中,相移掩模可以是衰减的PSM或交替的PSM。由掩模制造744生成的(一个或多个)掩模用于各种工艺中。例如,这样的(一个或多个)掩模用于离子注入工艺以在半导体晶圆753中形成各种掺杂区域、用于蚀刻工艺以在半导体晶圆753中形成各种蚀刻区域、和/或用于其他合适的工艺。
IC代工厂750包括晶圆制造752。IC代工厂750是IC制造业务,其包括用于制造各种不同IC产品的一个或多个制造设施。在一些实施例中,IC代工厂750是半导体代工厂。例如,可能存在用于多个IC产品的前端制造(生产线前道工序(FEOL)制造)的制造设施,而第二制造设施可以为IC产品的互连和封装提供后端制造(生产线后道工序(BEOL)制造),并且第三制造设施可以为代工业务提供其他服务。
在一些实施例中,晶圆制造752包括与在半导体晶圆上沉积电介质材料层或与已经在半导体晶圆上的层材料相关联的操作,以便于使集成电路中的金属线彼此绝缘。在一些实施例中,沉积在半导体晶圆上的电介质材料包括二氧化硅、旋涂玻璃、氟化石英玻璃(FSG)、硼磷硅玻璃(BPSG)、或通常用于集成电路制造的其他电介质材料(包括低K电介质材料)。使用化学汽相沉积、液体材料沉积、然后薄膜(通过旋转晶圆以去除多余的沉积液体)、或原子层沉积(ALD)来沉积电介质材料以构建电介质材料层,电介质材料层中的接触开口是通过蚀刻工艺形成的沟槽开口。
通过以下方式在集成电路的层中形成接触件:沉积图案化材料层(例如,光致抗蚀剂),并使用光刻或其他图案转移技术在图案化材料中生成图案,使得在去除形成在图案化材料层中的部分图案内的材料之后,去除下面的电介质材料的暴露部分,并且在开口中沉积导电材料,以与蚀刻的电介质材料之下的导电部件形成电连接。
在一些实施例中,通过以下方式形成接触件:等离子体通过光致抗蚀剂层中的开口蚀刻电介质材料,以形成穿过电介质材料的接触开口以暴露例如源极/漏极区域或栅极电极表面。一旦已经通过电介质材料层形成了接触开口,则衬里材料、抗扩散阻挡层或晶种层被沉积在电介质材料中的开口的侧壁上,随后在接触开口中沉积导电材料。在一些实施例中,沉积在接触开口中的导电材料包括金属,例如钨、镍、钛、铂、钴及其合金、以及用于本领域技术人员已知的导电接触材料的其他配方。
通过以下方式在电介质材料层中形成金属线:沉积图案化材料层(例如,光致抗蚀剂),并且使用光刻或其他图案转移技术在图案化材料中生成图案,使得在去除形成在图案化材料层中的部分图案内的材料之后,去除下面的电介质材料的暴露部分,并且在线开口或沟槽开口中沉积导电材料。
在一些实施例中,沉积到线开口或沟槽开口中的导电材料包括金属,例如钨、镍、铂、钛、钴及其合金、以及用于本领域技术人员已知的金属线的其他配方。
IC代工厂750使用由掩模室730制造的(一个或多个)掩模745来制造IC器件760。因此,IC代工厂750至少间接地使用IC设计布局图722来制造IC器件760。在一些实施例中,由IC代工厂750使用(一个或多个)掩模745来制造半导体晶圆753从而形成IC器件760。在一些实施例中,IC制造包括至少间接地基于IC设计布局图722来执行一个或多个光刻曝光。半导体晶圆753包括其上形成有材料层的硅衬底或其他适当的衬底。半导体晶圆753还包括以下各项中的一项或多项:各种掺杂区域、电介质部件、多级互连件等(在随后的制造步骤中形成)。
关于集成电路(IC)制造系统(例如,图7的系统700)以及与其相关联的IC制造流程的细节可在以下专利申请中找到:例如,2016年2月9日授权的美国专利No.9,256,709,2015年10月1日公开的美国授权前公开No.20150278429,2014年2月6日公开的美国授权前公开No.20140040838,以及2007年8月21日授权的美国专利No.7,260,442,前述专利申请中的每一个均通过引用以其整体合并于此。
如本文所公开的,集成电路包括复杂布置的金属线,以与集成电路的下层上的接触件进行电连接。在集成电路的设计工艺中,金属线布置的调整有时会驱动集成电路的下层中的接触件位置的变化,导致与重新设计与集成电路的下层中的接触件位置相关联的图案化元件或光刻掩模相关联的额外成本。一种用于消除或减少与重新设计与集成电路的下层中的接触件位置相关联的图案化元件或光刻掩模相关联的额外成本的技术涉及在金属线调整工艺期间保持集成电路区域的外围部分不变,同时允许集成电路区域的中心部分经历金属线周边调整,也称为金属线布线调整。
本公开的一些方面涉及一种制造集成电路的方法,包括:在集成电路布局的第一层中,将第一布置的金属线划分为第一组金属线和第二组金属线,其中,第一组金属线位于集成电路布局的外围区域中,并且第二组金属线位于集成电路布局的中心区域中,其中,第一布置的金属线位于集成电路布局的第一层中,第一布置的金属线被配置为在制造工艺之后与集成电路布局的第二层的接触件进行电连接;调整集成电路布局的中心区域中的至少一条金属线的金属线周边,以形成第二布置的金属线,其中,每个经调整的金属线周边与集成电路布局的第二层中的接触件分开至少一个检查距离;以及将金属线材料沉积到集成电路的电介质层中的一组开口中,电介质层中的该组开口对应于第二布置的金属线。
在一些实施例中,该方法还包括:选择中心区域中的至少一条金属线的周边的第一部分;以及将金属线周边的第一部分从初始位置移动到更靠近相邻金属线的第二位置。在一些实施例中,移动金属线周边的第一部分包括将至少一条金属线连接到第二金属线。在一些实施例中,移动金属线周边的第一部分包括使得至少一条金属线的周边平滑。在一些实施例中,该方法包括测量金属线周边的第二位置与对于金属线周边的第二位置的最接近金属线之间的间隔距离;以及当金属线周边的第二位置与对于金属线周边的第二位置的最接近金属线之间的间隔距离小于检查距离时,进一步调整金属线周边,其中,围绕至少一条金属线的经调整区段的检查窗口在与金属线的经调整区段相距检查距离处具有至少一条金属线的经调整区段的形状。在一些实施例中,该方法包括:对于金属线周边的不连续的第一部分,延伸金属线周边的不连续的第一部分的至少一个区段,以形成与第二延伸部或金属线周边的第一部分相交的第一延伸部。在一些实施例中,该方法包括将第一区域划分为多个子区域,其中,至少一个子区域具有顶部外围区域和底部外围区域;以及在每个顶部外围区域或每个底部外围区域中再制造第三布置的金属线,其中,第三布置是第二组金属线的子集。在一些实施例中,调整集成电路布局的中心区域中的至少一条金属线的金属线周边包括将顶部外围区域或底部外围区域的至少一条金属线与集成电路的中心区域的金属线进行组合。
本公开的各个方面涉及一种集成电路,针对集成电路的第一区域,包括:一组接触件,位于集成电路的第一层处;以及一组金属线,位于集成电路的第二层处,第二层位于第一层上方,其中,第一区域具有中心区域和外围区域,并且第一区域包括两个端子区域,使得在每个端子区域中,外围区域在三个侧面上围绕中心区域,两个端子区域中的第一端子区域中的外围区域包括第一顶部外围区域、第一底部外围区域和第一边缘外围区域,第一顶部外围区域和第一底部外围区域位于中心区域的相对侧上,两个端子区域中的第二端子区域中的外围区域包括第二顶部外围区域、第二底部外围区域和第二边缘外围区域,第二顶部外围区域和第二底部外围区域位于中心区域的相对侧上,第一顶部外围区域和第二顶部外围区域位于中心区域的同一侧上,并且第一顶部外围区域和第二顶部外围区域的金属线各自具有第二图案的金属线。在一些实施例中,第一底部外围区域和第二底部外围区域的金属线各自具有第三图案的金属线。在一些实施例中,第一区域还包括至少一个非端子区域,该至少一个非端子区域位于第一端子区域和第二端子区域之间,并且其中,每个非端子区域均具有非端子顶部外围区域,该非端子顶部外围区域具有第二图案的金属线。在一些实施例中,其中,第一底部外围区域、第二底部外围区域和每个非端子底部区域的金属线具有第三图案的金属线。本公开的各个方面涉及一种制造集成电路的方法,该方法包括:向集成电路的第一区域的第一层的第一组接触件分配集成电路的第一区域的第二层的第一图案的金属线;向集成电路的第一区域的第一层的第二组接触件分配集成电路的第一区域的第二层的第二图案的金属线;针对第二图案的金属线中的每条金属线,确定是否修改金属线周边;向第一组金属线分配被确定为不进行金属线周边调整的第二图案的金属线;向第二组金属线分配被确定为进行金属线周边调整的第二图案的金属线;调整第二组金属线中的每条金属线的金属线周边,以具有经调整的金属线周边;基于第一组金属线的未调整的金属线以及第二组金属线的经调整的一组金属线来生成第三图案的金属线,其中,第一图案的金属线位于第一区域的外围区域中,并且第二图案的金属线位于第一区域的中心区域中;以及在集成电路的电介质层中形成具有第三图案的金属线的金属线。
在一些实施例中,该方法还包括调整第二区域的金属线的金属线周边的第一部分,其中,第二区域是集成电路的布局的中心区域,并且其中,调整金属线周边的第一部分还包括:将金属线周边的经调整部分移动到第二位置,该第二位置与对于金属线周边的经调整部分的最接近金属线相距至少检查距离。
在一些实施例中,调整金属线周边的第一部分还包括通过将金属线周边的第一部分移动得更靠近第一层的接触件或第二层的金属线来测试第二金属线周边区段;确定第一层的接触件或第二层的金属线是否在距第二金属线周边区段的每个边缘的检查距离内;以及当第二金属线周边区段距离第二层的金属线或第一层的接触件超过检查距离时,利用第二金属线周边区段代替金属线周边的第一部分。在一些实施例中,调整金属线周边的第一部分还包括连接中心区域中的两条金属线。在一些实施例中,该方法还包括在中心区域的顶侧处将第一区域的外围区域划分为至少两个顶部外围区域,并且在第一区域的至少两个顶部外围区域中的每一个顶部外围区域中重复第三图案的金属线。在一些实施例中,该方法还包括在中心区域的底侧处将第一区域的外围区域划分为至少两个底部外围区域,并且在第一区域的至少两个底部外围区域中的每一个底部外围区域中重复第四图案的金属线。在一些实施例中,该方法还包括使VDD线延伸通过外围区域的顶侧,并且使VSS线延伸通过外围区域的底侧。在一些实施例中,该方法还包括在调整第二组金属线中的金属线的金属线周边期间,保持外围区域的边缘外围区域中的金属线的布置。
前述内容概述了若干实施例的特征,使得本领域技术人员可以更好地理解本公开的方面。本领域技术人员应当理解,他们可以容易地使用本公开作为设计或修改其他工艺和结构的基础,以实现相同的目的和/或实现本文介绍的实施例的相同优点。本领域技术人员还应该认识到,这样的等同构造不脱离本公开的精神和范围,并且在不脱离本公开的精神和范围的情况下,他们可以在本文中进行各种改变、替换和变更。
示例1.一种制造集成电路的方法,包括:在集成电路布局的第一层中,将第一布置的金属线划分为第一组金属线和第二组金属线,其中,所述第一组金属线位于所述集成电路布局的外围区域中,并且所述第二组金属线位于所述集成电路布局的中心区域中,其中,所述第一布置的金属线位于所述集成电路布局的第一层中,所述第一布置的金属线被配置为在制造工艺之后与所述集成电路布局的第二层的接触件进行电连接;调整所述集成电路布局的所述中心区域中的至少一条金属线的金属线周边,以形成第二布置的金属线,其中,每个经调整的金属线周边与所述集成电路布局的所述第二层中的接触件分开至少检查距离;以及将金属线材料沉积到所述集成电路的电介质层中的一组开口中,所述电介质层中的该组开口对应于所述第二布置的金属线。
示例2.根据示例1的方法,还包括:选择所述中心区域中的所述至少一条金属线的周边的第一部分;以及将所述金属线周边的所述第一部分从初始位置移动到更靠近相邻金属线的第二位置。
示例3.根据示例2所述的方法,其中,移动所述金属线周边的所述第一部分包括将所述至少一条金属线连接到第二金属线。
示例4.根据示例2所述的方法,其中,移动所述金属线周边的所述第一部分包括使得所述至少一条金属线的周边平滑。
示例5.根据示例2所述的方法,还包括:测量所述金属线周边的所述第二位置与对于所述金属线周边的所述第二位置的最接近金属线之间的间隔距离;以及当所述金属线周边的所述第二位置与对于所述金属线周边的所述第二位置的所述最接近金属线之间的所述间隔距离小于所述检查距离时,进一步调整所述金属线周边,其中,围绕所述至少一条金属线的经调整区段的检查窗口在与所述金属线的所述经调整区段相距所述检查距离处具有所述至少一条金属线的所述经调整区段的形状。
示例6.根据示例5所述的方法,还包括:对于所述金属线周边的不连续的第一部分,延伸所述金属线周边的所述不连续的第一部分的至少一个区段,以形成与第二延伸部或所述金属线周边的所述第一部分相交的第一延伸部。
示例7.根据示例1的方法,还包括:将第一区域划分为多个子区域,其中,至少一个子区域具有顶部外围区域和底部外围区域;以及在每个顶部外围区域或每个底部外围区域中再制造第三布置的金属线,其中,所述第三布置是所述第二组金属线的子集。
示例8.根据示例7所述的方法,其中,调整所述集成电路布局的所述中心区域中的至少一条金属线的金属线周边还包括:将所述顶部外围区域或所述底部外围区域的至少一条金属线与所述集成电路的所述中心区域的金属线进行组合。
示例9.一种集成电路,针对所述集成电路的第一区域,包括:一组接触件,位于所述集成电路的第一层处;以及一组金属线,位于所述集成电路的第二层处,所述第二层位于所述第一层上方,其中所述第一区域具有中心区域和外围区域,并且所述第一区域包括两个端子区域,使得在每个端子区域中,所述外围区域在三个侧面上围绕所述中心区域,所述两个端子区域中的第一端子区域中的所述外围区域包括第一顶部外围区域、第一底部外围区域和第一边缘外围区域,所述第一顶部外围区域和所述第一底部外围区域位于所述中心区域的相对侧上,所述两个端子区域中的第二端子区域中的所述外围区域包括第二顶部外围区域、第二底部外围区域和第二边缘外围区域,所述第二顶部外围区域和所述第二底部外围区域位于所述中心区域的相对侧上,所述第一顶部外围区域和所述第二顶部外围区域位于所述中心区域的同一侧上,并且所述第一顶部外围区域和所述第二顶部外围区域的金属线各自具有第二图案的金属线。
示例10.根据示例9所述的集成电路,其中,所述第一底部外围区域和所述第二底部外围区域的金属线各自具有第三图案的金属线。
示例11.根据示例9所述的集成电路,其中,所述第一区域还包括至少一个非端子区域,所述至少一个非端子区域位于所述第一端子区域和所述第二端子区域之间,并且其中,每个非端子区域均具有非端子顶部外围区域,所述非端子顶部外围区域具有所述第二图案的金属线。
示例12.根据示例11所述的集成电路,其中,所述第一底部外围区域、所述第二底部外围区域和每个非端子底部区域的金属线具有第三图案的金属线。
示例13.一种制造集成电路的方法,包括:向所述集成电路的第一区域的第一层的第一组接触件分配所述集成电路的所述第一区域的第二层的第一图案的金属线;向所述集成电路的所述第一区域的所述第一层的第二组接触件分配所述集成电路的所述第一区域的所述第二层的第二图案的金属线;针对所述第二图案的金属线中的每条金属线,确定是否修改金属线周边;向第一组金属线分配被确定为不进行金属线周边调整的所述第二图案的金属线;向第二组金属线分配被确定为进行金属线周边调整的所述第二图案的金属线;调整所述第二组金属线中的每条金属线的所述金属线周边,以具有经调整的金属线周边;基于所述第一组金属线的未调整的金属线以及所述第二组金属线的经调整的一组金属线来生成第三图案的金属线,其中,所述第一图案的金属线位于所述第一区域的外围区域中,并且所述第二图案的金属线位于所述第一区域的中心区域中;以及在所述集成电路的电介质层中形成具有所述第三图案的金属线的金属线。
示例14.根据示例13所述的方法,还包括:调整所述第二区域的金属线的金属线周边的第一部分,其中,所述第二区域是所述集成电路的布局的中心区域,并且其中,调整所述金属线周边的所述第一部分还包括:将所述金属线周边的经调整部分移动到第二位置,所述第二位置与对于所述金属线周边的所述经调整部分的最接近金属线相距至少检查距离。
示例15.根据示例14所述的方法,其中,调整所述金属线周边的第一部分还包括:通过将所述金属线周边的所述第一部分移动得更靠近所述第一层的接触件或所述第二层的金属线来测试第二金属线周边区段;确定所述第一层的所述接触件或所述第二层的所述金属线是否在距离所述第二金属线周边区段的每个边缘的所述检查距离内;以及当所述第二金属线周边区段距离所述第二层的金属线或所述第一层的接触件超过所述检查距离时,利用所述第二金属线周边区段代替所述金属线周边的所述第一部分。
示例16.根据示例14所述的方法,其中,调整所述金属线周边的第一部分还包括:连接所述中心区域中的两条金属线。
示例17.根据示例13所述的方法,还包括:在所述中心区域的顶侧处将所述第一区域的所述外围区域划分为至少两个顶部外围区域,并且在所述第一区域的所述至少两个顶部外围区域中的每一个顶部外围区域中重复第三图案的金属线。
示例18.根据示例17所述的方法,还包括:在所述中心区域的底侧处将所述第一区域的所述外围区域划分为至少两个底部外围区域,并且在所述第一区域的所述至少两个底部外围区域中的每一个底部外围区域中重复第四图案的金属线。
示例19.根据示例13所述的方法,还包括:使VDD线延伸通过所述外围区域的顶侧,并且使VSS线延伸通过所述外围区域的底侧。
示例20.根据示例13所述的方法,还包括:在调整所述第二组金属线中的金属线的所述金属线周边期间,保持所述外围区域的边缘外围区域中的金属线的布置。

Claims (10)

1.一种制造集成电路的方法,包括:
在集成电路布局的第一层中,将第一布置的金属线划分为第一组金属线和第二组金属线,其中,所述第一组金属线位于所述集成电路布局的外围区域中,并且所述第二组金属线位于所述集成电路布局的中心区域中,其中,所述第一布置的金属线位于所述集成电路布局的第一层中,所述第一布置的金属线被配置为在制造工艺之后与所述集成电路布局的第二层的接触件进行电连接;
调整所述集成电路布局的所述中心区域中的至少一条金属线的金属线周边,以形成第二布置的金属线,其中,每个经调整的金属线周边与所述集成电路布局的所述第二层中的接触件分开至少检查距离;以及
将金属线材料沉积到所述集成电路的电介质层中的一组开口中,所述电介质层中的该组开口对应于所述第二布置的金属线。
2.根据权利要求1的方法,还包括:
选择所述中心区域中的所述至少一条金属线的周边的第一部分;以及
将所述金属线周边的所述第一部分从初始位置移动到更靠近相邻金属线的第二位置。
3.根据权利要求2所述的方法,其中,移动所述金属线周边的所述第一部分包括将所述至少一条金属线连接到第二金属线。
4.根据权利要求2所述的方法,其中,移动所述金属线周边的所述第一部分包括使得所述至少一条金属线的周边平滑。
5.根据权利要求2所述的方法,还包括:
测量所述金属线周边的所述第二位置与对于所述金属线周边的所述第二位置的最接近金属线之间的间隔距离;以及
当所述金属线周边的所述第二位置与对于所述金属线周边的所述第二位置的所述最接近金属线之间的所述间隔距离小于所述检查距离时,进一步调整所述金属线周边,其中,围绕所述至少一条金属线的经调整区段的检查窗口在与所述金属线的所述经调整区段相距所述检查距离处具有所述至少一条金属线的所述经调整区段的形状。
6.根据权利要求5所述的方法,还包括:对于所述金属线周边的不连续的第一部分,延伸所述金属线周边的所述不连续的第一部分的至少一个区段,以形成与第二延伸部或所述金属线周边的所述第一部分相交的第一延伸部。
7.根据权利要求1的方法,还包括:
将第一区域划分为多个子区域,其中,至少一个子区域具有顶部外围区域和底部外围区域;以及
在每个顶部外围区域或每个底部外围区域中再制造第三布置的金属线,其中,所述第三布置是所述第二组金属线的子集。
8.根据权利要求7所述的方法,其中,调整所述集成电路布局的所述中心区域中的至少一条金属线的金属线周边还包括:将所述顶部外围区域或所述底部外围区域的至少一条金属线与所述集成电路的所述中心区域的金属线进行组合。
9.一种集成电路,针对所述集成电路的第一区域,包括:
一组接触件,位于所述集成电路的第一层处;以及
一组金属线,位于所述集成电路的第二层处,所述第二层位于所述第一层上方,其中
所述第一区域具有中心区域和外围区域,并且所述第一区域包括两个端子区域,使得在每个端子区域中,所述外围区域在三个侧面上围绕所述中心区域,
所述两个端子区域中的第一端子区域中的所述外围区域包括第一顶部外围区域、第一底部外围区域和第一边缘外围区域,所述第一顶部外围区域和所述第一底部外围区域位于所述中心区域的相对侧上,
所述两个端子区域中的第二端子区域中的所述外围区域包括第二顶部外围区域、第二底部外围区域和第二边缘外围区域,所述第二顶部外围区域和所述第二底部外围区域位于所述中心区域的相对侧上,所述第一顶部外围区域和所述第二顶部外围区域位于所述中心区域的同一侧上,并且
所述第一顶部外围区域和所述第二顶部外围区域的金属线各自具有第二图案的金属线。
10.一种制造集成电路的方法,包括:
向所述集成电路的第一区域的第一层的第一组接触件分配所述集成电路的所述第一区域的第二层的第一图案的金属线;
向所述集成电路的所述第一区域的所述第一层的第二组接触件分配所述集成电路的所述第一区域的所述第二层的第二图案的金属线;
针对所述第二图案的金属线中的每条金属线,确定是否修改金属线周边;
向第一组金属线分配被确定为不进行金属线周边调整的所述第二图案的金属线;
向第二组金属线分配被确定为进行金属线周边调整的所述第二图案的金属线;
调整所述第二组金属线中的每条金属线的所述金属线周边,以具有经调整的金属线周边;
基于所述第一组金属线的未调整的金属线以及所述第二组金属线的经调整的一组金属线来生成第三图案的金属线,其中,所述第一图案的金属线位于所述第一区域的外围区域中,并且所述第二图案的金属线位于所述第一区域的中心区域中;以及
在所述集成电路的电介质层中形成具有所述第三图案的金属线的金属线。
CN201910974639.2A 2019-10-14 2019-10-14 具有约束金属线布置的集成电路 Pending CN112736027A (zh)

Priority Applications (5)

Application Number Priority Date Filing Date Title
CN201910974639.2A CN112736027A (zh) 2019-10-14 2019-10-14 具有约束金属线布置的集成电路
US16/670,000 US11030382B2 (en) 2019-10-14 2019-10-31 Integrated circuit with constrained metal line arrangement
TW109134837A TWI742871B (zh) 2019-10-14 2020-10-07 積體電路及其製造方法
US17/342,006 US11748550B2 (en) 2019-10-14 2021-06-08 Integrated circuit with constrained metal line arrangement
US18/357,731 US20230367949A1 (en) 2019-10-14 2023-07-24 Integrated circuit with constrained metal line arrangement, method of using, and system for using

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201910974639.2A CN112736027A (zh) 2019-10-14 2019-10-14 具有约束金属线布置的集成电路

Publications (1)

Publication Number Publication Date
CN112736027A true CN112736027A (zh) 2021-04-30

Family

ID=75383086

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910974639.2A Pending CN112736027A (zh) 2019-10-14 2019-10-14 具有约束金属线布置的集成电路

Country Status (3)

Country Link
US (3) US11030382B2 (zh)
CN (1) CN112736027A (zh)
TW (1) TWI742871B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114781319A (zh) * 2022-06-17 2022-07-22 飞腾信息技术有限公司 基于金属线的时序修复方法、设备及介质

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI802474B (zh) * 2022-07-25 2023-05-11 晶豪科技股份有限公司 Ic 晶粒形成方法以及ic 晶粒結構

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06196563A (ja) * 1992-09-29 1994-07-15 Internatl Business Mach Corp <Ibm> Vlsiの配線設計に対するコンピュータ実施可能な過密領域配線方法
US6388332B1 (en) * 1999-08-10 2002-05-14 Philips Electronics North America Corporation Integrated circuit power and ground routing
US7222322B1 (en) * 2003-01-14 2007-05-22 Cadence Design Systems, Inc. Method and mechanism for implementing tessellation-based routing
US7260442B2 (en) 2004-03-03 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for mask fabrication process control
US7246337B2 (en) * 2004-12-08 2007-07-17 Lsi Corporation Density driven layout for RRAM configuration module
JP5609364B2 (ja) * 2010-07-22 2014-10-22 富士通株式会社 集積回路設計装置、集積回路設計方法、及び集積回路設計プログラム
CN102663147B (zh) * 2012-02-28 2014-02-05 上海华力微电子有限公司 一种用于铜互连冗余金属图形的插入算法
US9036404B2 (en) * 2012-03-30 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for SRAM cell structure
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US8949749B2 (en) * 2012-10-23 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Layout design for electron-beam high volume manufacturing
US9563731B2 (en) * 2013-03-15 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Cell boundaries for self aligned multiple patterning abutments
US9256709B2 (en) 2014-02-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit mask patterning
US9465906B2 (en) 2014-04-01 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integrated circuit manufacturing
US9639650B2 (en) * 2015-05-19 2017-05-02 Globalfoundries Inc. Method, apparatus, and system for offset metal power rail for cell design
US10366200B2 (en) * 2016-09-07 2019-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. System for and method of manufacturing a layout design of an integrated circuit

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114781319A (zh) * 2022-06-17 2022-07-22 飞腾信息技术有限公司 基于金属线的时序修复方法、设备及介质
CN114781319B (zh) * 2022-06-17 2022-09-23 飞腾信息技术有限公司 基于金属线的时序修复方法、设备及介质

Also Published As

Publication number Publication date
US11748550B2 (en) 2023-09-05
US20210294961A1 (en) 2021-09-23
US20210110000A1 (en) 2021-04-15
TWI742871B (zh) 2021-10-11
US20230367949A1 (en) 2023-11-16
US11030382B2 (en) 2021-06-08
TW202115801A (zh) 2021-04-16

Similar Documents

Publication Publication Date Title
US10878161B2 (en) Method and structure to reduce cell width in integrated circuits
US11675961B2 (en) Engineering change order cell structure having always-on transistor
US11741288B2 (en) Routing-resource-improving method of generating layout diagram, system for same and semiconductor device
US20230367949A1 (en) Integrated circuit with constrained metal line arrangement, method of using, and system for using
US11256844B2 (en) Cell row arrangement in regions of integrated circuit layout
US20230402374A1 (en) Signal conducting line arrangements in integrated circuits
CN112446187A (zh) 生成集成电路布局图的方法
US20230014110A1 (en) Variable tracks and non-default rule routing
US20230154990A1 (en) Arrangement of source or drain conductors of transistor
US11942469B2 (en) Backside conducting lines in integrated circuits
TWI770425B (zh) 製造半導體元件之方法及用於製造半導體元件之系統
US11855069B2 (en) Cell structure having different poly extension lengths
US11967596B2 (en) Power rail and signal conducting line arrangement
US11699015B2 (en) Circuit arrangements having reduced dependency on layout environment
US20230259686A1 (en) Semiconductor device and method and system of arranging patterns of the same
US11842994B2 (en) Semiconductor device having staggered gate-stub-size profile and method of manufacturing same
US20230387102A1 (en) Method of manufacturing semiconductor device having staggered gate-stub-size profile and system for same
US20230343775A1 (en) Method for semiconductor manufacturing and system for arranging a layout
US20240088147A1 (en) Integrated circuit having transistors with different width source and drain terminals
US20230045167A1 (en) Power rail and signal conducting line arrangement
US20230237235A1 (en) Method of implementing an integrated circuit having a narrow-width cell and a wider-width cell with same functionality
US20240070364A1 (en) Circuit cells having power grid stubs

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination