TWI727455B - 電源開關控制電路、記憶體裝置以及用於操作記憶體裝置的方法 - Google Patents

電源開關控制電路、記憶體裝置以及用於操作記憶體裝置的方法 Download PDF

Info

Publication number
TWI727455B
TWI727455B TW108136539A TW108136539A TWI727455B TW I727455 B TWI727455 B TW I727455B TW 108136539 A TW108136539 A TW 108136539A TW 108136539 A TW108136539 A TW 108136539A TW I727455 B TWI727455 B TW I727455B
Authority
TW
Taiwan
Prior art keywords
signal
power
switch
header
power supply
Prior art date
Application number
TW108136539A
Other languages
English (en)
Other versions
TW202032558A (zh
Inventor
楊皓義
李政宏
楊振麟
許育豪
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202032558A publication Critical patent/TW202032558A/zh
Application granted granted Critical
Publication of TWI727455B publication Critical patent/TWI727455B/zh

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/413Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction
    • G11C11/417Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction for memory cells of the field-effect type
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/413Auxiliary circuits, e.g. for addressing, decoding, driving, writing, sensing, timing or power reduction
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • G11C11/412Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger using field-effect transistors only
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/14Power supply arrangements, e.g. power down, chip selection or deselection, layout of wirings or power grids, or multiple supply levels
    • G11C5/147Voltage reference generators, voltage or current regulators; Internally lowered supply levels; Compensation for voltage drops
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/14Power supply arrangements, e.g. power down, chip selection or deselection, layout of wirings or power grids, or multiple supply levels
    • G11C5/148Details of power up or power down circuits, standby circuits or recovery circuits
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K17/00Electronic switching or gating, i.e. not by contact-making and –breaking
    • H03K17/51Electronic switching or gating, i.e. not by contact-making and –breaking characterised by the components used
    • H03K17/56Electronic switching or gating, i.e. not by contact-making and –breaking characterised by the components used by the use, as active elements, of semiconductor devices
    • H03K17/687Electronic switching or gating, i.e. not by contact-making and –breaking characterised by the components used by the use, as active elements, of semiconductor devices the devices being field-effect transistors

Abstract

本揭露提供一種電源開關控制電路、記憶體裝置以及用於 操作記憶體裝置的方法。電源開關控制電路包括被配置以將電源供應至記憶體陣列的供電軌。第一標頭開關將供電軌耦接至對應於第一電源域的第一電源供應器。第二標頭開關將供電軌耦接至對應於第二電源域的第二電源供應器。控制電路被配置以接收選擇信號及關閉信號,且被配置以回應於選擇信號及關閉信號來向第一標頭開關及第二標頭開關輸出控制信號,以分別將第一標頭開關及第二標頭開關選擇性地耦接至第一電源供應器及第二電源供應器。控制電路被配置以回應於關閉信號且不顧及選擇信號來向第一標頭開關及第二標頭開關輸出控制信號,以將第一標頭開關及第二標頭開關二者與第一電源供應器及第二電源供應器斷開。

Description

電源開關控制電路、記憶體裝置以及用於操作記 憶體裝置的方法
本揭露是有關於一種電源開關控制電路、記憶體裝置以及用於操作記憶體裝置的方法。
一些已知的記憶體裝置(諸如靜態隨機存取記憶體(static random access memory;SRAM)元件)包括電源開關控制設計。典型SRAM記憶體裝置具有記憶胞陣列。每一記憶胞使用連接於較高參考電位與較低參考電位(通常接地)之間的六個電晶體,使得兩個儲存節點中的一者可由待儲存的資訊佔據,而另一儲存節點處儲存互補資訊。一些SRAM配置在低電壓域中操作記憶體邏輯,而記憶體陣列在高電壓域中操作。此外,可使用各種技術來減少電源消耗。舉例而言,可在休眠或關閉模式(shutdown mode)期間關閉記憶體裝置的部分。若切換程序控制不當,則可出現問題。舉例而言,若在一段時間內使電源供應器同時短路,尤其是在多個切換循環之後,則標頭(header)開關會承受到應力。另外,當僅斷開內部標頭開關時,標頭開關之間的直流電(direct current; DC)洩漏可導致在關閉模式下的較大關閉洩漏。
本揭露的電源開關控制電路包括供電軌、第一標頭開關、第二標頭開關、控制電路。供電軌,被配置以將電源供應至記憶體陣列。第一標頭開關用於將供電軌耦接至對應於第一電源域的第一電源供應器。第二標頭開關用於將供電軌耦接至對應於第二電源域的第二電源供應器。控制電路被配置以接收選擇信號及關閉信號,且被配置以回應於選擇信號及關閉信號向第一標頭開關及第二標頭開關輸出控制信號,以分別將第一標頭開關及第二標頭開關選擇性地耦接至第一電源供應器及第二電源供應器。控制電路被配置以回應於關閉信號且不顧及選擇信號向第一標頭開關及第二標頭開關輸出控制信號,以將第一標頭開關及第二標頭開關二者與第一電源供應器及第二電源供應器斷開。
本揭露的記憶體裝置包括位元胞陣列、字元線驅動器、輸入/輸出電路、供電軌、第一標頭開關、第二標頭開關、控制電路。 位元胞陣列被配置以在第一電源域或第二電源域中操作。字元線驅動器耦接至位元胞陣列。輸入/輸出電路耦接至位元胞陣列。供電軌耦接至位元胞陣列。第一標頭開關用於將供電軌耦接至對應於第一電源域的第一電源供應器。第二標頭開關用於將供電軌耦接至對應於第二電源域的第二電源供應器。控制電路被配置以接收選擇信號及關閉信號。控制電路包括第一鎖存電路以及第二鎖存電路。第一鎖存電路被配置以回應於選擇信號及關閉信號向第一標頭開關及第二標頭開關輸出控制信號,以分別將第一標頭開 關及第二標頭開關選擇性地耦接至第一電源供應器及第二電源供應器。第二鎖存電路,接收第一控制信號及第二控制信號且被配置以回應於關閉信號來鎖存選擇信號。
本揭露的用於操作記憶體裝置的方法包括:接收在第一電壓位準下的電源域選擇信號;使電源域選擇信號位準移位至較高電壓位準;將在較高電壓位準下的控制信號輸出至第一標頭開關及第二標頭開關,以在功能週期期間回應於電源域選擇信號來分別將記憶體陣列選擇性地耦接至第一電源供應器及第二電源供應器;將在較高電壓位準下的控制信號輸出至第一標頭開關及第二標頭開關,以在關閉週期期間將記憶體陣列與第一電源供應器及第二電源供應器二者斷開;以及在功能週期期間鎖存第一控制信號及第二控制信號。
10:靜態隨機存取記憶體裝置
100:電源開關控制電路
102:第一電源域
104:第三電源域
112、116、LS:位準移位器
114、136、140、142、144、146、154、156、158、160、187、188、306、402、408、414:反相器
118:狀態鎖存器
120:設置輸入
122:重置輸入
124:第一回饋輸入
126:第二回饋輸入
128:第一輸出
130:第二輸出
132:第三輸出
134:第四輸出
138、152:反及閘
148、162:節點
150、164:標頭開關
166:輸出鎖存器
168:第一輸入
170:第二輸入
172:第三輸入
174:第四輸入
176:輸出
178:第一回饋迴路
181、CNT:控制電路
182:輸入/輸出部分
183:位元胞陣列
184:字元線驅動器
185:電源開關
186:主電源開關
186a:第一執行個體
186b:中間執行個體
186c:最後執行個體
189:內部供電軌
200、500、700:時序圖
201、501、701:時間t1
202、502、702:時間t2
203、503、703:時間t3
204、504、704:時間t4
205、505、705:時間t5
206、506、706:時間t6
207、507:時間t7
208、507:時間t8
302、308、601、607、802、808、902、908:及閘
304、310、312、404、406、410、412:反或閘
314:第二迴路
511、711:時間t11
512、712:時間t12
513、713:時間t13
514、714:時間t14
816:第三迴路
900:方法
910、912、914、916、918:步驟
AON_SELSRM_IN:接通信號
AON_SELSRM_OUT、GDM_PS、ON_GD、ON_GM、SDB_VMAX2、SEL_VMAX2:信號
ON_GDB、ON_GMB:控制信號
SD:關閉信號
SD_VMAX2:第二關閉信號
SDB_VMAX2:互補第二關閉信號
VDD:第一電源電壓
VDDM:第二電源電壓
VDD_RDYB:就緒信號
VMAX2:第三電源域
結合附圖閱讀以下詳細描述會最佳地理解本揭露內容的各態樣。應注意,根據業界中的標準慣例,各種特徵並未按比例繪製。事實上,可出於論述清楚起見而任意地增大或減小各種特徵的尺寸。
圖1為示出根據一些實施例的記憶體裝置的實例的方塊圖。
圖2為示出根據一些實施例的圖1的記憶體裝置的電源開關控制電路的實例的電路圖。
圖3為示出根據一些實施例的圖4的電路的波形的時序圖。
圖4為示出根據一些實施例的圖2的電源開關控制電路的第一鎖存電路的電路圖。
圖5為示出根據一些實施例的圖2的電源開關控制電路的第二鎖存電路的電路圖。
圖6為示出根據一些實施例的圖7的電路的波形的時序圖。
圖7為示出根據一些實施例的圖2的電源開關控制電路的第一鎖存電路的另一實例的電路圖。
圖8為示出根據一些實施例的圖9的電路的波形的時序圖。
圖9為示出根據一些實施例的圖2的電源開關控制電路的第一鎖存電路的另一實例的電路圖。
圖10為示出根據一些實施例的圖2的電源開關控制電路的第一鎖存電路的另一實例的電路圖。
圖11為示出根據一些實施例的方法的流程圖。
以下揭露內容提供用於實施所提供的主題的不同特徵的許多不同實施例或實例。以下描述組件及配置的具體實例以簡化本揭露內容。當然,這些組件及配置僅為實例且並不意欲為限制性的。舉例而言,在以下描述中,第一特徵形成於第二特徵上方或第二特徵上可包括第一特徵及第二特徵直接接觸地形成的實施例,且亦可包括額外特徵可形成於第一特徵與第二特徵之間以使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露內容可在各種實例中重複附圖標號及/或字母。此重複是出於簡單及清楚的目的,且本身並不指示所論述的各種實施例及/或配置之間的關係。
另外,為易於描述,本文中可使用諸如「在...下面」、「在...下方」、「下部」、「在...上方」、「上部」以及其類似者的空間相對術 語,以描述如圖式中所說明的一個元件或特徵相對於另一(些)元件或特徵的關係。除圖式中所描繪的定向之外,空間相對術語亦意欲涵蓋元件在使用或操作中的不同定向。設備可以其他方式定向(旋轉90度或處於其他定向),且本文中所使用的空間相對描述詞同樣可相應地進行解釋。
電子元件可具有選擇性地由兩個不同外部電源供應器供電的部分。記憶體裝置(諸如但不限於靜態隨機存取記憶體(SRAM)元件)是此電子元件的實例。
圖1繪示根據一些實施例的實例電子元件,諸如SRAM記憶體裝置10。如圖1中所示,實例SRAM元件10至少包括輸入/輸出(input/output;IO)部分182、控制電路181、位元胞陣列(bitcell array)183、字元線(word line;WL)驅動器184以及電源開關185。SRAM元件10可包括圖1中未繪示的其他組件。在示例性實施例中,SRAM元件10可為積體電路(integrated circuit;IC)晶片的部分。
IO部分182及控制部分181二者與內部電壓供應VDD(亦即,在內部電源域VDD中)一起操作。位元胞陣列183選擇性地在第一電源域或第二電源域中操作,第一電源域對應於第一電源電壓VDD,第二電源域對應於第二電源電壓VDDM。字元線驅動器部分184提供驅動信號且可被視為在第一電源域VDD及第二電源域VDDM二者中操作。
電源開關部分185沿著位元胞陣列183的外圍設置以便在VDD與VDDM之間切換。電源開關部分185包括電源開關控制電路100。在一些實施例中,可將電源開關控制電路100包括於 控制部分181中。電源開關部分185更包括跨完整記憶體巨集分佈的主電源開關186。在一些實施例中,主電源開關186的執行個體(instance)沿著位元胞陣列183的整個外圍被包括在內且包括例如第一執行個體186a、中間執行個體186b以及最後執行個體186c,以及第一執行個體186a與中間執行個體186b之間的執行個體及中間執行個體186b與最後執行個體186c之間的執行個體。
主電源開關186的每一執行個體包括內部供電軌189。內部供電軌189經由PMOS標頭開關164連接至VDD電源供應器且經由PMOS標頭開關150連接至VDDM電源供應器。VDD標頭開關164回應於反相器188從信號ON_GD所提供的控制信號ON_GDB。信號ON_GD被用來選擇VDD。VDDM標頭開關150回應於反相器187從信號ON_GM所提供的控制信號ON_GMB。 信號ON_GM被用來選擇VDDM。信號ON_GD及ON_GM為開關控制信號且經提供至主電源開關186的每一執行個體。
在一些實例中,當電路被上電時,許多電晶體在同一時間被接通。對電路上電亦被稱作喚醒電路,此是由於例如電路自關閉或休眠模式經喚醒以供操作。當許多電晶體在喚醒期間被接通時,大量電流流動通過供電晶體使用的對應電源節點且可產生被稱作喚醒峰值電流的電流尖峰。將電路的喚醒峰值電流減小至設計規格內會增加電路的喚醒時間。舉例而言,在一方法中,喚醒電路包括一系列喚醒階段。每一喚醒階段包括以鏈方式連接的PMOS開關。將喚醒信號依序饋送至每一PMOS開關來以依序方式逐個地接通鏈狀PMOS開關。藉由以依序方式接通每一PMOS開關,減小喚醒峰值電流。在喚醒期間因切換操作產生的雜訊可影響開關 控制。
圖2繪示根據本揭露內容的態樣的電源開關控制電路100的實例。如圖2中所示,虛線左側的元件處於第一電源域102中,而虛線右側的元件處於第三電源域104中。在所說明的實例中,第一電源域102為被配置以接收VDD電源電壓的VDD域,且第三電源域104為被配置以接收較高VMAX2電源電壓的VMAX2電源域。換言之,第三電源域(亦即,VMAX2電源電壓)104用於供電源開關控制電路100操作。第一電源域(亦即,VDD電源電壓)102及第二電源域(亦即,VDDM電源電壓)用於供位元胞陣列183操作。在一些實施例中,VMAX2電源電壓可高於VDDM電源電壓。在一些實施例中,VMAX2電源電壓可等於VDDM電源電壓。在一些實施例中,VMAX2電源電壓可低於VDDM電源電壓。電源開關控制電路100被配置以回應於接通信號AON_SELSRM_IN、就緒信號VDD_RDYB以及關閉信號SD以控制VDD標頭開關164及VDDM標頭開關150。AON_SELSRM_IN信號經確證以選擇VDD電源域或VDDM電源域中的任一者,且SD信號指示關閉模式。
大體而言,電源開關控制電路100被配置以在元件10處於關閉模式(亦即,SD=1)時斷開VDD標頭開關164及VDDM標頭開關150二者。此外,即使在諸如雜訊的因素影響電路的控制信號的情況下,電路100被配置以鎖存斷開VDD標頭開關164及VDDM標頭開關150二者。舉例而言,如上文結合圖1所提及,電源開關186可被分佈在完整的記憶體巨集,從而產生相對較長的控制信號線以用於控制各種電源開關、此類信號回饋線。這些長 信號線可產生信號損耗,且因此在一些控制信號中產生振盪。如下文將進一步詳細地論述,一些所揭露的實施例可藉由使電源開關控制電路100更穩定且不太容易受各種信號波動影響來解決諸如這些的問題。
大體而言,除其他之外,電源開關控制電路100亦包括兩個鎖存器。第一鎖存器或狀態鎖存器118有助於功能模式(亦即,非關閉狀態)期間的「先接後斷(make-before-break)」功能,且亦防止VDD標頭開關164及VDDM標頭開關150二者在喚醒期間的SD信號轉換時同時被接通。在內部VDD域102中,第一關閉信號SD從內部VDD域102被位準移位器112位準移位到較高電壓位準(諸如VMAX2域104),從而產生第二關閉信號SD_VMAX2。信號SD_VMAX2被提供至反相器114,從而產生互補第二關閉信號SDB_VMAX2。另一方面,在內部VDD域102中,接通信號AON_SELSRM_IN從內部VDD域102被位準移位器116位準移位到VMAX2域104中的SEL_VMAX2信號。
位準移位器116的輸出(亦即,信號SEL_VMAX2)被提供至狀態鎖存器118的設置輸入120。位準移位器116的輸出也被提供至反相器136,反相器136的輸出被提供至狀態鎖存器118的重置輸入122。狀態鎖存器分別輸出全域VDD選擇信號ON_GD及全域VDDM選擇信號ON_GM。信號ON_GM自狀態鎖存器118的第一輸出128與信號SDB_VMAX2一起被提供至反及閘138。 反及閘138的輸出被提供至多個反相器,所述多個反相器包括向VDDM標頭開關150產生反及閘138的輸出的經延遲信號的反相器140、反相器142、反相器144、反相器146。在節點148處, 回饋信號ON_GMB被提供回狀態鎖存器118的第一回饋輸入124。 節點148處的信號ON_GMB也被提供至VDDM標頭開關150的閘極。換言之,反及閘138的輸出的經延遲信號被提供至VDDM標頭開關150的閘極。在此實例中,VDDM標頭開關150為PMOS電晶體。VDDM標頭開關150的源極被連接至電源供應器VDDM,而VDDM標頭開關150的汲極連接至內部供電軌189。如上文所提及,在一些實施例中,電源開關186被分佈在完整的記憶體巨集。因此,多個VDDM標頭開關150被分佈在記憶體巨集,且如藉由多個反相器所延遲的ON_GM控制信號可依序被提供至VDDM標頭開關150以用於其時序控制。
在關閉週期(shutdown period)期間,信號SD且信號SD_VMAX2為邏輯高(1),而信號SDB_VMAX2為邏輯低(0)。 因此,在關閉週期期間,在不考慮狀態鎖存器118的輸出的值的情況下,反及閘138的輸出為邏輯高(1)。因此,提供至VDDM標頭開關150的閘極的信號為邏輯高(1)。因此,在關閉週期期間,VDDM標頭開關150始終斷開。
另一方面,信號ON_GD自狀態鎖存器118的第二輸出130與信號SDB_VMAX2一起被提供至反及閘152。反及閘152的輸出被提供至多個反相器,所述多個反相器包括產生反及閘152的輸出的經延遲信號的反相器154、反相器156、反相器158、反相器160,所述經延遲信號用於控制VDD開關(例如VDD標頭開關164)。如上文所提及,在一些實施例中,電源開關186被分佈在完整的記憶體巨集。因此,多個VDD標頭開關164可被分佈在記憶體巨集,且如藉由反相器所延遲的ON_GD控制信號可依序被 提供至VDD標頭開關164以用於其時序控制。
在節點162處,信號ON_GDB被回饋至狀態鎖存器118的第二回饋輸入126。節點162處的信號ON_GDB也被提供至VDD標頭開關164的閘極。換言之,反及閘152的輸出的經延遲信號被提供至VDD標頭開關164的閘極。在此實例中,VDD標頭開關164為PMOS電晶體。VDD標頭開關164的源極被連接至電源供應器VDD,而VDD標頭開關164的汲極被連接至內部供電軌189。內部供電軌189用於將電源供應至位元胞陣列183。
如上文所提及,在關閉週期期間,信號SD_VMAX2為邏輯高(1),而信號SDB_VMAX2為邏輯低(0)。因此,在關閉週期期間,在不考慮狀態鎖存器118的第二輸出130的值的情況下,反及閘152的輸出為邏輯高(1)。因此,提供至VDD標頭開關164的閘極的信號為邏輯高(1)。因此,在關閉週期期間,VDD標頭開關164始終斷開。因此,在關閉週期期間,電源開關控制電路100被配置以在不考慮選擇信號AON_SELSRM_IN的情況下,回應於SD信號而使VDDM標頭開關150及VDD標頭開關164二者斷開。藉由斷開標頭開關150及標頭開關164二者,可減少標頭開關150與標頭開關164之間的DC洩漏。藉由斷開標頭開關150及標頭開關164二者以及其他標頭開關,以減少總關閉洩漏。 此外,將節點162及節點148處的信號回饋至回饋輸入126及回饋輸入124,以將輸入鎖存至標頭開關164、標頭開關150,且確保這些標頭開關在關閉模式期間仍被斷開。
輸出鎖存器166具有四個輸入:第一輸入168;第二輸入170;第三輸入172;以及第四輸入174。信號ON_GMB自節點148 被回饋至輸出鎖存器166的第一輸入168。信號ON_GDB自節點162被回饋至輸出鎖存器166的第二輸入170。狀態鎖存器118的第三輸出132將ON_GD信號提供至輸出鎖存器166的第三輸入172。狀態鎖存器118的第四輸出134將ON_GM信號提供至輸出鎖存器166的第四輸入174。信號AON_SELSRM_OUT藉由輸出鎖存器166被產生在輸出鎖存器166的輸出176處。應注意,信號AON_SELSRM_OUT處於內部VDD域102中。如下文將進一步詳細地論述,輸出鎖存器166被配置以使得在關閉期間,ON_GDB信號及ON_GMB信號的狀態被鎖存以使標頭開關164、標頭開關150保持斷開,而在功能模式下,ON_GDB及ON_GMB控制輸出鎖存器狀態。
圖3示出根據一些實例的時序圖。下文將參考圖4及圖5揭露時序圖200。自圖3的時序圖可以看出,信號SD最初為邏輯低(0)。在時間t1 201處,信號SD變為邏輯高(1),此意味著電源開關控制電路100處於關閉週期中。在時間t3 203處,信號SD變為邏輯低(0),此意味著電源開關控制電路100的關閉週期結束。在一個實施例中,信號AON_SELSRM_IN不被允許在關閉週期(亦即,自時間t1 201至時間t3 203)或關閉喚醒週期(亦即,在時間t3 203之後的較短週期)期間雙態切換。在圖3的實例中,信號AON_SELSRAM_IN在關閉週期已結束之後的時間t5 205之前一直恆定地為邏輯低(0)。信號AON_SELSRAM_IN在時間t5 205處變為邏輯高(1)。當信號AON_SELSRAM_IN(例如在時間t5 205之前)為邏輯低(0)時,信號ON_GDB(例如在時間t2 202之前)被假定為邏輯低(0),而信號ON_GMB(例如在時 間t6 206之前)被假定為邏輯高(1),由於VDDM標頭開關150斷開而VDD標頭開關164接通,故此指示對VDD的選擇及對VDDM的取消選擇。當信號AON_SELSRAM_IN(例如在時間t5 205之後)為邏輯高(1)時,信號ON_GDB(例如在時間t7 207之後)被假定為邏輯高(1),而信號ON_GMB(例如在時間t6 206之後)被假定為邏輯低(0),由於VDD標頭開關164斷開而VDDM標頭開關150接通,故此指示對VDDM的選擇及對VDD的取消選擇。
如上文所描述,在關閉週期(亦即,自時間t2 202至時間t4 204)期間,VDDM標頭開關150及VDD標頭開關164二者因信號SD而被斷開。信號AON_SELSRM_OUT不對信號SD的雙態切換作出回應。實際上,信號AON_SELSRM_OUT在具有延遲的情況下在時間t8 208處對信號AON_SELSRM_IN在時間t5 205處的變化作出回應。另外,當信號ON_GDB在時間t4 204處變為邏輯低(0)時,信號ON_GMB在時間t6 206之前保持邏輯高(1)。因此,信號SD在時間t3 203處的下降不觸發兩個域(亦即,VDD及VDDM)之間的電源短路。下文將參考圖4及圖5進一步揭露時序圖200。
圖4繪示圖2的電源開關控制電路100的狀態鎖存器118的實施例。在此實施例中,電源開關控制電路100的狀態鎖存器118包括及閘302、反或閘304、反相器306、及閘308、反或閘310以及反或閘312。
交叉耦接反或閘304及交叉耦接反或閘310充當SR鎖存器。具體而言,位準移位器116的輸出(亦即,信號SEL_VMAX2) 被提供至在狀態鎖存器118的設置輸入120處的反或閘304。及閘302的輸出也被提供至反或閘304。反或閘304的輸出被提供至反相器306。反相器306在狀態鎖存器118的第一輸出128處的輸出為信號ON_GM。信號ON_GM進一步被提供回及閘302的輸入。 節點162處的信號ON_GDB被提供至及閘302的其他輸入(亦即,狀態鎖存器118的第二回饋輸入126)。
另一方面,反相器136的輸出被提供至在狀態鎖存器118的重置輸入122處的反或閘310。及閘308的輸出也被提供至反或閘310。反或閘310的輸出以及信號VDD_RDYB一起被提供至反或閘312。反或閘312在狀態鎖存器118的第二輸出130處的輸出為信號ON_GD。信號ON_GD進一步被提供回及閘308的輸入。 將節點148處的信號ON_GMB提供至及閘308的其他輸入(亦即,狀態鎖存器118的第一回饋輸入124)。
第一回饋迴路178藉由將ON_GDB信號自節點162回饋至及閘302且將ON_GMB信號自節點148回饋至及閘308而被形成。因此,在第一迴路178中,由狀態迴路118的上部支線產生的VDD標頭164的控制信號ON_GDB被回饋至狀態迴路118的下部支線,所述下部支線產生VDDM標頭150的控制信號ON_GMB。此外,在第一迴路178中,由狀態迴路118的下部支線產生的VDDM標頭150的控制信號ON_GMB被回饋至狀態迴路118的上部支線,所述上部支線產生VDD標頭164的控制信號ON_GDB。
因此,當電源開關控制電路100處於功能模式(亦即,SD=0)時,第一迴路178執行上文所提到的先接後斷功能,其中 電源標頭164、電源標頭150在功能模式期間不同時被斷開。產生交叉耦接的反或閘304、310的相應輸入的及閘302、及閘308防止鎖存器同時輸出用於ON_GMB控制信號及ON_GDB控制信號的邏輯高輸出。
在關閉週期期間,如上文所描述,信號ON_GMB恆定地為邏輯高(1),而信號ON_GDB亦恆定地為邏輯高(1),如圖3中所示。因此,及閘302的一個輸入(亦即,狀態鎖存器118的第二回饋輸入126)恆定地為邏輯高(1),因此及閘302的輸出與及閘302的其他輸入(亦即,信號ON_GM)相同。信號ON_GM以及位準移位器116的輸出(亦即,信號SEL_VMAX2)一起被提供回反或閘304。同樣地,及閘308的一個輸入(亦即,狀態鎖存器118的第一回饋輸入124)恆定地為邏輯高(1),因此及閘308的輸出與及閘308的其他輸入(亦即,信號ON_GD)相同。信號ON_GD以及反相器124的輸出一起被提供回反或閘310。換言之,在關閉週期期間,第二迴路314斷開第一迴路178,由此在關閉喚醒(亦即,SD自邏輯高轉換至邏輯低)之後防止來自信號ON_GDB及信號ON_GMB的功率雜訊。
圖5繪示圖2的電源開關控制電路100的輸出鎖存器166的實施例。在此實施例中,電源開關控制電路100的輸出鎖存器166包括反相器402、反或閘404、反或閘406、反相器408、反或閘410、反或閘412以及反相器414。具體而言,信號ON_GDB被提供至反相器402。反相器402的輸出(其為信號ON_GD)以及信號ON_GD一起被提供至反或閘404。反或閘404的輸出(亦即,信號GDD_PS)被提供至由反或閘406及反或閘412組成的 正反器的重置輸入。另一方面,信號ON_GMB被提供至反相器408。反相器408的輸出(其為信號ON_GM)以及信號ON_GM一起被提供至反或閘410。反或閘410的輸出(亦即,信號GDD_PS)被提供至由反或閘406及反或閘412組成的正反器的設置輸入。 由反或閘406及反或閘412組成的正反器的輸出被提供至反相器414。反相器414的輸出為內部VDD域102中的信號SON_SELSRM_OUT。
在功能模式期間,信號ON_GDB及信號ON_GMB控制輸出鎖存器166的狀態。換言之,輸出鎖存器166回應於ON_GDB信號及ON_GMB信號將選擇信號AON_SELSRM_IN鎖存作為AON_SELSRM_OUT信號。在關閉週期期間,如上文所描述,信號ON_GDB及信號ON_GMB皆為邏輯高(1)。因此,反相器402的輸出及反相器408的輸出皆為邏輯低(0)。反或閘404的輸出為信號ON_GDB,而反或閘410的輸出為信號ON_GMB。因此,輸出鎖存器166在關閉週期期間保持這樣的狀態。換言之,即使AON_SELSRM_IN信號在關閉週期期間改變,AON_SELSRM_OUT信號的狀態亦不會在關閉週期期間改變。
下文結合圖6的時序圖500及圖7的電路圖來描述圖2的另一實例電源開關控制電路100。自圖6的時序圖可以看出,信號SD最初為邏輯低(0)。在時間t1 501處,信號SD變為邏輯高(1),此意味著電源開關控制電路100處於關閉週期中。在時間t3 503處,信號SD變為邏輯低(0),此意味著電源開關控制電路100的關閉週期結束。在一個實施例中,信號AON_SELSRM_IN被允許在關閉週期(亦即,自時間t1 501至時間t3 503)或關閉喚醒週 期(亦即,在時間t3 503之後的較短週期)期間雙態切換。舉例而言,信號AON_SELSRM_IN在時間t11 511處自邏輯低(0)雙態切換至邏輯高(1),在時間t12 512處自邏輯高(1)雙態切換至邏輯低(0),在時間t13 513處自邏輯低(0)雙態切換至邏輯高(1),且在時間t14 514處自邏輯高(1)雙態切換至邏輯低(0)。 應注意,信號AON_SELSRM_IN在關閉週期或關閉喚醒週期期間可在其他模式下雙態切換。信號AON_SELSRAM_IN在時間t5 505處變為邏輯高(1)。信號AON_SELRAM_IN在關閉喚醒之前的最終狀態(邏輯低)與在關閉週期在時間t1 501處開始之前的最終狀態(邏輯低)相同。當信號AON_SELSRAM_IN(例如在時間t11 511之前)為邏輯低(0)時,信號ON_GDB(例如在時間t2 502之前)被假定為邏輯低(0),而信號ON_GMB(例如在時間t6 506之前)被假定為邏輯高(1),由於VDDM標頭開關150斷開而VDD標頭開關164接通,故此指示對VDD的選擇及對VDDM的取消選擇。當信號AON_SELSRAM_IN(例如在時間t5 505之後)為邏輯高(1)時,信號ON_GDB(例如在時間t7 507之後)被假定為邏輯高(1),而信號ON_GMB(例如在時間t6 506之後)被假定為邏輯低(0),由於VDD標頭開關164斷開而VDDM標頭開關150接通,故此指示對VDDM的選擇及對VDD的取消選擇。
如上文所描述,在關閉週期(亦即,自時間t2 502至時間t4 504)期間,VDDM標頭開關150及VDD標頭開關164二者因信號SD而斷開。信號AON_SELSRM_OUT不對信號SD的雙態切換作出回應。實際上,信號AON_SELSRM_OUT在具有延遲的情況下在時間t8 508處對信號AON_SELSRM_IN在時間t5 505 處的變化作出回應。另外,當信號ON_GDB在時間t4 504處變為邏輯低(0)時,信號ON_GMB在時間t6506之前保持邏輯高(1)。 因此,信號SD在時間t3 503處的下降不觸發兩個域(亦即,VDD及VDDM)之間的電源短路。下文將參考圖7揭露時序圖500的實施方式。
圖7繪示與圖6的時序圖500相關聯的圖2的電源開關控制電路100的狀態鎖存器118的實施例。圖7的狀態鎖存器118包括類似於圖4中所說明的實施例的及閘302、反或閘304、反相器306、及閘308、反或閘310以及反或閘312。在圖7中,更包括及閘601及及閘607。
交叉耦接的反或閘304及反或閘310充當狀態鎖存器118的正反器。具體而言,位準移位器116的輸出(亦即,信號SEL_VMAX2)以及信號SDB_VMAX2一起被提供至及閘601。及閘601的輸出被提供至在狀態鎖存器118的設置輸入120處的反或閘304。及閘302的輸出也被提供至反或閘304。將反或閘304的輸出提供至反相器306。反相器306在狀態鎖存器118的第一輸出128處的輸出為信號ON_GM。信號ON_GM進一步被提供回及閘302的輸入。節點162處的信號ON_GDB被提供至及閘302的其他輸入(亦即,狀態鎖存器118的第二回饋輸入126)。
另一方面,反相器136的輸出以及信號SDB_VMAX2一起被提供至在狀態鎖存器118的重置輸入122處的反或閘310。及閘308的輸出也被提供至反或閘310。反或閘310的輸出以及信號VDD_RDYB一起被提供至反或閘312。反或閘312在狀態鎖存器118的第二輸出130處的輸出為信號ON_GD。信號ON_GD進一 步被提供回及閘308的輸入。節點148處的信號ON_GMB被提供至及閘308的其他輸入(亦即,狀態鎖存器118的第一回饋輸入124)。
在功能模式期間,第一迴路178可進行先接後斷功能。 在關閉週期期間,如上文所描述,信號SDB_VMAX2恆定地為邏輯低(0),而信號ON_GMB及信號ON_GDB皆恆定地為邏輯高(1),如圖6中所示。因此,及閘302的一個輸入(亦即,狀態鎖存器118的第二回饋輸入126)恆定地為邏輯高(1),因此及閘302的輸出與及閘302的其他輸入(亦即,信號ON_GM)相同。信號ON_GM以及及閘601的輸出一起被提供回反或閘304。同樣地,及閘308的一個輸入(亦即,狀態鎖存器118的第一回饋輸入124)恆定地為邏輯高(1),因此及閘308的輸出與及閘308的其他輸入(亦即,信號ON_GD)相同。信號ON_GD以及及閘607的輸出一起被提供回反或閘310。換言之,在關閉週期期間,第二迴路314斷開第一迴路178,由此在關閉喚醒之後防止來自信號ON_GDB及信號ON_GMB的功率雜訊。
由於信號SDB_VMAX2在關閉週期期間恆定地為邏輯低(0),因此及閘601的輸出及及閘607的輸出恆定地為邏輯低(0)。 因此,包括交叉耦接的反或閘304及反或閘310的狀態鎖存器118的正反器處於保持狀態中。因此,如圖6中所示,信號AON_SELSRM_IN被允許在關閉週期(亦即,自時間t1 501至時間t3 503)或關閉喚醒週期(亦即,在時間t3 503之後的短路週期)期間雙態切換(亦即,信號AON_SELSRM_IN的雙態切換為閘控的)。
下文參考圖8及圖9揭露實例電源開關控制電路100的另一實例操作。自圖8的時序圖可以看出,信號SD最初為邏輯低(0)。在時間t1 701處,信號SD變為邏輯高(1),此意味著電源開關控制電路100處於關閉週期中。在時間t4 704處,信號SD變為邏輯低(0),此意味著電源開關控制電路100的關閉週期結束。 在一個實施例中,信號AON_SELSRM_IN被允許在關閉週期(亦即,自時間t1 701至時間t4 704)或關閉喚醒週期(亦即,在時間t4 704之後的較短週期)期間雙態切換。舉例而言,信號AON_SELSRM_IN在時間t11 711處自邏輯低(0)雙態切換至邏輯高(1),在時間t12 712處自邏輯高(1)雙態切換至邏輯低(0),在時間t13 713處自邏輯低(0)雙態切換至邏輯高(1),且在時間t14 714處自邏輯高(1)雙態切換至邏輯低(0)。應注意,信號AON_SELSRM_IN在關閉週期或關閉喚醒週期期間可在其他模式下雙態切換。信號AON_SELSRAM_IN在時間t3 703處變為邏輯高(1)。不同於圖3的時序圖200及圖6的時序圖500,信號AON_SELRAM_IN在時間t4 704處的關閉喚醒之前的最終狀態(邏輯高)與在關閉週期在時間t1 701開始之前的最終狀態(邏輯低)不同。由於在信號SD在時間t4 704處自邏輯高(1)雙態切換至邏輯低(0)之前,信號AON_SELSRM_IN在時間t3 703處自邏輯低(0)雙態切換至邏輯(1),因此在信號SD在時間t4 704處的下降之後,信號ON_GMB在時間t6 706處自邏輯高(1)雙態切換至邏輯低(0)。
當信號AON_SELSRAM_IN(例如在時間t11 711之前)為邏輯低(0)時,信號ON_GDB(例如在時間t2 702之前)經假 定為邏輯低(0),而信號ON_GMB(例如在時間t6 706之前)經假定為邏輯高(1),由於VDDM標頭開關150斷開而VDD標頭開關164接通,故此指示對VDD的選擇及對VDDM的取消選擇。 當信號AON_SELSRAM_IN(例如在時間t3 703之後)為邏輯高(1)時,信號ON_GDB(例如在時間t3 703之後)經假定為邏輯高(1),而信號ON_GMB(例如在時間t6 706之後)經假定為邏輯低(0),由於VDD標頭開關164斷開而VDDM標頭開關150接通,故此指示對VDDM的選擇及對VDD的取消選擇。
如上文所描述,在關閉週期(亦即,自時間t2 702至時間t6 706)期間,VDDM標頭開關150及VDD標頭開關164二者因信號SD而斷開。信號AON_SELSRM_OUT不對信號SD的雙態切換作出回應。實際上,信號AON_SELSRM_OUT在具有延遲的情況下對信號AON_SELSRM_IN的變化作出回應。另外,當信號ON_GMB在時間t6 706處變為邏輯低(0)時,信號ON_GDB保持邏輯高(1)。因此,信號SD在時間t4 704處的下降不觸發兩個域(亦即,VDD及VDDM)之間的電源短路。下文將參考圖9揭露時序圖700的實施方式。
圖9繪示與圖7的時序圖700相關聯的圖2的電源開關控制電路100的狀態鎖存器118的實施例。針對如在圖1中的相同部分使用相同附圖標號。在此實施例中,電源開關控制電路100的狀態鎖存器118包括及閘601、及閘802、反或閘304、反相器306、及閘607、及閘808、反或閘310以及反或閘312。如將在下文進一步論述,及閘802、及閘808類似於上文結合圖4及圖7所論述的及閘302、及閘308,但及閘802、及閘808各自具有三個 輸入。
交叉耦接反或閘304及反或閘310充當狀態鎖存器118的正反器。具體而言,位準移位器116的輸出(亦即,信號SEL_VMAX2)被提供至在狀態鎖存器118的設置輸入120處的反或閘304。反或閘304的其他兩個輸入信號為反及閘802的輸出及反及閘601的輸出。反或閘304的輸出被提供至反相器306。反相器306在狀態鎖存器118的第一輸出128處的輸出為信號ON_GM。 信號ON_GM進一步被提供回及閘802的第一輸入。節點162處的信號ON_GDB被提供至及閘802的第二輸入(亦即,狀態鎖存器118的第二回饋輸入126)。信號SDB_VMAX2被提供至及閘802的第三輸入。換言之,及閘802的三個輸入信號為信號ON_GM、信號ON_GDB以及信號SDB_VMAX2。信號ON_GDB以及信號SD_VMAX2一起被提供至及閘601。將信號ON_GDB回饋至及閘601以形成第三迴路816的部分。
另一方面,在狀態鎖存器118的重置輸入122處將反相器136的輸出提供至反或閘310。反或閘310的其他兩個輸入信號為及閘808的輸出及反及閘607的輸出。反或閘310的輸出以及信號VDD_RDYB一起被提供至反或閘312。反或閘312在狀態鎖存器118的第二輸出130處的輸出為信號ON_GD。信號ON_GD進一步被提供回及閘808的第一輸入。節點148處的信號ON_GMB被提供至及閘808的第二輸入(亦即,狀態鎖存器118的第一回饋輸入124)。信號SDB_VMAX2被提供至及閘808的第三輸入。 換言之,及閘的三個輸入信號為信號ON_GD、信號ON_GMB以及信號SDB_VMAX2。信號ON_GMB以及信號SD_VMAX2一起 被提供至及閘607。將信號ON_GMB回饋至及閘607以形成第三迴路816的另一部分。
在功能模式期間,第一迴路178可進行上文所描述的先接後斷功能。在關閉週期期間,如上文所描述,信號SDB_VMAX2恆定地為邏輯低(0),而信號ON_GMB及信號ON_GDB皆恆定地為邏輯高(1),如圖8中所示。因此,在關閉週期期間,第一迴路178斷開,由此在關閉喚醒之後防止來自信號ON_GDB及信號ON_GMB的功率雜訊。在AON_SELSRM_IN狀態與最終AON_SELSRM_IN狀態不同的情況下(亦即,AON_SELSRM_IN狀態在關閉週期期間改變),第三迴路用以在喚醒期間防止先接後斷,由此防止電源標頭164及/或電源標頭150的無意中激活。由於AON_SELSRM_IN信號被允許在關閉期間改變狀態,因此輸出鎖存器166亦被允許基於在輸出鎖存器166的輸入處所接收的ON_GD信號及ON_GM信號來改變輸出信號AON_SELSRM_OUT的狀態。
圖10示出類似於圖4A中所示的實施例的另一實施例,其中用及閘902、及閘908替換及閘302及及閘308,所述及閘各自包括接收SDB_VMAX2信號的第三輸入。由於SDB_VMAX2信號在關閉期間位於邏輯低處,第一迴路178及第二迴路314二者在關閉期間斷開。因此,在圖10的實施例中,ON_GD信號及ON_GM信號繼續控制輸出鎖存器狀態,就如同圖9中繪示的實施例一般。
圖11為示出用於操作記憶體裝置(諸如圖1中所示的元件10)的標頭開關的方法900的實例的流程圖。在步驟910處接 收在第一電壓位準(諸如第一電源域VDD)下的電源域選擇信號,且在步驟912處,將選擇信號位準移位至較高的電壓位準,諸如第三電源域VMAX2。在一些實例中,可藉由位準移位器116來實現步驟912。在步驟914處,在第三電源域VMAX2中將控制信號ON_GD、控制信號ON_DM輸出至第一標頭開關164及第二標頭開關150,以在功能週期期間回應於選擇信號來分別將記憶體陣列183選擇性地耦接至第一電源供應器及第二電源供應器。在步驟916處,將第三電源域VMAX2中的控制信號輸出至第一標頭開關及第二標頭開關,以在關閉週期期間將記憶體陣列與第一電源供應器及第二電源供應器二者斷開。在步驟918處,在功能週期期間鎖存第一控制信號及第二控制信號。
根據一些所揭露的實施例,一種電源開關控制電路包括:供電軌,被配置以將電源供應至記憶體陣列;第一標頭開關,用於將供電軌耦接至對應於第一電源域的第一電源供應器;第二標頭開關,用於將供電軌耦接至對應於第二電源域的第二電源供應器;以及控制電路,被配置以接收選擇信號及關閉信號,且被配置以回應於所述選擇信號及所述關閉信號來向第一標頭開關及第二標頭開關輸出控制信號以分別將第一標頭開關及第二標頭開關選擇性地耦接至第一電源供應器及第二電源供應器。控制電路被配置以回應於關閉信號且不顧及選擇信號來向第一標頭開關及第二標頭開關輸出控制信號,以將第一標頭開關及第二標頭開關二者與第一電源供應器及第二電源供應器斷開。
在一些實施例中,電源開關控制電路更包括位準移位器。位準移位器被配置以在第一電源域中接收選擇信號及關閉信號且 在第三電源域中輸出選擇信號及關閉信號。
在一些實施例中,電源開關控制電路更包括多個第一標頭開關以及多個第二標頭開關。多個第一標頭開關,被配置以回應於選擇信號將供電軌依序耦接至第一電源供應器。多個第二標頭開關,各自被配置以回應於選擇信號將供電軌依序耦接至第二電源供應器。
在一些實施例中,控制信號包括分別用於控制第一標頭開關及第二標頭開關的第一控制信號及第二控制信號。電源開關控制電路更包括第一鎖存電路。第一鎖存電路被配置以接收選擇信號且被配置以回應於選擇信號來輸出第一控制信號及第二控制信號。電源開關控制電路被配置以修改由第一鎖存電路回應於關閉信號而輸出的第一控制信號或第二控制信號中的一者。
在一些實施例中,電源開關控制電路更包括第一支路、第二支路以及第一回饋迴路。第一支路被配置以產生第一控制信號。 第二支路被配置以產生第二控制信號。第一控制信號經回饋至第二支路的第一回饋輸入。第二控制信號經回饋至第一支路的第一回饋輸入。
在一些實施例中,電源開關控制電路更包括第二回饋迴路。第一控制信號經回饋至第一支路的第二回饋輸入。第二控制信號經回饋至第二支路的第二回饋輸入。
在一些實施例中,第一鎖存器包括第一支路中的第一反或閘、第一及閘、第二支路中的第二反或閘以及第二及閘。第一支路中的第一反或閘具有第一輸入、第二輸入以及輸出。所述第一輸入被配置以接收選擇信號的補碼。所述輸出被配置以輸出第一控 制信號。第一及閘具有第一支路的所述第一回饋輸入及所述第二回饋輸入及耦接至所述第一反或閘的所述第二輸入的輸出。第二支路中的第二反或閘具有第一輸入、第二輸入以及輸出。所述第一輸入被配置以接收選擇信號。所述輸出被配置以輸出第二控制信號。第二及閘,具有第二支路的所述第一回饋輸入及所述第二回饋輸入,及耦接至所述第二反或閘的所述第二輸入的輸出。
在一些實施例中,電源開關控制電路更包括第一反及閘、第二反及閘。第一反及閘,具有被配置以接收關閉信號的補碼的第一輸入、被配置以接收所述第一控制信號的第二輸入以及被配置以輸出所述第一控制信號的輸出。第二反及閘,具有被配置以接收關閉信號的補碼的第一輸入、被配置以接收第二控制信號的第二輸入以及被配置以輸出第二控制信號的輸出。
在一些實施例中,關閉信號包括對應於關閉模式的第一狀態及對應於功能模式的第二狀態。電源開關控制電路更包括第二鎖存電路。第二鎖存電路自第一鎖存電路接收第一控制信號及第二控制信號。第二鎖存電路被配置以回應於關閉模式中的關閉信號來鎖存選擇信號,且被配置以回應於功能模式中的第一控制信號及第二控制信號來鎖存選擇信號。
在一些實施例中,電源開關控制電路更包括第三及閘、第二支路中的第二反或閘以及第四及閘。第三及閘具有被配置以接收選擇信號的補碼的第一輸入、被配置以接收關閉信號的第二輸入以及耦接至所述第一反或閘的所述第一輸入的輸出。第二支路中的第二反或閘具有第一輸入、第二輸入以及輸出。所述第一輸入被配置以接收選擇信號。所述輸出被配置以輸出第二控制信號。第 四及閘具有被配置以接收選擇信號的第一輸入、被配置以接收關閉信號的第二輸入以及耦接至所述第二反或閘的所述第一輸入的輸出。
在一些實施例中,電源開關控制電路更包括第三及閘以及第四及閘。第三及閘具有被配置以接收第二控制信號的補碼的第一輸入、被配置以接收關閉信號的第二輸入以及耦接至所述第一反或閘的第三輸入的輸出。第四及閘具有被配置以接收第一控制信號的補碼的第一輸入、被配置以接收關閉信號的第二輸入以及耦接至所述第二反或閘的第三輸入的輸出。
在一些實施例中,所述第一及閘包括被配置以接收關閉信號的第三輸入。所述第二及閘包括被配置以接收關閉信號的第三輸入。
根據其他實施例,一種記憶體裝置包括:位元胞陣列,被配置以在第一電源域中或第二電源域中操作;字元線驅動器,耦接至位元胞陣列;輸入/輸出電路,耦接至位元胞陣列;以及供電軌,耦接至位元胞陣列。第一標頭開關將供電軌選擇性地耦接至對應於第一電源域的第一電源供應器。第二標頭開關將供電軌選擇性地耦接至對應於第二電源域的第二電源供應器。控制電路被配置以接收選擇信號及關閉信號。控制電路包括:第一鎖存電路,被配置以回應於選擇信號及關閉信號來向第一標頭開關及第二標頭開關輸出控制信號以分別將第一標頭開關及第二標頭開關選擇性地耦接至第一電源供應器及第二電源供應器。第二鎖存電路接收第一控制信號及第二控制信號且被配置以回應於關閉信號來鎖存選擇信號。
在一些實施例中,第一鎖存電路被配置以回應於關閉信號且不顧及選擇信號來向第一標頭開關及第二標頭開關輸出控制信號,以將第一標頭開關及第二標頭開關二者與第一電源供應器及第二電源供應器斷開。
在一些實施例中,第一鎖存電路被配置以回應於關閉信號及選擇信號來鎖存選擇信號。
在一些實施例中,記憶體裝置更包括位準移位器。位準移位器被配置以在第一電源域中接收選擇信號及關閉信號且在第三電源域中輸出選擇信號及關閉信號。
在一些實施例中,第二鎖存電路被配置以輸出第一電源域中的經鎖存的選擇信號。
根據其他實施例,一種方法包括:在第一電源域中接收關閉信號,以及將所述關閉信號位準移位至第三電源域。在第三電源域中將控制信號輸出至第一標頭開關及第二標頭開關,以在功能週期期間回應於選擇信號來分別將記憶體陣列選擇性地耦接至第一電源供應器及第二電源供應器。在第三電源域中將控制信號輸出至第一標頭開關及第二標頭開關,以在關閉週期期間將記憶體陣列與第一電源供應器及第二電源供應器二者斷開。在功能週期期間鎖存第一控制信號及第二控制信號。
在一些實施例中,第一控制信號及第二控制信號在功能週期期間藉由第一鎖存電路被鎖存,所述方法更包括:藉由第二鎖存電路鎖存在第一電壓位準下的電源域選擇信號。
在一些實施例中,所述方法更包括:在關閉模式期間回應於所接收的電源域選擇信號的變化來改變經鎖存的電源域選擇信 號。
前文概述若干實施例的特徵,使得所屬技術領域中具有通常知識者可更佳地理解本揭露內容的態樣。所屬技術領域中具有通常知識者應瞭解,其可易於使用本揭露內容作為設計或修改用於實現本文中所引入的實施例的相同目的及/或達成相同優點的其他過程及結構的基礎。所屬技術領域中具有通常知識者亦應認識到,此類等效構造並不脫離本揭露內容的精神及範疇,且所屬技術領域中具有通常知識者可在不脫離本揭露內容的精神及範疇的情況下在本文中作出各種改變、替代以及更改。
100:電源開關控制電路
102:第一電源域
104:第三電源域
112、116、LS:位準移位器
114、136、140、142、144、146、154、156、158、160:反相器
118:狀態鎖存器
120:設置輸入
122:重置輸入
124:第一回饋輸入
126:第二回饋輸入
128:第一輸出
130:第二輸出
132:第三輸出
134:第四輸出
138、152:反及閘
148、162:節點
150、164:標頭開關
166:輸出鎖存器
168:第一輸入
170:第二輸入
172:第三輸入
174:第四輸入
176:輸出
189:內部供電軌
AON_SELSRM_IN:接通信號
AON_SELSRM_OUT、ON_GD、ON_GM、SDB_VMAX2、SEL_VMAX2:信號
ON_GDB、ON_GMB:控制信號
SD:關閉信號
SD_VMAX2:第二關閉信號
VDD:第一電源電壓
VDDM:第二電源電壓
VDD_RDYB:就緒信號
VMAX2:第三電源域

Claims (9)

  1. 一種電源開關控制電路,包括:供電軌,被配置以將電源供應至記憶體陣列;第一標頭開關,用於將所述供電軌耦接至對應於第一電源域的第一電源供應器;第二標頭開關,用於將所述供電軌耦接至對應於第二電源域的第二電源供應器;控制電路,被配置以接收選擇信號及關閉信號,且被配置以回應於所述選擇信號及所述關閉信號向所述第一標頭開關及所述第二標頭開關輸出控制信號,以分別將所述第一標頭開關及所述第二標頭開關選擇性地耦接至所述第一電源供應器及所述第二電源供應器,其中所述控制電路被配置以回應於所述關閉信號且不顧及所述選擇信號向所述第一標頭開關及所述第二標頭開關輸出所述控制信號,以將所述第一標頭開關及所述第二標頭開關二者與所述第一電源供應器及所述第二電源供應器斷開;以及位準移位器,被配置以在所述第一電源域中接收所述選擇信號及所述關閉信號且在第三電源域中輸出所述選擇信號及所述關閉信號。
  2. 如申請專利範圍第1項所述的電源開關控制電路,更包括:多個所述第一標頭開關,被配置以回應於所述選擇信號將所述供電軌依序耦接至所述第一電源供應器;以及多個所述第二標頭開關,各自被配置以回應於所述選擇信號將所述供電軌依序耦接至所述第二電源供應器。
  3. 如申請專利範圍第1項所述的電源開關控制電路,其中所述控制信號包括分別用於控制所述第一標頭開關及所述第二標頭開關的第一控制信號及第二控制信號,所述電源開關控制電路更包括:第一鎖存電路,被配置以接收所述選擇信號且被配置以回應於所述選擇信號來輸出所述第一控制信號及所述第二控制信號;以及其中所述電源開關控制電路被配置以修改由所述第一鎖存電路回應於所述關閉信號而輸出的所述第一控制信號或所述第二控制信號中的一者。
  4. 如申請專利範圍第3項所述的電源開關控制電路,更包括:第一支路,被配置以產生所述第一控制信號;第二支路,被配置以產生所述第二控制信號;以及第一回饋迴路,其中所述第一控制信號經回饋至所述第二支路的第一回饋輸入,且所述第二控制信號經回饋至所述第一支路的第一回饋輸入。
  5. 如申請專利範圍第4項所述的電源開關控制電路,更包括:第二回饋迴路,其中所述第一控制信號經回饋至所述第一支路的第二回饋輸入,且所述第二控制信號經回饋至所述第二支路的第二回饋輸入。
  6. 如申請專利範圍第3項所述的電源開關控制電路,其中所述關閉信號包括對應於關閉模式的第一狀態及對應於功能模式 的第二狀態,且其中所述電源開關控制電路更包括:第二鎖存電路,自所述第一鎖存電路接收所述第一控制信號及所述第二控制信號,其中所述第二鎖存電路被配置以回應於所述關閉模式中的所述關閉信號來鎖存所述選擇信號,且被配置以回應於所述功能模式中的所述第一控制信號及所述第二控制信號來鎖存所述選擇信號。
  7. 一種記憶體裝置,包括:位元胞陣列,被配置以在第一電源域或第二電源域中操作;字元線驅動器,耦接至所述位元胞陣列;輸入/輸出電路,耦接至所述位元胞陣列;供電軌,耦接至所述位元胞陣列;第一標頭開關,用於將所述供電軌耦接至對應於所述第一電源域的第一電源供應器;第二標頭開關,用於將所述供電軌耦接至對應於所述第二電源域的第二電源供應器;控制電路,被配置以接收選擇信號及關閉信號,所述控制電路包括:第一鎖存電路,被配置以回應於所述選擇信號及所述關閉信號向所述第一標頭開關及所述第二標頭開關輸出控制信號,以分別將所述第一標頭開關及所述第二標頭開關選擇性地耦接至所述第一電源供應器及所述第二電源供應器,其中所述控制信號包括第一控制信號及第二控制信號;以及第二鎖存電路,接收所述第一控制信號及所述第二控制信號且被配置以回應於所述關閉信號來鎖存所述選擇信號。
  8. 如申請專利範圍第7項所述的記憶體裝置,其中所述第一鎖存電路被配置以回應於所述關閉信號且不顧及所述選擇信號來向所述第一標頭開關及所述第二標頭開關輸出所述控制信號,以將所述第一標頭開關及所述第二標頭開關二者與所述第一電源供應器及所述第二電源供應器斷開。
  9. 一種用於操作記憶體裝置的方法,包括:接收在第一電壓位準下的電源域選擇信號;使所述電源域選擇信號位準移位至較高電壓位準;將在所述較高電壓位準下的控制信號輸出至第一標頭開關及第二標頭開關,以在功能週期期間回應於所述電源域選擇信號來分別將記憶體陣列選擇性地耦接至第一電源供應器及第二電源供應器;將在所述較高電壓位準下的所述控制信號輸出至所述第一標頭開關及所述第二標頭開關,以在關閉週期期間將所述記憶體陣列與所述第一電源供應器及所述第二電源供應器二者斷開,其中所述控制信號包括第一控制信號及第二控制信號;以及在所述功能週期期間鎖存所述第一控制信號及所述第二控制信號。
TW108136539A 2018-10-12 2019-10-09 電源開關控制電路、記憶體裝置以及用於操作記憶體裝置的方法 TWI727455B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862744951P 2018-10-12 2018-10-12
US62/744,951 2018-10-12
US16/594,779 2019-10-07
US16/594,779 US11133039B2 (en) 2018-10-12 2019-10-07 Power switch control in a memory device

Publications (2)

Publication Number Publication Date
TW202032558A TW202032558A (zh) 2020-09-01
TWI727455B true TWI727455B (zh) 2021-05-11

Family

ID=70160297

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108136539A TWI727455B (zh) 2018-10-12 2019-10-09 電源開關控制電路、記憶體裝置以及用於操作記憶體裝置的方法

Country Status (3)

Country Link
US (1) US11133039B2 (zh)
KR (1) KR102317584B1 (zh)
TW (1) TWI727455B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110048708B (zh) * 2018-01-16 2022-10-04 中芯国际集成电路制造(北京)有限公司 电平位移器、集成电路和方法
US10924112B2 (en) * 2019-04-11 2021-02-16 Ememory Technology Inc. Bandgap reference circuit
US11521979B2 (en) * 2020-12-04 2022-12-06 Micron Technology, Inc. Power gating in a memory device
US11527289B2 (en) * 2021-03-12 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for programming memory

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6937094B2 (en) * 2002-11-22 2005-08-30 Powerwave Technologies, Inc. Systems and methods of dynamic bias switching for radio frequency power amplifiers
US20090039952A1 (en) * 2007-08-10 2009-02-12 Alice Wang System and Method for Auto-Power Gating Synthesis for Active Leakage Reduction
US20100254209A1 (en) * 2009-04-03 2010-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Ultra-Low Leakage Memory Architecture
US9335809B2 (en) * 2013-03-15 2016-05-10 Seagate Technology Llc Volatile memory storing system data during low power mode operation and monitoring the voltage supplied to the memory during low power mode
US20160336926A1 (en) * 2014-09-16 2016-11-17 Navitas Semiconductor Inc. Pulsed level shift and inverter circuits for gan devices

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6922370B2 (en) * 2003-12-11 2005-07-26 Texas Instruments Incorporated High performance SRAM device and method of powering-down the same
US7952910B2 (en) * 2007-02-02 2011-05-31 Oracle America, Inc. Memory device with split power switch
US7605644B2 (en) * 2007-05-03 2009-10-20 Arm Limited Integrated circuit power-on control and programmable comparator
US8922247B2 (en) * 2007-11-14 2014-12-30 Arm Limited Power controlling integrated circuit and retention switching circuit
US8305829B2 (en) * 2009-02-23 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same
US8885434B2 (en) * 2009-06-17 2014-11-11 Stmicroelectronics International N.V. Retention of data during stand-by mode
US8242826B2 (en) * 2010-04-12 2012-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Retention flip-flop
US8456140B2 (en) * 2010-07-14 2013-06-04 Arm Limited Power control apparatus and method for controlling a supply voltage for an associated circuit
US8724420B2 (en) * 2011-05-11 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM write assist apparatus
US9083342B2 (en) * 2013-08-19 2015-07-14 Taiwan Semiconductor Manufacturing Company Ltd. Circuit and method for power management
KR102252643B1 (ko) 2014-10-20 2021-05-17 삼성전자주식회사 시스템 온 칩의 전원 경로 제어기
US9997235B2 (en) * 2015-12-17 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor memory with respective power voltages for plurality of memory cells

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6937094B2 (en) * 2002-11-22 2005-08-30 Powerwave Technologies, Inc. Systems and methods of dynamic bias switching for radio frequency power amplifiers
US20090039952A1 (en) * 2007-08-10 2009-02-12 Alice Wang System and Method for Auto-Power Gating Synthesis for Active Leakage Reduction
US20100254209A1 (en) * 2009-04-03 2010-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Ultra-Low Leakage Memory Architecture
US9335809B2 (en) * 2013-03-15 2016-05-10 Seagate Technology Llc Volatile memory storing system data during low power mode operation and monitoring the voltage supplied to the memory during low power mode
US20160336926A1 (en) * 2014-09-16 2016-11-17 Navitas Semiconductor Inc. Pulsed level shift and inverter circuits for gan devices

Also Published As

Publication number Publication date
KR102317584B1 (ko) 2021-10-28
US20200118602A1 (en) 2020-04-16
TW202032558A (zh) 2020-09-01
US11133039B2 (en) 2021-09-28
KR20200042423A (ko) 2020-04-23

Similar Documents

Publication Publication Date Title
TWI727455B (zh) 電源開關控制電路、記憶體裝置以及用於操作記憶體裝置的方法
US10461747B2 (en) Low power clock gating circuit
US7365575B2 (en) Gated clock logic circuit
US7868677B2 (en) Low power flip-flop circuit
US10951200B2 (en) Clock circuit and method of operating the same
US20080238514A1 (en) Level-converted and clock-gated latch and sequential logic circuit having the same
JP2002158563A (ja) Cpフリップフロップ
US6864733B2 (en) Data-enabled static flip-flop circuit with no extra forward-path delay penalty
US7782093B2 (en) Integrated circuit and method of detecting a signal edge transition
US20050007837A1 (en) Clock generator for pseudo dual port memory
US10491197B2 (en) Flop circuit with integrated clock gating circuit
CN111048132B (zh) 电源开关控制电路、存储器器件和控制电源开关的方法
TWI792516B (zh) 記憶體系統及控制休眠操作的方法
TW202240580A (zh) 雙軌式電源切斷系統及方法
KR100535102B1 (ko) 컬럼 어드레스 전송 구조 및 방법
US7400542B2 (en) Control selection circuit and method for a semiconductor device
US8552762B2 (en) Low-power wire-or matching circuit
TWI667884B (zh) 時脈電路及操作其之方法
TWI425520B (zh) 用於記憶體元件之電源啟動/切斷序列機制
KR20060120776A (ko) 전력소비를 줄일 수 있는 반도체 디바이스의 전압 변환드라이버
KR20100056213A (ko) 레벨 변환 플립플롭
JP2001024499A (ja) Lsiデバイス