TWI719804B - 光學計量學之方法,電腦程式產品,計量學模組,目標設計檔案,景觀及目標的計量學量測 - Google Patents
光學計量學之方法,電腦程式產品,計量學模組,目標設計檔案,景觀及目標的計量學量測 Download PDFInfo
- Publication number
- TWI719804B TWI719804B TW109101242A TW109101242A TWI719804B TW I719804 B TWI719804 B TW I719804B TW 109101242 A TW109101242 A TW 109101242A TW 109101242 A TW109101242 A TW 109101242A TW I719804 B TWI719804 B TW I719804B
- Authority
- TW
- Taiwan
- Prior art keywords
- pupil
- measurement
- metrology
- landscape
- metric
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 216
- 238000005259 measurement Methods 0.000 title claims abstract description 198
- 238000013461 design Methods 0.000 title claims description 49
- 230000003287 optical effect Effects 0.000 title claims description 23
- 238000004590 computer program Methods 0.000 title claims description 4
- 210000001747 pupil Anatomy 0.000 claims description 230
- 238000004422 calculation algorithm Methods 0.000 claims description 39
- 230000000737 periodic effect Effects 0.000 claims description 23
- 238000005286 illumination Methods 0.000 claims description 21
- 230000010354 integration Effects 0.000 claims description 7
- 238000007619 statistical method Methods 0.000 claims description 3
- 238000003860 storage Methods 0.000 claims description 3
- 238000013139 quantization Methods 0.000 claims description 2
- 230000008569 process Effects 0.000 abstract description 99
- 230000035945 sensitivity Effects 0.000 abstract description 70
- 230000000694 effects Effects 0.000 abstract description 16
- 238000004458 analytical method Methods 0.000 abstract description 11
- 235000012431 wafers Nutrition 0.000 description 35
- 238000004088 simulation Methods 0.000 description 34
- 239000010410 layer Substances 0.000 description 32
- 230000010287 polarization Effects 0.000 description 20
- 230000008859 change Effects 0.000 description 18
- 238000003384 imaging method Methods 0.000 description 18
- 238000004364 calculation method Methods 0.000 description 15
- 238000005457 optimization Methods 0.000 description 15
- 238000005070 sampling Methods 0.000 description 14
- 230000006399 behavior Effects 0.000 description 12
- 238000012935 Averaging Methods 0.000 description 11
- 238000009472 formulation Methods 0.000 description 10
- 239000000203 mixture Substances 0.000 description 10
- 238000012549 training Methods 0.000 description 9
- 238000009826 distribution Methods 0.000 description 8
- 230000006872 improvement Effects 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 238000013507 mapping Methods 0.000 description 5
- 230000007547 defect Effects 0.000 description 4
- 230000033001 locomotion Effects 0.000 description 4
- 230000003595 spectral effect Effects 0.000 description 4
- 230000005540 biological transmission Effects 0.000 description 3
- 230000001419 dependent effect Effects 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 230000014509 gene expression Effects 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 238000000926 separation method Methods 0.000 description 3
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 2
- 238000012152 algorithmic method Methods 0.000 description 2
- 230000008901 benefit Effects 0.000 description 2
- 238000000354 decomposition reaction Methods 0.000 description 2
- 230000005684 electric field Effects 0.000 description 2
- 239000011229 interlayer Substances 0.000 description 2
- 238000000691 measurement method Methods 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 230000035515 penetration Effects 0.000 description 2
- 238000012827 research and development Methods 0.000 description 2
- 230000011218 segmentation Effects 0.000 description 2
- 229910052710 silicon Inorganic materials 0.000 description 2
- 239000010703 silicon Substances 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 238000001228 spectrum Methods 0.000 description 2
- 230000009466 transformation Effects 0.000 description 2
- 230000003044 adaptive effect Effects 0.000 description 1
- 239000013590 bulk material Substances 0.000 description 1
- 238000012512 characterization method Methods 0.000 description 1
- 238000004140 cleaning Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000009795 derivation Methods 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000018109 developmental process Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 238000013213 extrapolation Methods 0.000 description 1
- 238000009499 grossing Methods 0.000 description 1
- 238000001459 lithography Methods 0.000 description 1
- 238000012067 mathematical method Methods 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 230000010355 oscillation Effects 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000002360 preparation method Methods 0.000 description 1
- 238000007639 printing Methods 0.000 description 1
- 238000011002 quantification Methods 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 238000011084 recovery Methods 0.000 description 1
- 238000011160 research Methods 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 239000000758 substrate Substances 0.000 description 1
- 230000009897 systematic effect Effects 0.000 description 1
- 230000001131 transforming effect Effects 0.000 description 1
- 238000012795 verification Methods 0.000 description 1
- 235000001892 vitamin D2 Nutrition 0.000 description 1
- 239000002023 wood Substances 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F9/00—Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
- G03F9/70—Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
- G03F9/7003—Alignment type or strategy, e.g. leveling, global alignment
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
- G03F7/70625—Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/70605—Workpiece metrology
- G03F7/70616—Monitoring the printed patterns
- G03F7/70633—Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/10—Measuring as part of the manufacturing process
- H01L22/12—Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L22/00—Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
- H01L22/20—Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Length Measuring Devices By Optical Means (AREA)
- Testing Of Optical Devices Or Fibers (AREA)
Applications Claiming Priority (4)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| US201462083891P | 2014-11-25 | 2014-11-25 | |
| US62/083,891 | 2014-11-25 | ||
| US201562100384P | 2015-01-06 | 2015-01-06 | |
| US62/100,384 | 2015-01-06 |
Publications (2)
| Publication Number | Publication Date |
|---|---|
| TW202018836A TW202018836A (zh) | 2020-05-16 |
| TWI719804B true TWI719804B (zh) | 2021-02-21 |
Family
ID=56075006
Family Applications (2)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| TW109101242A TWI719804B (zh) | 2014-11-25 | 2015-11-25 | 光學計量學之方法,電腦程式產品,計量學模組,目標設計檔案,景觀及目標的計量學量測 |
| TW104139220A TWI711096B (zh) | 2014-11-25 | 2015-11-25 | 光學計量學之方法,電腦程式產品,及計量學模組 |
Family Applications After (1)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| TW104139220A TWI711096B (zh) | 2014-11-25 | 2015-11-25 | 光學計量學之方法,電腦程式產品,及計量學模組 |
Country Status (8)
| Country | Link |
|---|---|
| US (1) | US10831108B2 (enExample) |
| JP (3) | JP6770958B2 (enExample) |
| KR (1) | KR102269514B1 (enExample) |
| CN (2) | CN107078074B (enExample) |
| IL (1) | IL251972B (enExample) |
| SG (1) | SG11201703585RA (enExample) |
| TW (2) | TWI719804B (enExample) |
| WO (1) | WO2016086056A1 (enExample) |
Families Citing this family (72)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| EP3221897A1 (en) | 2014-09-08 | 2017-09-27 | The Research Foundation Of State University Of New York | Metallic gratings and measurement methods thereof |
| CN110553602B (zh) | 2014-11-26 | 2021-10-26 | Asml荷兰有限公司 | 度量方法、计算机产品和系统 |
| CN112859541A (zh) | 2015-05-19 | 2021-05-28 | 科磊股份有限公司 | 光学系统 |
| US9995689B2 (en) * | 2015-05-22 | 2018-06-12 | Nanometrics Incorporated | Optical metrology using differential fitting |
| CN107924137B (zh) * | 2015-06-17 | 2021-03-05 | Asml荷兰有限公司 | 基于配置方案间的一致性的配置方案选择 |
| WO2017099843A1 (en) | 2015-12-08 | 2017-06-15 | Kla-Tencor Corporation | Control of amplitude and phase of diffraction orders using polarizing targets and polarized illumination |
| WO2017102304A1 (en) * | 2015-12-17 | 2017-06-22 | Asml Netherlands B.V. | Adjustment of a metrology apparatus or a measurement thereby based on a characteristic of a target measured |
| KR102738803B1 (ko) | 2016-02-24 | 2024-12-04 | 케이엘에이 코포레이션 | 광학 계측의 정확도 개선 |
| WO2017146785A1 (en) | 2016-02-25 | 2017-08-31 | Kla-Tencor Corporation | Analyzing root causes of process variation in scatterometry metrology |
| KR102188711B1 (ko) | 2016-02-26 | 2020-12-09 | 에이에스엠엘 네델란즈 비.브이. | 구조체를 측정하는 방법, 검사 장치, 리소그래피 시스템 및 디바이스 제조 방법 |
| IL262114B2 (en) | 2016-04-22 | 2023-04-01 | Asml Netherlands Bv | Determining the stack difference and correcting with the help of the stack difference |
| WO2017198422A1 (en) * | 2016-05-17 | 2017-11-23 | Asml Netherlands B.V. | Metrology robustness based on through-wavelength similarity |
| IL297496B2 (en) | 2016-07-15 | 2025-03-01 | Asml Netherlands Bv | Method and device for designing a target field for metrology |
| US10578982B2 (en) | 2016-08-17 | 2020-03-03 | Asml Netherlands B.V. | Substrate measurement recipe design of, or for, a target including a latent image |
| KR102265164B1 (ko) * | 2016-09-27 | 2021-06-15 | 에이에스엠엘 네델란즈 비.브이. | 계측 레시피 선택 |
| EP3299890A1 (en) * | 2016-09-27 | 2018-03-28 | ASML Netherlands B.V. | Metrology recipe selection |
| WO2018063625A1 (en) | 2016-09-28 | 2018-04-05 | Kla-Tencor Corporation | Direct focusing with image binning in metrology tools |
| US10527952B2 (en) * | 2016-10-25 | 2020-01-07 | Kla-Tencor Corporation | Fault discrimination and calibration of scatterometry overlay targets |
| JP6880184B2 (ja) | 2016-11-10 | 2021-06-02 | エーエスエムエル ネザーランズ ビー.ブイ. | スタック差を使用した設計及び補正 |
| JP6877541B2 (ja) | 2016-11-14 | 2021-05-26 | ケーエルエー コーポレイション | 一体型メトロロジツールを有する機能性が強化されたリソグラフィシステム |
| US10496781B2 (en) * | 2016-12-19 | 2019-12-03 | Kla Tencor Corporation | Metrology recipe generation using predicted metrology images |
| US10824079B2 (en) * | 2017-01-03 | 2020-11-03 | Kla-Tencor Corporation | Diffraction based overlay scatterometry |
| FR3062516B1 (fr) | 2017-01-30 | 2019-04-12 | Commissariat A L'energie Atomique Et Aux Energies Alternatives | Procede de mesure du desalignement entre une premiere et une seconde zones de gravure |
| WO2018217232A1 (en) * | 2017-05-22 | 2018-11-29 | Kla-Tencor Corporation | Zonal analysis for recipe optimization and measurement |
| US10996567B2 (en) | 2017-06-14 | 2021-05-04 | Asml Netherlands B.V. | Lithographic apparatus and method |
| US11112369B2 (en) * | 2017-06-19 | 2021-09-07 | Kla-Tencor Corporation | Hybrid overlay target design for imaging-based overlay and scatterometry-based overlay |
| WO2019010325A1 (en) * | 2017-07-06 | 2019-01-10 | Kla-Tencor Corporation | ESTIMATION OF AMPLITUDE AND PHASE ASYMMETRY IN IMAGING TECHNOLOGY TO OBTAIN HIGH PRECISION IN RECOVERY METROLOGY |
| US10401738B2 (en) | 2017-08-02 | 2019-09-03 | Kla-Tencor Corporation | Overlay metrology using multiple parameter configurations |
| JP6942555B2 (ja) * | 2017-08-03 | 2021-09-29 | 東京エレクトロン株式会社 | 基板処理方法、コンピュータ記憶媒体及び基板処理システム |
| US10627720B2 (en) | 2017-08-18 | 2020-04-21 | Globalfoundries Inc. | Overlay mark structures |
| CN111052328B (zh) * | 2017-08-30 | 2021-08-03 | 科磊股份有限公司 | 根据工艺变化的计量测量参数的快速调整 |
| US10699969B2 (en) | 2017-08-30 | 2020-06-30 | Kla-Tencor Corporation | Quick adjustment of metrology measurement parameters according to process variation |
| IL273145B2 (en) * | 2017-09-11 | 2024-03-01 | Asml Netherlands Bv | Metrology in lithographic processes |
| EP3462239A1 (en) * | 2017-09-27 | 2019-04-03 | ASML Netherlands B.V. | Metrology in lithographic processes |
| US10565697B2 (en) * | 2017-10-22 | 2020-02-18 | Kla-Tencor Corporation | Utilizing overlay misregistration error estimations in imaging overlay metrology |
| US11199506B2 (en) * | 2018-02-21 | 2021-12-14 | Applied Materials Israel Ltd. | Generating a training set usable for examination of a semiconductor specimen |
| WO2019182637A1 (en) | 2018-03-19 | 2019-09-26 | Kla-Tencor Corporation | Overlay measurement using multiple wavelengths |
| US12416580B2 (en) * | 2018-05-07 | 2025-09-16 | Unm Rainforest Innovations | Method and system for in-line optical scatterometry |
| KR102586405B1 (ko) * | 2018-06-14 | 2023-10-10 | 노바 엘티디. | 반도체 제조용 측정 및 공정 제어 |
| US10962951B2 (en) | 2018-06-20 | 2021-03-30 | Kla-Tencor Corporation | Process and metrology control, process indicators and root cause analysis tools based on landscape information |
| NL2021852A (en) | 2018-08-01 | 2018-11-09 | Asml Netherlands Bv | Metrology apparatus and method for determining a characteristic of one or more structures on a substrate |
| US11410111B1 (en) * | 2018-08-08 | 2022-08-09 | Wells Fargo Bank, N.A. | Generating predicted values based on data analysis using machine learning |
| US11118903B2 (en) * | 2018-10-17 | 2021-09-14 | Kla Corporation | Efficient illumination shaping for scatterometry overlay |
| SG11202104681RA (en) | 2018-11-21 | 2021-06-29 | Kla Tencor Corp | Single cell grey scatterometry overlay targets and their measurement using varying illumination parameter(s) |
| US11119417B2 (en) * | 2018-11-21 | 2021-09-14 | Kla-Tencor Corporation | Single cell grey scatterometry overlay targets and their measurement using varying illumination parameter(s) |
| US11062928B2 (en) | 2019-10-07 | 2021-07-13 | Kla Corporation | Process optimization using design of experiments and response surface models |
| US11249400B2 (en) | 2018-12-14 | 2022-02-15 | Kla Corporation | Per-site residuals analysis for accurate metrology measurements |
| US11333982B2 (en) * | 2019-01-28 | 2022-05-17 | Kla Corporation | Scaling metric for quantifying metrology sensitivity to process variation |
| WO2020176117A1 (en) | 2019-02-22 | 2020-09-03 | Kla-Tencor Corporation | Method of measuring misregistration of semiconductor devices |
| CN114174927B (zh) * | 2019-07-04 | 2025-05-13 | Asml荷兰有限公司 | 光刻工艺及关联设备的子场控制 |
| KR102517587B1 (ko) * | 2019-07-10 | 2023-04-03 | 케이엘에이 코포레이션 | 데이터 기반 오정렬 파라미터 구성 및 측정 시스템 및 방법 |
| US11360397B2 (en) * | 2019-09-17 | 2022-06-14 | Kla Corporation | System and method for application of harmonic detectivity as a quality indicator for imaging-based overlay measurements |
| CN114556223A (zh) * | 2019-10-14 | 2022-05-27 | Asml控股股份有限公司 | 量测标记结构和确定量测标记结构的方法 |
| US12399120B2 (en) | 2019-11-01 | 2025-08-26 | Unm Rainforest Innovations | In-line angular optical multi-point scatterometry for nanomanufacturing systems |
| KR20220107006A (ko) * | 2019-11-28 | 2022-08-01 | 케이엘에이 코포레이션 | 계측 랜드스케이프에 기초한 계측 최적화를 위한 시스템 및 방법 |
| US12283503B2 (en) * | 2020-07-22 | 2025-04-22 | Applied Materials, Inc. | Substrate measurement subsystem |
| USD977504S1 (en) | 2020-07-22 | 2023-02-07 | Applied Materials, Inc. | Portion of a display panel with a graphical user interface |
| US11688616B2 (en) | 2020-07-22 | 2023-06-27 | Applied Materials, Inc. | Integrated substrate measurement system to improve manufacturing process performance |
| US11454894B2 (en) * | 2020-09-14 | 2022-09-27 | Kla Corporation | Systems and methods for scatterometric single-wavelength measurement of misregistration and amelioration thereof |
| US20220357674A1 (en) * | 2021-05-04 | 2022-11-10 | Kla Corporation | Oblique illumination for overlay metrology |
| US12406891B2 (en) | 2021-09-30 | 2025-09-02 | International Business Machines Corporation | Characterization of asymmetric material deposition for metrology |
| WO2023096704A1 (en) * | 2021-11-27 | 2023-06-01 | Kla Corporation | Improved targets for diffraction-based overlay error metrology |
| US12235624B2 (en) | 2021-12-21 | 2025-02-25 | Applied Materials, Inc. | Methods and mechanisms for adjusting process chamber parameters during substrate manufacturing |
| US12148647B2 (en) | 2022-01-25 | 2024-11-19 | Applied Materials, Inc. | Integrated substrate measurement system |
| US12339645B2 (en) | 2022-01-25 | 2025-06-24 | Applied Materials, Inc. | Estimation of chamber component conditions using substrate measurements |
| US12216455B2 (en) | 2022-01-25 | 2025-02-04 | Applied Materials, Inc. | Chamber component condition estimation using substrate measurements |
| CN115327857A (zh) * | 2022-05-30 | 2022-11-11 | 上海华力集成电路制造有限公司 | 一种量测方法、激光套刻装置、计算机存储介质及模组 |
| IL293633B2 (en) * | 2022-06-06 | 2024-06-01 | Nova Ltd | A system and method for building a library and using it in measurements on designed buildings |
| US12092966B2 (en) * | 2022-11-23 | 2024-09-17 | Kla Corporation | Device feature specific edge placement error (EPE) |
| US20240337953A1 (en) * | 2023-04-04 | 2024-10-10 | Kla Corporation | System and method for tracking real-time position for scanning overlay metrology |
| US20240402615A1 (en) * | 2023-06-02 | 2024-12-05 | Kla Corporation | Single grab pupil landscape via broadband illumination |
| EP4538794A1 (en) * | 2023-10-13 | 2025-04-16 | ASML Netherlands B.V. | Metrology method and associated metrology device |
Citations (5)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US20120022836A1 (en) * | 2010-07-22 | 2012-01-26 | Tokyo Electron Limited | Method for automated determination of an optimally parameterized scatterometry model |
| TW201314174A (zh) * | 2011-06-20 | 2013-04-01 | Tokyo Electron Ltd | 利用光學臨界尺寸計量之結構分析用光學參數模型之最佳化方法 |
| TW201329417A (zh) * | 2011-10-31 | 2013-07-16 | Tokyo Electron Ltd | 用於計量之以流程變異為基礎的模型最佳化 |
| TW201346214A (zh) * | 2012-03-28 | 2013-11-16 | Tokyo Electron Ltd | 基於光譜靈敏度之模型優化方法 |
| WO2014062972A1 (en) * | 2012-10-18 | 2014-04-24 | Kla-Tencor Corporation | Symmetric target design in scatterometry overlay metrology |
Family Cites Families (32)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US7317531B2 (en) | 2002-12-05 | 2008-01-08 | Kla-Tencor Technologies Corporation | Apparatus and methods for detecting overlay errors using scatterometry |
| US7330279B2 (en) | 2002-07-25 | 2008-02-12 | Timbre Technologies, Inc. | Model and parameter selection for optical metrology |
| US7352453B2 (en) | 2003-01-17 | 2008-04-01 | Kla-Tencor Technologies Corporation | Method for process optimization and control by comparison between 2 or more measured scatterometry signals |
| KR101682838B1 (ko) | 2005-11-18 | 2016-12-12 | 케이엘에이-텐코 코포레이션 | 검사 데이터와 조합하여 설계 데이터를 활용하는 방법 및 시스템 |
| US7528941B2 (en) | 2006-06-01 | 2009-05-05 | Kla-Tencor Technolgies Corporation | Order selected overlay metrology |
| US7573584B2 (en) * | 2006-09-25 | 2009-08-11 | Asml Netherlands B.V. | Method and apparatus for angular-resolved spectroscopic lithography characterization |
| US7656518B2 (en) * | 2007-03-30 | 2010-02-02 | Asml Netherlands B.V. | Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus |
| NL2006700A (en) * | 2010-06-04 | 2011-12-06 | Asml Netherlands Bv | Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus. |
| KR101793538B1 (ko) * | 2010-07-19 | 2017-11-03 | 에이에스엠엘 네델란즈 비.브이. | 오버레이 오차를 결정하는 장치 및 방법 |
| WO2012062858A1 (en) * | 2010-11-12 | 2012-05-18 | Asml Netherlands B.V. | Metrology method and apparatus, lithographic system and device manufacturing method |
| EP2694983B1 (en) * | 2011-04-06 | 2020-06-03 | KLA-Tencor Corporation | Method and system for providing a quality metric for improved process control |
| US8681413B2 (en) | 2011-06-27 | 2014-03-25 | Kla-Tencor Corporation | Illumination control |
| NL2009294A (en) * | 2011-08-30 | 2013-03-04 | Asml Netherlands Bv | Method and apparatus for determining an overlay error. |
| US9329033B2 (en) * | 2012-09-05 | 2016-05-03 | Kla-Tencor Corporation | Method for estimating and correcting misregistration target inaccuracy |
| JP5992110B2 (ja) * | 2012-11-05 | 2016-09-14 | エーエスエムエル ネザーランズ ビー.ブイ. | ミクロ構造の非対称性を測定する方法および装置、位置測定方法、位置測定装置、リソグラフィ装置およびデバイス製造方法 |
| US10242290B2 (en) * | 2012-11-09 | 2019-03-26 | Kla-Tencor Corporation | Method, system, and user interface for metrology target characterization |
| NL2011816A (en) * | 2012-11-30 | 2014-06-04 | Asml Netherlands Bv | Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method. |
| US9341769B2 (en) | 2012-12-17 | 2016-05-17 | Kla-Tencor Corporation | Spectral control system |
| US9512985B2 (en) | 2013-02-22 | 2016-12-06 | Kla-Tencor Corporation | Systems for providing illumination in optical metrology |
| US9910953B2 (en) * | 2013-03-04 | 2018-03-06 | Kla-Tencor Corporation | Metrology target identification, design and verification |
| WO2014138522A1 (en) * | 2013-03-08 | 2014-09-12 | Kla-Tencor Corporation | Pupil plane calibration for scatterometry overlay measurement |
| US9909982B2 (en) * | 2013-03-08 | 2018-03-06 | Kla-Tencor Corporation | Pupil plane calibration for scatterometry overlay measurement |
| KR102124204B1 (ko) * | 2013-08-07 | 2020-06-18 | 에이에스엠엘 네델란즈 비.브이. | 메트롤로지 방법 및 장치, 리소그래피 시스템 및 디바이스 제조 방법 |
| WO2015031337A1 (en) * | 2013-08-27 | 2015-03-05 | Kla-Tencor Corporation | Removing process-variation-related inaccuracies from scatterometry measurements |
| US9518916B1 (en) * | 2013-10-18 | 2016-12-13 | Kla-Tencor Corporation | Compressive sensing for metrology |
| WO2015089231A1 (en) * | 2013-12-11 | 2015-06-18 | Kla-Tencor Corporation | Target and process sensitivity analysis to requirements |
| US10365230B1 (en) * | 2014-03-19 | 2019-07-30 | Kla-Tencor Corporation | Scatterometry overlay based on reflection peak locations |
| US9851300B1 (en) * | 2014-04-04 | 2017-12-26 | Kla-Tencor Corporation | Decreasing inaccuracy due to non-periodic effects on scatterometric signals |
| WO2016037003A1 (en) * | 2014-09-03 | 2016-03-10 | Kla-Tencor Corporation | Optimizing the utilization of metrology tools |
| WO2016123552A1 (en) * | 2015-01-30 | 2016-08-04 | Kla-Tencor Corporation | Device metrology targets and methods |
| US9903711B2 (en) * | 2015-04-06 | 2018-02-27 | KLA—Tencor Corporation | Feed forward of metrology data in a metrology system |
| WO2017099843A1 (en) * | 2015-12-08 | 2017-06-15 | Kla-Tencor Corporation | Control of amplitude and phase of diffraction orders using polarizing targets and polarized illumination |
-
2015
- 2015-11-24 CN CN201580060081.7A patent/CN107078074B/zh active Active
- 2015-11-24 KR KR1020177017380A patent/KR102269514B1/ko active Active
- 2015-11-24 SG SG11201703585RA patent/SG11201703585RA/en unknown
- 2015-11-24 CN CN202011580664.1A patent/CN112698551B/zh active Active
- 2015-11-24 WO PCT/US2015/062523 patent/WO2016086056A1/en not_active Ceased
- 2015-11-24 JP JP2017528095A patent/JP6770958B2/ja active Active
- 2015-11-25 TW TW109101242A patent/TWI719804B/zh active
- 2015-11-25 TW TW104139220A patent/TWI711096B/zh active
-
2016
- 2016-06-30 US US15/198,902 patent/US10831108B2/en active Active
-
2017
- 2017-04-27 IL IL251972A patent/IL251972B/en unknown
-
2020
- 2020-09-28 JP JP2020162494A patent/JP7023337B2/ja active Active
-
2022
- 2022-02-08 JP JP2022018205A patent/JP2022065040A/ja active Pending
Patent Citations (5)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US20120022836A1 (en) * | 2010-07-22 | 2012-01-26 | Tokyo Electron Limited | Method for automated determination of an optimally parameterized scatterometry model |
| TW201314174A (zh) * | 2011-06-20 | 2013-04-01 | Tokyo Electron Ltd | 利用光學臨界尺寸計量之結構分析用光學參數模型之最佳化方法 |
| TW201329417A (zh) * | 2011-10-31 | 2013-07-16 | Tokyo Electron Ltd | 用於計量之以流程變異為基礎的模型最佳化 |
| TW201346214A (zh) * | 2012-03-28 | 2013-11-16 | Tokyo Electron Ltd | 基於光譜靈敏度之模型優化方法 |
| WO2014062972A1 (en) * | 2012-10-18 | 2014-04-24 | Kla-Tencor Corporation | Symmetric target design in scatterometry overlay metrology |
Also Published As
| Publication number | Publication date |
|---|---|
| JP2017537317A (ja) | 2017-12-14 |
| CN107078074B (zh) | 2021-05-25 |
| SG11201703585RA (en) | 2017-06-29 |
| TW202018836A (zh) | 2020-05-16 |
| WO2016086056A1 (en) | 2016-06-02 |
| US10831108B2 (en) | 2020-11-10 |
| KR102269514B1 (ko) | 2021-06-25 |
| CN107078074A (zh) | 2017-08-18 |
| JP2022065040A (ja) | 2022-04-26 |
| JP6770958B2 (ja) | 2020-10-21 |
| KR20170088403A (ko) | 2017-08-01 |
| IL251972A0 (en) | 2017-06-29 |
| JP7023337B2 (ja) | 2022-02-21 |
| CN112698551A (zh) | 2021-04-23 |
| TW201633419A (zh) | 2016-09-16 |
| US20160313658A1 (en) | 2016-10-27 |
| IL251972B (en) | 2022-03-01 |
| JP2020201293A (ja) | 2020-12-17 |
| CN112698551B (zh) | 2024-04-23 |
| TWI711096B (zh) | 2020-11-21 |
Similar Documents
| Publication | Publication Date | Title |
|---|---|---|
| TWI719804B (zh) | 光學計量學之方法,電腦程式產品,計量學模組,目標設計檔案,景觀及目標的計量學量測 | |
| TWI731038B (zh) | 光學計量之準確度提升 | |
| TWI631314B (zh) | 利用光學臨界尺寸(ocd)計量之結構分析用於光學參數模型之最佳化方法、非暫時性之機器可存取儲存媒體及用以產生所模擬繞射信號以利用光學計量判定用以在晶圓上製造結構之晶圓塗覆的程序參數之系統 | |
| KR101281301B1 (ko) | 산란 측정 계측 대상물 설계 최적화 | |
| TWI733150B (zh) | 多重圖案化參數之量測 | |
| TWI631309B (zh) | 用於光學度量衡之高度相關參數的相關性之動態移除 | |
| TWI639060B (zh) | 自散射量測移除製程變化相關之不準確 | |
| KR20160011654A (ko) | 파라미터 추적을 위한 계측 시스템 최적화 | |
| CN106796105A (zh) | 多重图案化工艺的度量 | |
| WO2015089231A1 (en) | Target and process sensitivity analysis to requirements | |
| TW201432482A (zh) | 對於晶圓響應之數値模擬中之連續極限的解析延拓 | |
| Figueiro et al. | Advanced module for model parameter extraction using global optimization and sensitivity analysis for electron beam proximity effect correction |