TWI708353B - 形成互連及形成半導體結構的方法 - Google Patents
形成互連及形成半導體結構的方法 Download PDFInfo
- Publication number
- TWI708353B TWI708353B TW106117051A TW106117051A TWI708353B TW I708353 B TWI708353 B TW I708353B TW 106117051 A TW106117051 A TW 106117051A TW 106117051 A TW106117051 A TW 106117051A TW I708353 B TWI708353 B TW I708353B
- Authority
- TW
- Taiwan
- Prior art keywords
- equal
- dielectric
- width
- substrate
- layer
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims abstract description 136
- 239000004065 semiconductor Substances 0.000 title claims description 19
- 125000006850 spacer group Chemical group 0.000 claims description 83
- 239000000758 substrate Substances 0.000 claims description 51
- 238000005530 etching Methods 0.000 claims description 39
- 229910052751 metal Inorganic materials 0.000 claims description 25
- 239000004020 conductor Substances 0.000 claims description 24
- 238000000227 grinding Methods 0.000 claims description 5
- 238000000059 patterning Methods 0.000 abstract description 23
- 238000013461 design Methods 0.000 abstract description 4
- 238000000926 separation method Methods 0.000 abstract 1
- 239000010410 layer Substances 0.000 description 141
- 239000003989 dielectric material Substances 0.000 description 19
- 239000000463 material Substances 0.000 description 13
- 229910052710 silicon Inorganic materials 0.000 description 13
- 239000010703 silicon Substances 0.000 description 13
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 12
- 238000004519 manufacturing process Methods 0.000 description 11
- 230000008901 benefit Effects 0.000 description 10
- 229910052581 Si3N4 Inorganic materials 0.000 description 9
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 9
- 239000011810 insulating material Substances 0.000 description 9
- 239000002184 metal Substances 0.000 description 9
- 238000000206 photolithography Methods 0.000 description 9
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 9
- 229910052814 silicon oxide Inorganic materials 0.000 description 9
- 229910052755 nonmetal Inorganic materials 0.000 description 7
- BWSIKGOGLDNQBZ-LURJTMIESA-N (2s)-2-(methoxymethyl)pyrrolidin-1-amine Chemical compound COC[C@@H]1CCCN1N BWSIKGOGLDNQBZ-LURJTMIESA-N 0.000 description 6
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 6
- 238000000231 atomic layer deposition Methods 0.000 description 6
- 238000005229 chemical vapour deposition Methods 0.000 description 6
- 238000000151 deposition Methods 0.000 description 6
- 230000008021 deposition Effects 0.000 description 6
- 230000003647 oxidation Effects 0.000 description 6
- 238000007254 oxidation reaction Methods 0.000 description 6
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 5
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 5
- 229910052802 copper Inorganic materials 0.000 description 5
- 239000010949 copper Substances 0.000 description 5
- 238000005516 engineering process Methods 0.000 description 5
- 238000005429 filling process Methods 0.000 description 5
- 239000012212 insulator Substances 0.000 description 5
- 238000001020 plasma etching Methods 0.000 description 5
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 5
- 239000000126 substance Substances 0.000 description 5
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 5
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 4
- 230000005540 biological transmission Effects 0.000 description 4
- 239000003990 capacitor Substances 0.000 description 4
- 238000005520 cutting process Methods 0.000 description 4
- 238000001459 lithography Methods 0.000 description 4
- 229920002120 photoresistant polymer Polymers 0.000 description 4
- 239000005368 silicate glass Substances 0.000 description 4
- UONOETXJSWQNOL-UHFFFAOYSA-N tungsten carbide Chemical compound [W+]#[C-] UONOETXJSWQNOL-UHFFFAOYSA-N 0.000 description 4
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 3
- 230000015556 catabolic process Effects 0.000 description 3
- 238000003631 wet chemical etching Methods 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 230000000694 effects Effects 0.000 description 2
- 238000009713 electroplating Methods 0.000 description 2
- 229910052732 germanium Inorganic materials 0.000 description 2
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 2
- 238000001465 metallisation Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 108091081062 Repeated sequence (DNA) Proteins 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 238000007687 exposure technique Methods 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 239000000615 nonconductor Substances 0.000 description 1
- 150000002843 nonmetals Chemical class 0.000 description 1
- 238000005240 physical vapour deposition Methods 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000004528 spin coating Methods 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- -1 transistors Substances 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76816—Aspects relating to the layout of the pattern or to the size of vias or trenches
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0335—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0337—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0334—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/0338—Process specially adapted to improve the resolution of the mask
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/7684—Smoothing; Planarisation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/528—Geometry or layout of the interconnection structure
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Geometry (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
本揭露揭示了積體電路以二維(two-dimensional;2-D)與一維(one-dimensional;1-D)圖案佈局互連。本揭露提供經由y方向上之二維互連來連接在一維圖案佈局之x方向上之偶數線或奇數線的方法。根據裝置設計需要,二維互連可垂直於或不垂直於偶數線或奇數線。相比於在一維圖案化製程中使用之常規自動對準多圖案化(self-aligned multiple patterning;SAMP)製程,提供了二維圖案化之自由度。本文描述之二維圖案化提供了與x及y兩者方向上之臨界尺寸匹配之線寬。在一維線之間或在二維互連與一維線之尾端之間的間距可保持為常數及最小值。
Description
本發明實施例是關於形成積體電路二維互連以及半導體結構的方法。
一般而言,積體電路(integrated circuits;ICs)包含在基板上形成之個別裝置,諸如電晶體、電容器等等。前段製程(Front-end-of-line;FEOL)為IC製造之第一部分,而個別裝置(電晶體、電容器、電阻器等等)在晶圓中被圖案化。後段製程(Back end of line;BEOL)為IC製造之第二部分,而個別裝置在晶圓上與電線或金屬層互相連接。它包括互連接觸、金屬層及晶片至包裝連接之接合部位。
隨著技術發展,IC特性在於相對與前一代裝置之尺寸要求不斷降低。然而,這種尺寸上之減小受到在裝置之製造中所使用之光微影工具所限制。由光微影工具製造之特徵及間距之最小尺寸取決於工具之解析能力。替代方法可存在以增大解析能力及降低最小節距(例如,特徵尺寸與特
徵之間之間距的寬度的總和);然而,此等方法亦不能提供足夠的臨界尺寸。再者,減小圖案大小之方法通常效率低,例如增加裝置製造之成本及時間。
根據本揭露多個實施例,一種形成互連的方法包含在基板上方形成具有複數個第一側壁之複數個介電結構,其中介電結構之至少一第一及第二介電結構彼此平行;移除第一介電結構之一部分以形成複數個第二側壁,第二側壁在互連的上視角上與第一側壁彼此不平行,其中移除部分具有第一寬度;在第一及第二側壁上形成間隔物;移除介電結構;在不由位於第一及第二側壁上的間隔物保護之基板之區域中形成複數個溝槽,其中溝槽具有等於或小於5奈米並等於第一寬度的三分之一的第二寬度;以及在溝槽中設置導電材料以形成複數個導線,其中導線之至少一第一及第二導線彼此物理接觸並相交。
根據本揭露多個實施例,一種形成半導體結構的方法包含提供基板,基板具有硬遮罩層;於硬遮罩層上形成非金屬元件,其中非金屬元件在半導體結構的上視角上彼此平行;蝕刻非金屬元件中之至少一以形成至少一非金屬元件的第一及第二部分,其中第一與第二部分之間的距離相等於第一尺寸;於基板上設置間隔物層並蝕刻間隔物層以形成位於非金屬元件的側壁的間隔物,其中間隔物的寬度相等於第二尺寸,第二尺寸介乎5奈米與30奈米之間並相等於第一尺
寸的三分之一;移除非金屬元件;以間隔物為遮罩元件而蝕刻硬遮罩層及基板以形成第一及第二溝槽,其中第一及第二溝槽相交並分別沿第一及第二方向延伸,第一及第二方向在上視角上彼此相異;以及在第一及第二溝槽中設置導電材料以分別形成第一及第二導線,其中第一及第二導線彼此物理接觸。
根據本揭露多個實施例,一種形成半導體結構的方法包含於基板上形成鰭片形狀結構,其中鰭片形狀結構彼此平行;蝕刻鰭片形狀結構中之至少一以形成至少一鰭片形狀結構的第一及第二部分;於鰭片形狀結構的側壁形成間隔物,其中間隔物的寬度相等於第二尺寸,第二尺寸等於或小於5奈米並相等於第一尺寸的三分之一;以間隔物為遮罩元件而蝕刻基板以形成第一及第二溝槽,其中第一及第二溝槽相交並分別沿第一及第二方向延伸,第一及第二方向在半導體結構的上視角上彼此相異;以及在第一及第二溝槽中設置導電材料以分別形成第一及第二導線,其中第一及第二導線在上視角上彼此垂直。
100:積體電路
101:基板
103:多位準互連層
105:第一介電層
107:導電區域
109a:介電結構
109b:介電結構
109c:介電結構
111:第二介電層
115:硬遮罩層
117:間隔物層
117s:間隔物
119a:導線
119b:導線
119c:導線
119d:導線
119e:導線
119y:導電互連
701:溝槽
1100:方法
1102:操作
1104:操作
1106:操作
1108:操作
1110:操作
1112:操作
1114:操作
θ:角度
當結合附圖閱讀時,自以下詳細描述很好地理解本揭示案之態樣。應當注意,根據工業中慣例,各特徵未按比例繪製。事實上,為了說明及論述清楚,各特徵之尺寸可任意地增加或縮小。
第1A圖為根據一些實施例之適用於具有取向受限佈局之積體電路之部分製造、示範性電互連佈置之俯視圖。
第1B圖為沿著線A-A截取之第1A圖之部分製造之示範性電互連佈置的剖面圖。
第2A圖為根據一些實施例之在光微影製程之後之部分製造之示範性電互連佈置的俯視圖。
第2B圖為在光微影製程之後之沿著線B-B截取之第2A圖的部分製造的示範性電互連佈置的剖面圖。
第3A圖為根據一些實施例之在間隔物沉積製程之後之沿著線A-A截取之第2A圖的部分製造的示範性電互連佈置的剖面圖。
第3B圖為根據一些實施例之在間隔物沉積製程之後之沿著線B-B截取之第2A圖的部分製造的示範性電互連佈置的剖面圖。
第4圖為根據一些實施例之在間隔物層移除製程之後之部分製造之示範性電互連佈置的俯視圖。
第5A圖至第5B圖為在間隔物移除製程之後、分別沿著線A-A及線B-B截取之第4圖之部分製造的示範性電互連佈置的剖面圖。
第6A圖至第6B圖為根據一些實施例之在介電結構移除製程之後分別沿著線A-A及線B-B截取之第4圖之部分製造的示範性電互連佈置的剖面圖。
第7A圖至第7B圖為根據一些實施例之在間隔物之間形成溝槽之後分別沿著線A-A及線B-B截取之第4圖之部分製造的示範性電互連佈置的剖面圖。
第8圖為根據一些實施例之在使用導電材料填充溝槽、及隨後研磨及平坦化頂表面之後的部分製造之示範性電互連佈置的俯視圖。
第9A圖至第9B圖為根據一些實施例之在使用導電材料充填溝槽、及隨後研磨及平坦化頂表面之後、分別沿著線A-A及線B-B截取之第8圖之部分製造的示範性電互連佈置的剖面圖。
第10圖為根據一些實施例之導線以所要角度形成之電互連佈置的示範性實施例的俯視圖。
第11圖為適用於具有取向受限佈局之積體電路之形成電互連佈置之示範性製程的流程圖。
以下揭示內容提供許多不同實施例或實例,用於實現所提供標的物之不同的特徵。下文描述組件及排列之特定之實例以簡化本揭示案。當然,此等僅僅為實例且不意指限制。舉例而言,在隨後描述中在第二特徵上方或在第二特徵上第一特徵之形成可包括第一及第二特徵形成為直接接觸之實施例,以及亦可包括額外特徵可設置在第一及第二特徵之間,使得第一及第二特徵可不直接接觸之實施例。另外,本揭示內容在各實例中可重複元件符號及/或字母。此
重複係出於簡明性及清晰之目的,且本身並不指示所論述之各實施例及/或配置之間的關係。
進一步地,為了便於描述,本文可使用空間相對性術語(諸如「之下」、「下方」、「下部」、「上方」、「上部」及類似者)來描述諸圖中所繪示一個元件或特徵與另一元件(或多個元件)或特徵(或多個特徵)之關係。除了諸圖所描繪之定向外,空間相對性術語意欲包含使用或操作中裝置之不同定向。設備可經其他方式定向(旋轉90度或處於其他定向),因此可同樣解讀本文所使用之空間相對性描述詞。
如本文使用之術語「標稱」指示在產品或製程之設計階段期間設置之部件或處理操作之特徵及參數的所要值或目標值,以及高於及/或低於所要值之大範圍的數值。數值範圍通常歸因於在製造製程或公差中之輕微變化。
根據本揭露之各種實施例提供在積體電路一維(1-D)圖案佈局,例如金屬線中產生二維(2-D)互連的方法。二維及一維之術語指電路佈局之方向而非導線之厚度或寬度。當佈局圖案化之最小尺寸接近微影限制時,純一維佈局經常用作圖案化解決方案。然而,本揭露描述之二維互連提供了在一維佈局圖案化中之二維圖案化之自由度。具體而言,在一維圖案佈局之x方向上之偶數線或奇數線可經由y方向上之二維互連來連接。根據裝置設計需要,二維互連可以垂直於偶數線或奇數線或以小於90度之角度連接至偶數線或奇數線。根據本揭露之方法將當前可用之一維圖案佈局
之解析能力擴大至二維圖案化。根據本揭露之各種實施例提供在一維圖案化中使用之多次曝光圖案化製程中之二維圖案化之自由度。諸如自動對準雙圖案化(self-aligned double patterning;SADP)或自動對準四圖案化(self-aligned quadruple patterning;SAQP)之自動對準多圖案化(self-aligned multiple patterning;SAMP)相較於單曝光技術允許減小特徵間距。諸如SADP之自動對準圖案化技術改進相對於其他多次曝光技術之圖案可變性,諸如藉由排除一些遮罩疊對可變性之光刻-蝕刻-光刻-蝕刻(litho-etch-litho-etch;LELE)。
根據本揭露之方法之一個益處為偶數或奇數線及二維互連之線寬可經配置以匹配SAMP製程之臨界尺寸。
根據本揭露之方法之另一益處為僅需要最小面積即可獲得一維線之間的二維互連,及一維線之間或二維互連與一維線之尾端之間的間距可保持為常數最小值。常數最小間距可與SAMP製程之臨界尺寸、或用於製造此等結構之微影設備之解析極限一樣低。例如,臨界尺寸可為5奈米或不大於30奈米。常數最小間距亦提供益處為儘管有疊對影響亦可阻止線對線之間的洩漏。當應用在電絕緣體上之電壓超過其擊穿電壓時,諸如介電擊穿之線對線的洩漏可減小絕緣體電阻。線對線洩漏原因可在於金屬間介電質(inter-metal dielectric;IMD)之擊穿及可引起鄰線中之漏電流。線對線洩漏問題一直困擾著減小幾何尺寸之裝置的製造商。
在基於一維圖案化中之二維佈局的能力提供在積體電路中增大邏輯密度及減小金屬層堆疊的進一步益處。此等益處在傳輸閘極或關閉電路中很有用,其中二維互連高度有利。關閉電路之實例為反相器閂鎖電路,其中反相器電路之輸出經連接至另一反相器電路之輸入。使用此種方法,含有傳輸閘極之邏輯單元之尺寸可在y方向上減小。
第1A圖至第8圖提供圖示二維互連製造之操作的積體電路的各種視圖。本文提供之製造製程為示範性的,且可執行關於本揭露之替代製程(未在此等圖式中繪示)。
第1A圖為具有硬遮罩層115及介電結構109a至介電結構109c之積體電路100的俯視圖。硬遮罩層115之部分暴露在鄰接介電結構109a、介電結構109b與介電結構109c之間,並具有可能大約等於臨界尺寸之至少三倍的寬度WT。每個介電結構具有可與臨界尺寸一樣低,例如5奈米或不大於30奈米之寬度WL。可存在更多介電結構及在基板上形成之暴露之硬遮罩區域,但為簡單起見未圖示。
第1B圖為沿第1A圖中之線A-A截取之積體電路100的剖面圖。沿第1A圖之線B-B截取之剖面是相同的。基板101可包含摻雜或無摻雜塊矽、或矽絕緣體(silicon-on-insulator;SOI)基板之主動層。一般而言,SOI基板為多層矽-絕緣體-矽基板。或者,諸如鍺、矽鍺或其組合之其他半導體材料可在絕緣體上方形成主動層。可使用之其他基板包括多層基板、梯度基板或混合取向基板。
主動裝置可在基板101上及/或在基板101內形成。諸如電晶體、二極體、電容器、電阻器、電感器等之多種主動及被動裝置可用以實施所要電路。可使用任意適宜方法或者在基板101之表面上或者在基板101之表面內形成主動裝置。省去此等裝置簡化第1B圖,以更好地理解本揭露。
多位準互連(multi-level interconnect;MTI)層103在基板101上形成並經設計以連接各種主動裝置以形成功能電路。儘管在第1B圖中圖示為單層,但多位準互連層103可包含介電材料及導電材料之交替層並可經由任一適宜製程(諸如沉積、鑲嵌、雙重鑲嵌等)來形成。
第一介電層105在多位準互連層103上方形成。在多個實施例中,根據所選材料,第一介電層105可為使用沉積或旋塗製程形成之介電材料。例如,第一介電層105由介電材料組成,並由氧化矽、氮化矽、氮氧化矽、氟摻雜矽酸鹽玻璃(fluorine-doped silicate glass;FSG)、低介電係數介電材料、SiCxOyNz(x,y,z=0~n)、及/或其他適宜絕緣材料形成。然而,可或者利用使用任一其他適宜製程形成之任一其他適宜介電材料。
在第一介電層105中之開口內形成導電區域107。在多個實施例中,導電區域107為將與互連(未在第1B圖中圖示但下文參照第9A圖至第9B圖圖示及描述)產生電連接之區域。在多個實施例中,導電區域107為由例如銅形成之導線,儘管可替代地利用任一其他適宜導電材料。導
電區域107可使用鑲嵌製程來形成,藉由此製程移除第一介電層105之部分來形成使用導電材料充填之開口。
第二介電層111及硬遮罩層115在導電區域107及第一介電層105上方形成。類似於如上所述之第一介電層105,第二介電層111由介電材料組成,並可由氧化矽、氮化矽、氮氧化矽、氟摻雜矽酸鹽玻璃(fluorine-doped silicate glass;FSG)、低介電係數介電材料、SiCxOyNz(x,y,z=0~n)、及/或其他適宜絕緣材料形成。硬遮罩層115由介電材料組成,例如氧化矽、氮化矽、氮化鈦、矽、氧化鈦、碳化鎢、SiCxOyNz(x,y,z=0~n),及/或其他適宜絕緣材料。
介電結構109a至介電結構109c為在硬遮罩層115上方形成之鰭片形狀非金屬結構並具有高度HL及寬度WL。根據裝置需要,高度HL可為臨界尺寸的約1至3倍,及寬度WL可與臨界尺寸一樣低。每個介電結構具有大約垂直於硬遮罩層115之表面的側壁。介電結構間距WT為臨界尺寸之至少三倍。介電結構109a至介電結構109c由非金屬介電材料組成,例如氧化矽、氮化矽、氮化鈦、矽、氧化鈦、碳化鎢、SiCxOyNz(x,y,z=0~n),及/或其他適宜絕緣材料。
第一介電層105、第二介電層111、硬遮罩層115及介電結構109a至介電結構109c可藉由化學氧化、熱氧化、原子層沉積(atomic layer deposition;ALD)、化學氣相沉積(chemical vapor deposition;CVD)、及/或其他適宜形成製程來形成。或者可利用使用任一其他適宜製
程形成之任一其他適宜介電材料。其他製程可被包含在形成製程中,例如光微影製程用以界定介電結構109a至介電結構109c,及化學機械研磨(chemical mechanical polishing;CMP)製程可用以平坦化選定表面。
注意,在積體電路100中形成二維互連可不一定需要多位準互連層103、第一介電層105、導電區域107、第二介電層111及硬遮罩層115。
第2A圖為已使用光微影及蝕刻製程移除介電結構109b之部分之後的積體電路100的俯視圖。移除介電結構109b之部分的示範性光微影製程包括在積體電路100之表面上方形成光阻層、將抗蝕劑暴露於在其上具有圖案之切割遮罩,執行後曝光烘烤製程及顯影抗蝕劑以形成包括抗蝕劑之遮罩元件。不由遮罩元件保護之介電結構109b的區域使用例如反應性離子蝕刻(reactive ion etching;RIE)製程及/或其他適宜製程來蝕刻。光阻層隨後由任意適宜抗蝕劑剝落製程來移除。介電結構109b之移除部分可具有為臨界尺寸之至少三倍的寬度WC。再者,可以以所要角度產生切割遮罩上之圖案使得剩餘介電結構109b之尾端上之側壁相對於導線119b或119d成所要角度(從俯視圖可見)。根據一些實施例,所要角度可為90°。
第2B圖為沿第2A圖中之線B-B截取之積體電路100的剖面圖。如第2B圖圖示,移除介電結構109b之部分,且在移除製程期間,硬遮罩層115用作蝕刻停止。
第3A圖及第3B圖為間隔物層117在積體電路100之表面上沉積之後,分別沿第2A圖中之線A-A及線B-B截取之積體電路100的剖面圖。間隔物層117各向同性地沉積在積體電路100之暴露表面上,其包括硬遮罩層115之暴露表面及介電結構109a至介電結構109c之頂表面及側表面。間隔物層117同等地在暴露表面上形成,且其厚度等於或大於臨界尺寸。間隔物層117可由氧化鈦、氧化矽、氮化矽、氮化鈦、SiCxOyNz(x,y,z=0~n)及/或其他適宜絕緣材形成。間隔物層117可藉由化學氧化、熱氧化、原子層沉積(ALD)、化學氣相沉積(CVD)、及/或其他適宜形成製程來形成。或者可利用使用任一其他適宜製程形成之任一其他適宜介電材料。間隔物層、硬遮罩層及介電結構較佳地由不同的材料組成以便為隨後的移除製程提供不同的蝕刻選擇性。
第4圖為在已使用移除製程移除間隔物層117之部分之後的積體電路100的俯視圖。間隔物層117在相對於基板表面之垂直方向上各向異性地回蝕,從而形成鄰近於介電結構109a至介電結構109c之個別側壁的間隔物117s。這主要經由各向異性蝕刻製程來實現,其中間隔物層117相對於基板表面之蝕刻在垂直方向上比水平方向上快得多。根據所形成間隔物層117之材料,此蝕刻製程經由適宜電漿或濕式化學蝕刻來實現。在移除製程之後,間隔物117s僅存在於介電結構109a、介電結構109b及介電結構109c之側壁上。可配置間隔物層117之沉積及蝕刻製程使得間隔物117s之寬度WS等於臨界尺寸。如上文關於第1A圖至
第1B圖及第2A至第2B圖論述,間距WT及間距WC兩者大約等於臨界尺寸之三倍,且因為每個間隔物117s具有大約等於臨界尺寸之寬度WS,所以位於間隔物117s之間的暴露之硬遮罩層115具有亦大約等於臨界尺寸的寬度WL。
第5A圖及第5B圖為積體電路100分別沿第4圖中之線A-A及線B-B截取之剖面圖。如上文論述,間隔物層117在相對於基板表面之垂直方向上各向異性地回蝕,從而形成間隔物117s。可配置間隔物層117之沉積及蝕刻製程使得間隔物117s之寬度WS等於臨界尺寸。由於蝕刻製程,間隔物117s之高度可略小於介電結構109a至介電結構109c之高度。在間隔物117s之間暴露硬遮罩層115之部分。
在第5A圖中,藉由調整介電結構間距及間隔物層117之沉積/蝕刻製程,在間隔物層117之蝕刻製程之後,硬遮罩層115之暴露部分可具有大約等於臨界尺寸之寬度。
在第5B圖中,因為移除介電結構109b之部分,所以硬遮罩層115將暴露在介電結構109a與介電結構109c之間隔物117s之間及剩餘介電結構109b之間隔物117s之間。
第6A圖及第6B圖為在移除介電結構109a至介電結構109c之後分別沿在第4圖中之線A-A及線B-B截取之積體電路100的剖面圖。根據介電結構材料,使用任意適宜蝕刻製程,包括但不限於電漿蝕刻或濕式化學蝕刻來移除介電結構109a至介電結構109c。蝕刻製程選擇性蝕刻掉介
電結構材料及使用硬遮罩層115作為蝕刻停止。移除製程亦可包括光微影製程。
第7A圖及第7B圖為在移除硬遮罩層115之部分、及在間隔物117s之間暴露之第二介電質111之部分之後分別沿第4圖中之線A-A及線B-B截取之積體電路100的剖面圖。使用在第6A圖及第6B圖中圖示之間隔物117s作為蝕刻遮罩,在間隔物117s之間之暴露區域上執行一或多個蝕刻製程。因而,由間隔物117s形成之圖案隨後經傳輸至硬遮罩層115上並延伸穿過第二介電層111。蝕刻製程可繼續直到至少部分地暴露第一介電層105及導電區域107。根據蝕刻製程,剩餘硬遮罩層115及第二介電層111之側壁斜度可垂直或幾乎垂直於第一介電層105。蝕刻製程產生在剩餘第二介電層111及硬遮罩層115之鄰接柱之間形成的溝槽701。
第8圖為在使用導電材料充填溝槽701,及隨後研磨及平坦化頂表面之後的積體電路100的俯視圖。
充填製程可始於加襯墊製程(未在第8圖中圖示),其中襯墊層沿溝槽701之側壁及底部形成。襯墊層可使用電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition;PECVD)製程來形成,儘管可替代地使用其他適宜製程,諸如物理氣相沉積或熱製程。
一旦襯墊層沿溝槽701之側壁及底部形成,則溝槽701可使用導電金屬充填。導電材料可包含銅,儘管可替代使用諸如鋁、合金、摻雜多晶矽、上述各者之組合等等
之其他適宜材料。藉由在籽晶層(未圖示)上電鍍銅及充填溝槽701來形成導電材料。一旦充填溝槽701,儘管可使用任一適宜移除製程,但可經由諸如CMP之平坦化製程來移除溝槽701外部之多餘襯墊、籽晶層及導電材料。在此平坦化製程期間,亦可移除剩餘硬遮罩層115,從而暴露底下的第二介電層111。
充填製程在溝槽701中形成導線119a至導線119e。如第8圖圖示,導線119a至導線119e在x方向上並可分成奇數線119a、奇數線119c及奇數線119e,以及偶數線119b及偶數線119d。應注意,本文奇數線及偶數線之定義僅用於說明性目的。每個導線可具有大約等於臨界尺寸之寬度WL。第8圖圖示了偶數線119b及偶數線119d經由互連119y電連接。導電互連119y在垂直於x方向導線119b及導線119d的y方向上提供連接。如上所述,間隔物117s及介電結構109b之個別寬度WS及WL兩者大約等於臨界尺寸。因此,在他們藉由導電互連119y連接之外部之導線119b及導線119d之平行部分之間的間距大約等於臨界尺寸的三倍。例如,若臨界尺寸等於5奈米,則導線119b與導線119d之間之間距將為15奈米。
第9A圖及第9B圖為在使用導電材料充填溝槽701、以及隨後研磨及平坦化頂表面之後,分別沿第8圖中之線A-A及線B-B截取之積體電路100之剖面圖。如上文關於第8圖論述,充填製程可從加襯墊製程(未在第9A圖或第9B圖中圖示)開始,其中襯墊層沿溝槽701之側壁及底部形
成。隨後使用導電金屬充填溝槽以形成導線119a至導線119e,及鄰接導線藉由第一介電層111來隔開。然而,在第9B圖中,導電互連119y在x方向之偶數線119b與偶數線119d之間的y方向上提供電連接。
如上文關於第8圖論述,諸如CMP之平坦化製程用以移除溝槽外部之多餘襯墊層、籽晶層及導電材料。如第9A圖及第9B圖圖示,在此製程中亦移除硬遮罩層115,從而暴露第二介電層111之頂表面。由於此平坦化製程,導線119a至導線119e、導電互連119y及第二介電層111之頂表面大體上共面。再者,導線119a至導線119e、導電互連119y處於同一金屬化位準上。
再者,當形成溝槽以至少部分地暴露導電區域107時,導線119a至導線119e及導電互連119y之至少一子集合電連接至導電區域107,從而在積體電路100之多個層之間提供電連接。
第10圖為具有與導線成所要角度形成之導電互連119y之積體電路100的俯視圖。如上文論述,導電互連119y可垂直於導線119b或導線119d,如第8圖所示。然而,產生切割遮罩使得導電互連119y相對導線119b或導線119d形成角度θ亦是可能的。根據裝置需要,角度θ可為任意所要角度,包括但不限於45°或60°。這可藉由在切割遮罩上產生所要角度之圖案來達到,使得將角度轉向在剩餘介電結構109b之尾端上之側壁。
第11圖為形成積體電路二維導電互連之說明性方法1100之流程圖。此處提供之方法為示範性的。在替代性實施例中可執行其他操作且為簡單起見在此處省去。可以不同順序執行操作或根據特定應用不執行。
方法1100從操作1102開始,提供具有在其上及/或在其內形成之結構及層的半導體基板。半導體基板可包含摻雜或無摻雜的塊矽、或矽絕緣體(SOI)基板之主動層。半導體基板可包含半導體材料,諸如矽、鍺、矽鍺、絕緣體上矽鍺(silicon germanium on insulator;SGOI)或其組合。
主動裝置可在半導體基板上及/或在半導體基板內形成。諸如電晶體、二極體、電容器、電阻器、電感器等等之多種主動及被動裝置可用以產生積體電路之設計之所要結構及功能要求。
多位準互連層在半導體基板及主動裝置上方形成,且經配置以連接各種主動裝置以形成電路。多位準互連層可由介電材料及導電材料之交替層形成並可經由任一適宜製程形成。
第一介電層在金屬化層上方形成。第一介電層可由介電材料組成,此介電材料包含氧化矽、氮化矽、氮氧化矽、氟摻雜矽酸鹽玻璃(FSG)、低介電係數介電材料、SiCxOyNz(x,y,z=0~n)、及/或其他適宜絕緣材料。
在第一介電層中之開口內形成導電區域。導電區域為互連將電連接之區域。導電區域為由例如銅所製成之
導線,儘管可替代地利用任一其他適當導電材料。導電區域可使用鑲嵌製程來形成。
第二介電層及硬遮罩層在導電區域及第一介電層上方形成。第二介電層由介電材料所製成,諸如但不限於氧化矽、氮化矽、氮氧化矽、氟摻雜矽酸鹽玻璃(FSG)、低介電係數介電材料、SiCxOyNz(x,y,z=0~n)、及/或其他適宜絕緣材料。硬遮罩層由介電材料所製成,諸如但不限於氧化矽、氮化矽、氮化鈦、矽、氧化鈦、碳化鎢、SiCxOyNz(x,y,z=0~n),及/或其他適宜絕緣材料。
介電結構為在硬遮罩層上方形成之鰭片形狀的介電結構。根據裝置需要,它們的高度可為大約臨界尺寸一倍至三倍,及寬度可與臨界尺寸一樣小。介電結構間距為臨界尺寸之至少三倍。介電結構由非金屬介電材料所製成,例如氧化矽、氮化矽、氮化鈦、矽、氧化鈦、碳化鎢、SiCxOyNz(x,y,z=0~n),及/或其他適宜絕緣材料。
在半導體基板上形成之結構及層可藉由化學氧化、熱氧化、原子層沉積(ALD)、化學氣相沉積(CVD)、及/或其他適合的形成製程來形成。或者可利用使用任一其他適宜製程形成之任一其他適宜介電材料。其他的製程可被包含在形成製程中,例如光微影製程或CMP製程。
方法1100繼續操作1104,使用微影製程及蝕刻製程來移除介電結構之部分。在移除製程期間,硬遮罩層用作蝕刻停止。一個以上介電結構可經受這種移除製程以形成一個以上導電互連。
介電結構之移除部分可具有至少三倍臨界尺寸之寬度,及在剩餘介電結構之尾端上之側壁相對於導線成所要的角度。例如,在剩餘介電結構之尾端上之側壁可垂直於導線,或在另一實例中,它們可成非90°的某一角度,諸如但不限於30°、45°或60°。
方法1100繼續操作1106,在積體電路之表面上沉積間隔物層。間隔物層各向同性地沉積在積體電路之暴露表面上,其包括硬遮罩層之暴露表面及介電結構之頂表面及側表面。間隔物層同等地沉積在暴露表面上,且其厚度等於或大於臨界尺寸。
方法1100繼續操作1108,形成鄰近於介電結構之個別側壁的間隔物。這可經由各向異性地回蝕相對於基板表面之垂直方向上的間隔物層來達到。在移除製程之後,間隔物僅出現在介電結構之側壁上。可配置間隔物層之沉積及蝕刻製程使得間隔物之寬度大約等於臨界尺寸。因此,位於間隔物之間之暴露之硬遮罩層具有大約等於臨界尺寸之寬度。在操作1104中形成之剩餘介電結構之尾端亦具有在其上形成之間隔物,且此間隔物藉由大約等於臨界尺寸之距離來隔開。
方法1100繼續操作1110,使用適宜的蝕刻製程移除介電結構。蝕刻製程根據介電結構材料包括但不限於電漿蝕刻或濕式化學蝕刻。蝕刻製程選擇性蝕刻掉介電結構材料及使用硬遮罩層作為蝕刻停止。
方法1100繼續操作1112,藉由移除暴露在間隔物之間之硬遮罩層之部分及第二介電質來形成溝槽。使用間隔物作為蝕刻遮罩,在間隔物之間之暴露區域上執行一或多個蝕刻製程。因而,由間隔物形成之圖案隨後經傳輸至硬遮罩層上並延伸穿過第二介電層。蝕刻製程可繼續直到至少部分地暴露第一介電層及導電區域。蝕刻製程產生在剩餘第二介電層及硬遮罩層之鄰接柱之間形成的溝槽。
方法1100繼續操作1114,使用導電材料充填溝槽,及隨後研磨及平坦化頂表面。充填製程可從加襯墊製程開始,其中沿著溝槽之側壁及底部形成襯墊層。一旦形成襯墊層,溝槽可充填導電金屬,諸如銅。導電材料可藉由在籽晶層上電鍍銅、及充填或過度充填溝槽來形成。一旦溝槽已充填或過度充填,則可藉由平坦化製程來移除溝槽外之多餘襯墊、籽晶層及導電材料。在此平坦化製程期間,亦可移除剩餘硬遮罩層,從而曝光底下的第二介電層。
充填製程在溝槽中形成導線及導電互連。僅在此處使用術語導線及導電互連以區分x方向線與y方向線,及它們使用相同材料及經由相同製程而形成。導線及導電互連分別在x方向上及y方向上,並具有等於臨界尺寸的寬度。以這種方式,經由導電互連來電連接奇數導線或偶數導線。如上文論述,導電互連可垂直於導線,或在所要角度上形成。
根據本揭露之各種實施例提供在積體電路之一維圖案化佈局中產生二維互連佈局之方法。具體而言,在一
維圖案化佈局之x方向上之偶數或奇數線可經由y方向上之二維互連來連接。二維互連可垂直於偶數或奇數線或相對與偶數或奇數線成所要角度。相比於在一維圖案化製程中使用之常規SAMP製程,根據本揭露之方法提供二維圖案化之自由度。根據本揭露之方法之一個益處為偶數或奇數線及二維互連兩者之線寬可經配置以匹配常規SAMP製程之臨界尺寸。根據本揭露之方法之另一益處為僅需要最小面積來達到一維線之間的二維互連,及一維線之間或二維互連與一維線之尾端之間的間距可保持為常數及最小值。常數最小間距可與SAMP製程之臨界尺寸或用於製造本揭露中之半導體結構之微影設備之解析極限一樣低,例如,臨界尺寸可為5奈米。常數最小間距亦提供益處為儘管有疊對影響亦可阻止線對線之間的洩漏。
在基於一維圖案化中之二維佈局的能力提供在積體電路中增大邏輯密度及減小金屬層堆疊的進一步益處。例如,在傳輸閘極或切斷電路中,其中二維互連是有利的。使用此種方法,含有傳輸閘極之邏輯單元之尺寸可在y方向上減小。
在多個實施例中,方法包括提供基板並在此基板上方形成複數個介電結構,其中此介電結構具有側壁。設置複數個介電結構之至少第一及第二介電結構以使他們彼此平行。移除第一介電結構之部分以形成第二側壁且移除之部分具有大約為三倍臨界尺寸之寬度。在每個第一及第二側壁上形成間隔物,並移除複數個介電結構。在不由複數個間
隔物保護之基板之區域中形成溝槽,且此溝槽具有大約等於臨界尺寸之寬度。在溝槽中設置導電材料以形成複數個導線,以及至少第一及第二導線連接但彼此不平行。
在其他多個實施例中,在基板上形成二維互連之方法包括提供一基板,此基板具有在第一層上方形成之硬遮罩層,及在硬遮罩層上方形成複數個非金屬元件。複數個非金屬元件彼此平行。此方法進一步包含藉由在至少一個非金屬元件上形成圖案化之光阻層及使用此圖案化之光阻層蝕刻至少一個非金屬元件以形成至少一個非金屬元件之第一及第二部分來圖案化複數個非金屬元件之至少一個非金屬元件,此第一與第二部分之間具有間距。此間距具有大約等於三倍臨界尺寸之寬度。此方法亦包括在基板上設置間隔物層及各向異性地蝕刻間隔物層以在非金屬元件之側壁上形成間隔物,其中此間隔物之寬度大約等於臨界尺寸。此方法進一步包括使用蝕刻製程移除非金屬元件、使用間隔物作為遮罩元件來蝕刻硬遮罩層及基板以形成溝槽,以及在溝槽中設置導電材料以形成具有大約等於臨界尺寸之寬度的導線。至少一個導線在第一部分與第二部分之間形成並物理接觸複數個導線之另一導線。
在另外多個實施例中,裝置包含基板上方之介電層以及包含具有5奈米或更少之寬度的第一導線、第二導線及第三導線。導線包含延伸穿過介電層之個別溝槽部分。第一及第三導線彼此平行並經由第二導線連接。
應理解,本揭露之【實施方式】部分而非【摘要】意圖用於解釋申請專利範圍。本揭露部分之【摘要】可以闡明一或多個示例性實施例而非全部的示例性實施例,因此不意欲限制此附加申請專利範圍。
上文概述若干實施例之特徵,使得熟習此項技術者可更好地理解本揭露之態樣。熟習此項技術者應瞭解,可輕易使用本揭露作為設計或修改其他製程及結構的基礎,以便實施本文所介紹之實施例的相同目的及/或實現相同優勢。熟習此項技術者亦應認識到,此類等效結構並未脫離本揭露之精神及範疇,且可在不脫離附加申請專利範圍之精神及範疇的情況下作出本文的各種變化、替代及更改。
100:積體電路
101:基板
103:多位準互連層
105:第一介電層
107:導電區域
111:第二介電層
119a:導線
119b:導線
119c:導線
119d:導線
119e:導線
Claims (10)
- 一種形成互連的方法,包含:在一基板上方形成具有複數個第一側壁之複數個介電結構,其中該些介電結構之至少一第一及一第二介電結構彼此平行;移除該第一介電結構之一部分以形成複數個第二側壁,該些第二側壁在該互連的一上視角上與該些第一側壁彼此不平行,其中該移除部分具有一第一寬度;在每一該些第一及每一該些第二側壁上形成一間隔物;移除該些介電結構;在不由位於每一該些第一及每一該些第二側壁上的該間隔物保護之該基板之區域中形成複數個溝槽,其中每一該些溝槽具有等於或小於5奈米並等於該第一寬度的三分之一的第二寬度;以及在該些溝槽中設置一導電材料以形成複數個導線,其中該些導線之至少一第一及一第二導線彼此物理接觸並相交。
- 如請求項1所述之方法,其中每一該些介電結構具有一高度及一寬度,該高度為該第二寬度的一至三倍,該寬度相等於該第二寬度。
- 如請求項1所述之方法,其中該第一及該第二導線中之一形成於該些第二側壁之間。
- 如請求項1所述之方法,更包含研磨該些導線及該基板以形成一平坦化表面。
- 如請求項1所述之方法,其中該些間隔物具有相等於該第二寬度的複數個寬度。
- 如請求項1所述之方法,其中形成該些間隔物更包含各向同性地於該基板上設置一間隔物層,及各向異性地蝕刻該間隔物層。
- 一種形成半導體結構的方法,包含:提供一基板,該基板具有一硬遮罩層;於該硬遮罩層上形成複數個非金屬元件,其中該些非金屬元件在該半導體結構的一上視角上彼此平行;蝕刻該些非金屬元件中之至少一以形成該至少一非金屬元件的一第一及一第二部分,其中該第一與該第二部分之間的一距離相等於一第一尺寸;於該基板上設置一間隔物層並蝕刻該間隔物層以形成位於該些非金屬元件的側壁的複數個間隔物,其中該些間隔物的一寬度相等於一第二尺寸,該第二尺寸介於5奈米與30奈米之間並相等於該第一尺寸的三分之一;移除該些非金屬元件;以該些間隔物為一遮罩元件而蝕刻該硬遮罩層及該基板以形成一第一及一第二溝槽,其中該第一及該第二溝槽相交並分別 沿一第一及一第二方向延伸,該第一及該第二方向在該上視角上彼此相異;以及在該第一及該第二溝槽中設置一導電材料以分別形成一第一及一第二導線,其中該第一及該第二導線彼此物理接觸。
- 如請求項7所述之方法,其中該些非金屬元件的一高度相等於該第二尺寸的一至三倍,而該些非金屬元件的一寬度相等於該第二尺寸。
- 一種形成半導體結構的方法,包含:於一基板上形成複數個鰭片形狀結構,其中該些鰭片形狀結構彼此平行;蝕刻該些鰭片形狀結構中之至少一以形成該至少一鰭片形狀結構的一第一及一第二部分,其中該第一與該第二部分之間的一距離相等於一第一尺寸;於該些鰭片形狀結構的側壁形成複數個間隔物,其中該些間隔物的一寬度相等於一第二尺寸,該第二尺寸等於或小於5奈米並相等於該第一尺寸的三分之一;以該些間隔物為一遮罩元件而蝕刻該基板以形成一第一及一第二溝槽,其中該第一及該第二溝槽相交並分別沿一第一及一第二方向延伸,該第一及該第二方向在該半導體結構的一上視角上彼此相異;以及在該第一及該第二溝槽中設置一導電材料以分別形成一第一及一第二導線,其中該第一及該第二導線在該上視角上彼此垂直。
- 如請求項9所述之方法,更包含於蝕刻該基板前移除該些鰭片形狀結構。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201662428372P | 2016-11-30 | 2016-11-30 | |
US62/428,372 | 2016-11-30 | ||
US15/389,060 | 2016-12-22 | ||
US15/389,060 US10163690B2 (en) | 2016-11-30 | 2016-12-22 | 2-D interconnections for integrated circuits |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201824491A TW201824491A (zh) | 2018-07-01 |
TWI708353B true TWI708353B (zh) | 2020-10-21 |
Family
ID=62191029
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW106117051A TWI708353B (zh) | 2016-11-30 | 2017-05-23 | 形成互連及形成半導體結構的方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US10163690B2 (zh) |
CN (1) | CN108122886B (zh) |
TW (1) | TWI708353B (zh) |
Families Citing this family (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10727045B2 (en) * | 2017-09-29 | 2020-07-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for manufacturing a semiconductor device |
US11127594B2 (en) * | 2017-12-19 | 2021-09-21 | Tokyo Electron Limited | Manufacturing methods for mandrel pull from spacers for multi-color patterning |
US10840249B2 (en) | 2018-08-23 | 2020-11-17 | Micron Technology, Inc. | Integrated circuitry constructions |
US20200152918A1 (en) * | 2018-11-14 | 2020-05-14 | Int Tech Co., Ltd. | Light emitting device and manufacturing method thereof |
US11177160B2 (en) * | 2020-03-24 | 2021-11-16 | International Business Machines Corporation | Double patterned lithography using spacer assisted cuts for patterning steps |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4832789A (en) * | 1988-04-08 | 1989-05-23 | American Telephone And Telegrph Company, At&T Bell Laboratories | Semiconductor devices having multi-level metal interconnects |
US8222140B2 (en) * | 2009-12-23 | 2012-07-17 | Intel Corporation | Pitch division patterning techniques |
CN103295955A (zh) * | 2012-03-02 | 2013-09-11 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的制造方法 |
TWI463604B (zh) * | 2011-03-21 | 2014-12-01 | Globalfoundries Sg Pte Ltd | 封裝件互連結構及其製造方法 |
TWI549245B (zh) * | 2014-01-24 | 2016-09-11 | 英特爾股份有限公司 | 用於形成具有緊密間距互連結構之互連層的方法 |
Family Cites Families (23)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7829262B2 (en) * | 2005-08-31 | 2010-11-09 | Micron Technology, Inc. | Method of forming pitch multipled contacts |
US9953126B2 (en) * | 2011-09-14 | 2018-04-24 | Toshiba Memory Corporation | Method of wiring layout, semiconductor device, program for supporting design of wiring layout, and method for manufacturing semiconductor device |
US8669780B2 (en) | 2011-10-31 | 2014-03-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Three dimensional integrated circuit connection structure and method |
US9557354B2 (en) | 2012-01-31 | 2017-01-31 | Taiwan Semiconductor Manufacturing Company, Ltd. | Switched capacitor comparator circuit |
US8631372B2 (en) | 2012-02-10 | 2014-01-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | System and method of electromigration mitigation in stacked IC designs |
US9633149B2 (en) | 2012-03-14 | 2017-04-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | System and method for modeling through silicon via |
US8448100B1 (en) | 2012-04-11 | 2013-05-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Tool and method for eliminating multi-patterning conflicts |
US9275950B2 (en) | 2012-05-29 | 2016-03-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Bead for 2.5D/3D chip packaging application |
US8754818B2 (en) | 2012-07-05 | 2014-06-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated antenna structure on separate semiconductor die |
US9086452B2 (en) | 2012-08-10 | 2015-07-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Three-dimensional integrated circuit and method for wireless information access thereof |
US9165968B2 (en) | 2012-09-14 | 2015-10-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | 3D-stacked backside illuminated image sensor and method of making the same |
US8701073B1 (en) | 2012-09-28 | 2014-04-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | System and method for across-chip thermal and power management in stacked IC designs |
US9016939B2 (en) | 2012-10-01 | 2015-04-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Thermal sensor with second-order temperature curvature correction |
US9172242B2 (en) | 2012-11-02 | 2015-10-27 | Taiwan Semiconductor Manufacturing Co., Ltd. | Electrostatic discharge protection for three dimensional integrated circuit |
US9431064B2 (en) | 2012-11-02 | 2016-08-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | Memory circuit and cache circuit configuration |
US9252593B2 (en) | 2012-12-17 | 2016-02-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Three dimensional integrated circuit electrostatic discharge protection and prevention test interface |
US9619409B2 (en) | 2013-01-08 | 2017-04-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Data sampling alignment method for memory inferface |
US8896094B2 (en) | 2013-01-23 | 2014-11-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods and apparatus for inductors and transformers in packages |
US9171798B2 (en) | 2013-01-25 | 2015-10-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods and apparatus for transmission lines in packages |
US9779990B2 (en) | 2013-02-27 | 2017-10-03 | Taiwan Semiconductor Manufacturing Co., Ltd. | Integrated antenna on interposer substrate |
US9219038B2 (en) | 2013-03-12 | 2015-12-22 | Taiwan Semiconductor Manufacturing Co., Ltd. | Shielding for through-silicon-via |
US9354254B2 (en) | 2013-03-14 | 2016-05-31 | Taiwan Semiconductor Manufacturing Co., Ltd. | Test-yield improvement devices for high-density probing techniques and method of implementing the same |
US9514977B2 (en) * | 2013-12-17 | 2016-12-06 | Kabushiki Kaisha Toshiba | Semiconductor device and manufacturing method thereof |
-
2016
- 2016-12-22 US US15/389,060 patent/US10163690B2/en active Active
-
2017
- 2017-05-23 TW TW106117051A patent/TWI708353B/zh active
- 2017-05-27 CN CN201710395335.1A patent/CN108122886B/zh active Active
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4832789A (en) * | 1988-04-08 | 1989-05-23 | American Telephone And Telegrph Company, At&T Bell Laboratories | Semiconductor devices having multi-level metal interconnects |
US8222140B2 (en) * | 2009-12-23 | 2012-07-17 | Intel Corporation | Pitch division patterning techniques |
TWI463604B (zh) * | 2011-03-21 | 2014-12-01 | Globalfoundries Sg Pte Ltd | 封裝件互連結構及其製造方法 |
CN103295955A (zh) * | 2012-03-02 | 2013-09-11 | 中芯国际集成电路制造(上海)有限公司 | 半导体结构的制造方法 |
TWI549245B (zh) * | 2014-01-24 | 2016-09-11 | 英特爾股份有限公司 | 用於形成具有緊密間距互連結構之互連層的方法 |
Also Published As
Publication number | Publication date |
---|---|
CN108122886B (zh) | 2021-07-20 |
CN108122886A (zh) | 2018-06-05 |
TW201824491A (zh) | 2018-07-01 |
US10163690B2 (en) | 2018-12-25 |
US20180151416A1 (en) | 2018-05-31 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI708353B (zh) | 形成互連及形成半導體結構的方法 | |
US9613861B2 (en) | Damascene wires with top via structures | |
TWI605561B (zh) | 具有蝕刻停止層於傳導線上方的互連結構 | |
US9865500B2 (en) | Method of fine line space resolution lithography for integrated circuit features using double patterning technology | |
US11515201B2 (en) | Integrated circuit device including air gaps and method of manufacturing the same | |
US9786551B2 (en) | Trench structure for high performance interconnection lines of different resistivity and method of making same | |
US10651076B2 (en) | Method for defining patterns for conductive paths in dielectric layer | |
CN112582376A (zh) | 带侧壁互连结构的半导体装置及其制造方法及电子设备 | |
US9293343B2 (en) | Method of forming patterns of semiconductor device | |
TWI574349B (zh) | 加厚之應力緩衝及功率分配層 | |
US11114338B2 (en) | Fully aligned via in ground rule region | |
US20070059610A1 (en) | Method of making and designing dummy patterns for semiconductor devices and semiconductor devices having dummy patterns | |
KR20230098237A (ko) | 자기-정렬된 상단 비아 | |
US10204861B2 (en) | Structure with local contact for shorting a gate electrode to a source/drain region | |
CN108962818B (zh) | 电容结构的制作方法以及电容结构 | |
TWI813377B (zh) | 半導體元件及其製造方法 | |
US11101170B2 (en) | Dual airgap structure | |
CN112397519B (zh) | 一种半导体器件及其制备方法 | |
TWI809594B (zh) | 半導體裝置和其製造方法 | |
US7514356B2 (en) | Ribs for line collapse prevention in damascene structures | |
TW202324553A (zh) | 半導體裝置及其製造方法 | |
CN115206936A (zh) | 半导体结构及其形成方法 |