TWI706512B - 具有界面襯裡的ic結構及其形成方法 - Google Patents

具有界面襯裡的ic結構及其形成方法 Download PDF

Info

Publication number
TWI706512B
TWI706512B TW108113985A TW108113985A TWI706512B TW I706512 B TWI706512 B TW I706512B TW 108113985 A TW108113985 A TW 108113985A TW 108113985 A TW108113985 A TW 108113985A TW I706512 B TWI706512 B TW I706512B
Authority
TW
Taiwan
Prior art keywords
metal
source
contact
ild material
ild
Prior art date
Application number
TW108113985A
Other languages
English (en)
Other versions
TW201933540A (zh
Inventor
張洵淵
張木申
Original Assignee
美商格羅方德半導體公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商格羅方德半導體公司 filed Critical 美商格羅方德半導體公司
Publication of TW201933540A publication Critical patent/TW201933540A/zh
Application granted granted Critical
Publication of TWI706512B publication Critical patent/TWI706512B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0883Combination of depletion and enhancement field effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Geometry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Thin Film Transistor (AREA)

Abstract

本發明之實施例可提供一種形成積體電路(integrated circuit;IC)結構的方法,該方法包括:提供結構,該結構具有:導電區,以及位於該導電區上的層級間介電(inter-level dielectric;ILD)材料,其中,該ILD材料包括至該導電區的接觸開口;在該接觸開口內形成摻雜金屬層,以使該摻雜金屬層位於該導電區上方,其中,該摻雜金屬層包括用第二金屬摻雜的第一金屬;以及通過退火該摻雜金屬層在該ILD材料的該接觸開口內形成至該導電區的接觸,以使該第二金屬擴散進入該ILD材料中,從而直接在該退火摻雜金屬層與該ILD材料之間形成界面襯裡(interface liner)。

Description

具有界面襯裡的IC結構及其形成方法
本發明關於具有與導電接觸相鄰的界面襯裡的積體電路(integrated circuit;IC),尤其關於具有包括自摻雜金屬接觸擴散的金屬化合物的界面襯裡的IC結構及其形成方法。
各IC可由位於半導體基板材料的一個或多個晶片上的數十億互連裝置例如電晶體、電阻器、電容器以及二極體組成。包括IC的產品的質量及可行性可至少部分依賴於用以製造該IC以及其中各種組件的結構的技術。IC的製造可包括兩個階段:前端工藝(front-end-of-line;FEOL)製程以及後端工藝(back-end-of-line;BEOL)製程。FEOL通常包括執行於晶圓上直到並包括形成第一“金屬層級”(也就是將數個半導體裝置連接在一起的金屬線)的製程。就電晶體而言,一組垂直延伸的導電接觸可提供從電路的其它功能元件至該電晶體的電性連接。BEOL通常包括在形成該第一金屬層級之後的製程,包括所有後續金屬層級的形成。各金屬層級可在其中包括金屬線,通過 被稱為過孔的垂直取向的導線可將該些金屬線與其它金屬層級連接。在傳統的BEOL製程中,形成過孔層以將IC結構中的裝置與形成於該過孔頂部上的金屬線層連接,在其上形成相繼的過孔層,接著形成另一個金屬線層等。為了使所製造的裝置具有較大的可擴展性及複雜度,可改變金屬層級的數目以適合特定的應用,例如提供四至六個金屬層級,或者在另一個例子中提供多達16個或更多的金屬層級。
延伸於層級之間的導電接觸或類似結構例如過孔可經製造以包括額外的材料,從而在導電金屬與該IC結構中的絕緣材料之間提供隔離。本文中總體使用術語“接觸”、“金屬接觸”以及/或者“導電接觸”來指接觸及過孔。在傳統製程中,此類結構可包括形成於開口的側壁上的難熔金屬襯裡,在該開口中形成金屬接觸。難熔金屬襯裡可防止導電金屬電遷移進入該IC結構的相鄰部分中。儘管已證明難熔金屬襯裡滿足此類目的,但傳統形成此類材料可能需要在額外的製程步驟中形成額外的材料以及/或者移除其部分,從而可能增加製造產品的時間及成本。儘管有影響時間及成本的這些因素,但已證明自電路結構移除難熔金屬襯裡不利於保持通過傳統技術所製造的IC結構的質量及所需功能。
本發明的第一態樣提供一種形成積體電路(integrated circuit;IC)結構的方法,該方法包括:提供結 構,該結構包括:導電區,以及位於該導電區上的層級間介電(inter-level dielectric;ILD)材料,其中,該ILD材料包括至該導電區的接觸開口;在該接觸開口內形成摻雜金屬層,以使該摻雜金屬層位於該導電區上方,其中,該摻雜金屬層包括用第二金屬摻雜的第一金屬;以及通過退火該摻雜金屬層在該ILD材料的該接觸開口內形成至該導電區的接觸,以使該第二金屬擴散進入該ILD材料中,從而直接在該退火摻雜金屬層與該ILD材料之間形成界面襯裡(interface liner)。
本發明的第二態樣提供一種形成至積體電路(IC)中的電晶體結構的導電接觸的方法,該方法包括:在位於該電晶體結構上方的ILD材料內形成接觸開口,其中,形成該接觸開口暴露該電晶體結構的源/汲區或閘極金屬;在形成該接觸開口以後,在該源/汲區上形成源/汲矽化物;在該接觸開口內形成摻雜金屬層,其中,該摻雜金屬層包括用第二金屬摻雜的第一金屬;以及通過退火該摻雜金屬層在該ILD材料的該接觸開口內形成至該導電區的接觸,以使該第二金屬擴散進入該ILD材料中,從而直接在該退火摻雜金屬層與該ILD材料之間形成界面襯裡。
本發明的第三態樣提供一種積體電路(IC)結構,其包括:電晶體結構,包括:包括源/汲區的基板,位於該基板的該源/汲區上的源/汲矽化物,以及位於該基板上方的閘極金屬;層級間介電(ILD)材料,位於該電晶體結構上,其中,該ILD材料包括至該電晶體結構的該源/汲 矽化物或該閘極金屬的其中之一的接觸開口;導電接觸,位於該接觸開口內,以使該導電接觸位於該源/汲矽化物或該閘極金屬的其中之一的上方並與其電性耦接,其中,該導電接觸的材料組成包括第一金屬;以及包括第二金屬的界面襯裡,直接位於該導電接觸與該ILD材料的側壁之間。
10‧‧‧結構
12‧‧‧基板
14‧‧‧源/汲區、源汲區
15‧‧‧溝道區、基板溝道區
16‧‧‧閘極金屬
18‧‧‧側間隙壁
20‧‧‧源/汲矽化物、源汲矽化物
22‧‧‧層級間介電材料、ILD材料、ILD材料層
24‧‧‧接觸開口、開口
25‧‧‧暴露側壁、側壁
26‧‧‧摻雜金屬層、摻雜層
28‧‧‧接觸
30‧‧‧界面襯裡
32‧‧‧IC結構
34‧‧‧電晶體結構
通過參照下面的圖式來詳細說明本發明的實施例,該些圖式中類似的元件符號表示類似的元件,以及其中:第1圖顯示依據本發明的實施例具有接觸開口的初始IC結構的剖視圖。
第2圖顯示依據本發明的實施例用氮電漿(plasma)處理該接觸開口的剖視圖。
第3圖顯示依據本發明的實施例在該初始IC結構上形成摻雜金屬的剖視圖。
第4圖顯示依據本發明的實施例通過退火該摻雜金屬層形成至該導電區的接觸的剖視圖。
第5圖顯示依據本發明的實施例的IC結構的剖視圖。
應當注意,本發明的圖式並非按比例繪製。該些圖式意圖僅顯示本發明的典型態樣,因此不應當被認為限制本發明的範圍。該些圖式中,類似的元件符號表示該些圖式之間類似的元件。
在下面的說明中參照圖式,該些圖式構成本說明的一部分,且其中示例顯示可實施本發明的教導的特定示例實施例。這些實施例經充分詳細說明以使本領域的技術人員能夠實施本發明的教導,且應當理解,可使用其它實施例且可作變更而不背離本發明的教導的範圍。因此,下面的說明僅為示例。
本發明涉及積體電路(IC)結構,其具有形成於接觸開口中的至電晶體的導電接觸,以及直接位於該導電接觸與層級間介電(ILD)材料之間的界面襯裡。該導電接觸的材料組成可包括第一金屬,而該界面襯裡可在其中包括第二金屬。該界面襯裡可通過使該第二金屬自該導電接觸(經初始摻雜以包括該第二金屬)擴散至該導電接觸與該ILD材料之間的界面來形成。因此,本發明的實施例還提供形成本文中所述的各種結構的方法。在傳統的IC結構中,難熔金屬襯裡(例如由銅或鎢形成)可形成於開口的側壁上以及電性絕緣材料上,以減少形成於該開口中的導電元件的漏電流、電遷移退化等。不過,對於減少相鄰組件之間的隔離距離以及降低此類襯裡材料的電阻率的不斷增長的需求可能伴隨各種技術挑戰及/或限制。除其它優點外,本文中所述的各種實施例可改進或甚至替代在導電接觸的側壁上的難熔金屬襯裡的使用。在本發明的實施例中針對結構及方法應用金屬摻雜物還可克服未明確說明的其它技術挑戰。
請參照第1圖,其顯示依據本發明將要被處 理的結構10的剖視圖。在結構10上實施本發明的方法之前,結構10可通過使用傳統的技術形成以及/或者可以其初始狀態提供。結構10可包括由半導體材料構成的基板12。可將基板12設為例如塊體半導體基板以及/或者下方具有絕緣材料的絕緣體上半導體(semiconductor on insulator;SOI)層。出於示例及簡化目的,已自圖式省略位於基板12下方的其它材料及/或層。基板12可包括例如矽、鍺、矽鍺、碳化矽,以及基本由具有由式AlX1GaX2InX3AsY1PY2NY3SbY4定義的組成的一種或多種III-V族化合物半導體組成的其它材料,其中,X1、X2、X3、Y1、Y2、Y3及Y4表示相對比例,分別大於或等於0且X1+X2+X3+Y1+Y2+Y3+Y4=1(1是總的相對摩爾量)。適合用於基板12的組成的其它材料可包括具有組成ZnA1CdA2SeB1TeB2的II-VI族化合物半導體,其中,A1、A2、B1及B2是相對比例,分別大於或等於零,且A1+A2+B1+B2=1(1是總的摩爾量)。而且,可應變部分或全部基板12。
本文中通常以由半導體材料組成的任意結構形式示例說明基板12,且在一些實施例中,基板12可形成為單個半導體材料層、用於finFET電晶體中的半導體鰭片,以及/或者通常用於IC產品的製造中的其它半導體材料形式。finFET是指通常自SOI基板構建的電晶體,其中,位於埋置絕緣體層上的半導體材料被蝕刻成一個或多個鰭式結構,以充當溝道。應當理解,針對以矽層以外的 形式實施的基板12,本文中所述的各種製程步驟可以相同的方式以及/或者在進行稍微修改的情況下實施。另外,基板12可為由結合並位於埋置絕緣體層上方的半導體材料層組成的單個絕緣體上半導體(SOI)基板的剩餘部分,如本文中其它地方所述。儘管在第1至5圖中將基板12示例顯示為一個結構或區域的形式,但應當理解,依據本發明的製程可使用任意可想到的數目的基板12。
結構10可包括直接位於基板12上或內的源/汲區14,其可包括與基板12相同的半導體材料以及/或者本文中其它地方所述的不同的半導體材料。相對基板12,源/汲區14還可在其中包括摻雜物材料,例如以相對基板12的其餘部分提供較大的電導率。通過“摻雜”引入的一種或多種“摻雜物”材料通常是指被添加至結構組件以改變其電性屬性例如電阻率及/或電導率的外來材料。如本文中所指出,導電材料及/或半導體材料可包括通過用以將材料引入結構的組成的任意當前已知或以後開發的技術引入的摻雜物化合物。因此,第1至5圖中顯示具有不同於基板12的紋理的源/汲區14,以強調組成上的可選的差別,儘管基板12與源/汲區14可能是基板12的連續部分。
各源/汲區14可由基板12的溝道區15橫向隔開,且基板12可包括位於其上的一種或多種閘極金屬16。溝道區15上的閘極金屬16可通過側間隙壁18與源/汲區14及其它組件橫向隔開。閘極金屬16可由一種或多種導電材料組成,包括但不限於金屬、多晶矽等,其通 過應用沉積及/或其它的一種或多種當前已知或以後開發的材料形成製程設於基板溝道區15上方(例如,直接位於基板15上或通過基板12上的薄閘極介電層(未顯示)與該基板隔開)。向閘極金屬16施加電壓偏置可影響溝道區15的電導率,從而可選地使能或禁能基板的源/汲區14之間的電流流動。
側間隙壁18可被設為例如通過沉積、熱生長等橫向鄰近閘極金屬16形成的一個或多個絕緣材料體,且可包括形成於閘極金屬16上或與其相鄰的材料及/或其它結構,以將閘極金屬16與結構10的其它組件電性及物理絕緣。在一個示例實施例中,側間隙壁18可被設為二氧化矽(SiO2)區,其中包括或不包括氮化物。在一些情況下,可使用具有較高介電常數的其它類型絕緣體(例如,包括氧化物及/或矽酸鹽的基於鉿(Hf)的介電質)來形成側間隙壁18。一般來說,本文中所述的側間隙壁18及/或其它電性絕緣材料可由任意絕緣材料組成,例如SiO2或具有高介電常數的介電質,該介電常數可例如高於3.9。適於側間隙壁18的組成的材料可包括例如二氧化矽(SiO2)、氮化矽(SiN)、氧化鉿(HfO2)、氧化鋁(Al2O3)、氧化釔(Y2O3)、氧化鉭(Ta2O5)、二氧化鈦(TiO2)、氧化鐠(Pr2O3)、氧化鋯(ZrO2)、氧化鉺(ErOx)以及具有類似屬性的其它當前已知或以後開發的材料。在任何情況下,閘極金屬16與側間隙壁18可一起形成閘極堆疊結構(也就是“金屬閘極堆疊”),其中,閘極金屬16通過側間隙壁18與上方或相鄰元件隔開。 閘極金屬16可充當自依據本發明的製程所生產的電晶體的閘極端子。
本發明的實施例中的結構10可包括或直接位於源/汲矽化物20區下方。源汲矽化物20可通過使用任意當前已知或以後開發的技術形成,例如,執行原位預清洗,並在源/汲區14上沉積金屬例如鈦(Ti)、鎳(Ni)、鈷(Co)、鉬(Mo)等。接著,可退火該沉積金屬,以使該金屬與源/汲區14反應。該沉積金屬的任意未反應部分可例如通過額外的蝕刻移除。與源/汲區14的其餘部分相比,所得的源/汲矽化物20在該退火之後因其中具有導電金屬而可具有較高的電導率。源/汲矽化物20可同時提供自形成於其上的接觸至多個半導體元件(例如,基板12、源/汲區14,以及/或者閘極金屬16)的電性連接。閘極金屬16與源/汲矽化物20可被共同標識為結構10的“導電區”。
在結構10上(例如在側間隙壁18、源/汲矽化物20等的上表面上)可形成層級間介電(inter-level dielectric;ILD)材料22。ILD材料22可將源/汲區14、閘極金屬16、側間隙壁18以及/或者源汲矽化物20與形成於其上的材料例如在BEOL製程期間形成於IC結構10上的金屬層級層物理及電性隔開。另外,ILD材料22可由與本文中關於側間隙壁18所述相同或類似的絕緣材料的其中一種或多種組成,以及/或者可包括其它電性絕緣材料。更具體地說,ILD材料22可由例如氧化矽(SiO2)或非晶矽組成。用作ILD材料22的其它絕緣體材料可包括例如氮 化矽(Si3N4),氟化SiO2(FSG),氫化碳氧化矽(SiCOH),多孔SiCOH、硼-磷-矽酸鹽玻璃(BPSG),倍半矽氧烷,近無摩擦碳,包括矽、碳、氧及/或氫原子的碳(C)摻雜氧化物(也就是有機矽酸鹽),熱固性聚芳醚,SiLK(可從陶氏化學公司獲得的一種聚芳醚),可從JSR公司獲得的旋塗含矽碳聚合物材料,其它低介電常數(<3.9)材料,或其層。接著,可移除ILD材料22及其它材料的部分以形成接觸開口24,可如本文中所述在其中形成一個或多個導電接觸。各接觸開口24可暴露源汲區14(例如在源/汲矽化物20處)及/或閘極金屬16的上表面。
請參照第2圖,在各開口24內形成任意導電材料之前,本發明的實施例可選擇性地包括用氮電漿處理開口24中的一組暴露側壁25。向暴露側壁25施加氮電漿可促進各開口24中所形成的顆粒擴散進入ILD材料22中,如本文中其它地方所述。例如,向暴露側壁25施加氮電漿可通過僅在其外表面處的極薄的ILD材料層22內形成氮及/或氮化物顆粒來改變暴露側壁25處的ILD材料22的組成。在一個示例實施例中,經處理後,ILD材料22可包括自其外表面達到最多約5奈米厚的氮及/或氮化物化合物,而ILD材料22的其餘部分未改變。用氮電漿處理暴露側壁25的示例製程可包括例如將結構10及ILD材料22浸於電漿(也就是離子化氣體)中,如第2圖中的虛箭頭所示。本文中所使用的電漿是指具有放電的離子化氣體形式,其以約相等濃度的離子與電子為特徵。在該浸入期間 所實施的該電漿可在功率源的幫助下產生,例如該電漿中的氮離子與暴露側壁25反應並處理該暴露側壁。在一些實施例中,可省略用電漿對暴露側壁25的該處理。
請參照第3圖,本發明的實施例可包括在ILD材料22上及接觸開口24內(第1至2圖)形成摻雜金屬層(“摻雜層”)26。在形成以後,摻雜層26可接觸及/或直接位於源/汲區14、閘極金屬16及/或源/汲矽化物20上方。摻雜層26可包括一種或多種金屬,其可例如通過沉積形成。本文中所使用的術語“沉積”通常指適於沉積摻雜層26或其它材料的任意當前已知或以後開發的技術,包括但不限於例如:化學氣相沉積(chemical vapor deposition;CVD)、低壓CVD(low-pressure CVD;LPCVD)、電漿增強型CVD(plasma-enhanced CVD;PECVD)、半大氣壓CVD(semi-atmosphere CVD;SACVD)及高密度電漿CVD(high density plasma CVD;HDPCVD)、快速加熱CVD(rapid thermal CVD;RTCVD)、超高真空CVD(ultra-high vacuum CVD;UHVCVD)、限制反應處理CVD(limited reaction processing CVD;LRPCVD)、金屬有機CVD(metalorganic CVD;MOCVD)、濺鍍沉積、離子束沉積、電子束沉積、激光輔助沉積、熱氧化、熱氮化、旋塗方法、物理氣相沉積(physical vapor deposition;PVD)、原子層沉積(atomic layer deposition;ALD)、化學氧化、分子束外延(molecular beam epitaxy;MBE)、鍍覆,以及/或者蒸鍍。
摻雜層26可在其中包括一種或多種金屬化 合物,且在各種實施例中可包括用第二金屬化合物(“第二金屬”)摻雜的第一金屬化合物(“第一金屬”)。在形成於ILD材料22上以後,摻雜層26可經摻雜以原位地在其中包括該第一及第二金屬,或者替代地,在結構10上設置該摻雜材料之前,可在獨立的製程中摻雜摻雜層26。例如,摻雜層26可通過使用其中包括兩種金屬化合物的單一前驅體材料(例如脒基材料)形成,其可在單個製程中沉積於結構10上。在一個示例實施中,摻雜層26可主要包括原子濃度為例如摻雜層26的總質量的至少約百分之九十五的鈷(Co)。摻雜層26的該第二金屬可包括例如錳(Mn)以及/或者在施熱的情況下可自摻雜層26擴散至其它材料(例如,絕緣體如氧化物)的其它金屬。該第二金屬可以相對該第一金屬顯著較低的濃度設於摻雜層26中,且可代表摻雜層26的總質量的最多約百分之五。因此,摻雜層26的該第二金屬相對該第一金屬可充當摻雜物。作為替代例子,摻雜層26可包括具有類似屬性及/或能力以擴散進入或與ILD材料22反應的兩種不同金屬,例如鈦摻雜鋁,以及/或者具有類似物理屬性的其它金屬。一般來說,摻雜物26中的該第一與第二金屬可彼此不同。若摻雜層26包括鈷,則用氮電漿處理ILD材料22(如第2圖中所示)可使鈷更容易地形成於ILD材料22上。若不用氮電漿處理ILD材料22,則可替代地在ILD材料22上形成一個或多個阻擋層(未顯示),以使鈷形成於其上。在任何情況下,例如通過沉積以及/或者其它當前已知或以後開發的在結構上形成金 屬化合物的製程,在ILD材料22上及/或開口24內可形成摻雜層26。摻雜層26可充當用以形成如本文中所述的至源/汲區14、閘極金屬16及/或源/汲矽化物20的導電接觸的初始金屬材料。
請一併參照第3及4圖,本發明的實施例可包括處理摻雜層26以改變其電性屬性並形成新的材料。尤其,摻雜層26可經退火(例如在約300與600攝氏度之間的溫度下)以使摻雜層26中的該第二金屬擴散進入ILD材料22中。在該退火以後,摻雜層26的未擴散部分(包括例如該退火後的第一金屬)可成為至下方導電區例如源/汲區14、閘極金屬16、源/汲矽化物20等的接觸28。該第二金屬向ILD材料22中的該擴散還可在ILD材料22的外表面上以及直接在ILD材料22的其餘部分與接觸28之間形成界面襯裡30。摻雜層26內的該第二金屬可能不顯著擴散進入一些材料中,例如因為它們的材料組成不包括能夠與該第二金屬化學反應的物質(例如氧化物)。如本文中所述使用並處理摻雜層26的技術優點可包括例如僅在ILD材料22上形成界面襯裡30,而不在暴露導電表面(例如,源/汲區14、閘極金屬16、源/汲矽化物20)上形成界面襯裡30。若ILD材料22包括二氧化矽,則該退火可使該第二金屬擴散進入ILD材料22中,其中,該第二金屬與該二氧化矽反應形成矽酸鹽化合物。在該第二金屬包括錳的實施例中,在該退火之後,界面材料30可包括矽酸錳,而ILD材料22的其餘部分在其中包括氧化矽。在摻雜層26 中包括錳可進一步促進界面襯裡30的形成,例如因為錳的擴散可由氧化合物(例如ILD材料22內的氧化矽)驅動。
請參照第5圖,其顯示依據本發明的實施例的IC結構32。在退火摻雜層26(第3圖)以形成接觸28及界面襯裡30以後,可移除ILD材料22、接觸28及/或界面襯裡30的部分,以獲得其中具有物理隔開的接觸28與界面襯裡30的IC結構32。一個這樣的移除製程可包括例如化學機械拋光(chemical mechanical polishing;CMP),以使IC結構32的上表面大體位於單個水平面上。本文中要注意,接觸28可在其中包括該第一金屬,而界面襯裡30可在其中包括該第二金屬。該第一金屬可包括鈷(Co),而該第二金屬可包括錳(Mn),不過本文中其它地方考慮並討論替代金屬。若ILD材料22包括二氧化矽,則界面襯裡30可由矽酸錳組成。如圖所示,源/汲區14、閘極金屬16及源/汲矽化物20的表面可完全或者幾乎完全沒有界面襯裡30,從而使接觸28的下表面與電晶體結構34的部分之間的任意交界處都沒有界面襯裡30。
依據本發明的處理使IC結構32可包括電晶體結構34,其包括如本文中所述的基板12、源/汲區14、溝道區15以及閘極金屬16,包括源/汲矽化物20以在接觸28與源/汲區14之間提供進一步的電導率。接觸28可位於接觸開口24(第1、2圖)的原始位置內,以使接觸28位於源/汲矽化物20及閘極金屬28上方,與其電性耦接且/或接觸。界面襯裡30(其中具有該第二金屬)可直接位於接 觸28與ILD材料22的側壁25(第2圖)之間。如本文中所述,在各種IC應用及/或產品中,IC結構32的界面襯裡30可替代難熔金屬襯裡。
上述方法用於積體電路晶片的製造。所得的積體電路晶片可由製造者以原始晶圓形式(也就是說,作為具有多個未封裝晶片的單個晶圓)、作為裸晶片,或者以封裝形式分配。在後一種情況中,該晶片設於單晶片封裝件中(例如塑料承載件,其具有附著至母板或其它更高層次承載件的引腳)或者多晶片封裝件中(例如陶瓷承載件,其具有單面或雙面互連或嵌埋互連)。在任何情況下,接著將該晶片與其它晶片、分立電路元件和/或其它信號處理裝置集成,作為(a)中間產品例如母板的部分,或者作為(b)最終產品的部分。
本文中所使用的術語僅是出於說明特定實施例的目的,並非意圖限制本發明。除非上下文中另外明確指出,否則本文中所使用的單數形式“一個”以及“該”也意圖包括複數形式。另外,應當理解,術語“包括”用於本說明書中時表明所述特徵、整體、步驟、操作、元件和/或組件的存在,但不排除存在或添加一個或多個其它特徵、整體、步驟、操作、元件、組件,和/或其群組。“可選的”或“可選地”是指後續所述事件或情況可能發生或者可能不發生,且該說明包括事件發生的情況以及其不發生的情況。
這裡在說明書及申請專利範圍各處所使用的近似語言可用以修飾任意量化表達,可允許該量化表達 變動而不會導致與其相關的基本功能的改變。因此,由一個或多個術語例如“約”及“大體”修飾的值不限於所指定的精確值。在至少一些情況下,該近似語言可對應用以測量該值的儀器的精度。在這裡以及說明書及申請專利範圍各處,範圍限制可組合和/或互換,此類範圍被識別並包括包含於其中的所有子範圍,除非上下文或語言另外指出。應用於一範圍的特定值的“約”適用於兩個值,且除非依賴於測量該值的儀器的精度,否則可表示所述值的+/-10%。
申請專利範圍中的所有手段或步驟加功能元素的相應結構、材料、動作及均等物意圖包括結合具體請求保護的其它請求保護的元素執行該功能的任意結構、材料或動作。本發明的說明用於示例及說明目的,而非意圖詳盡無遺或限於所揭露形式的揭露。許多修改及變更將對於本領域的普通技術人員顯而易見,而不背離本發明的範圍及精神。實施例經選擇及說明以最佳解釋本發明的原理及實際應用,並使本領域的普通技術人員能夠理解本發明針對各種實施例具有適合所考慮的特定應用的各種變更。
12‧‧‧基板
14‧‧‧源/汲區、源汲區
15‧‧‧溝道區、基板溝道區
16‧‧‧閘極金屬
18‧‧‧側間隙壁
20‧‧‧源/汲矽化物、源汲矽化物
22‧‧‧層級間介電材料、ILD材料、ILD材料層
28‧‧‧接觸
30‧‧‧界面襯裡
32‧‧‧IC結構
34‧‧‧電晶體結構

Claims (6)

  1. 一種積體電路(IC)結構,包括:電晶體結構,包括:包括源/汲區的基板,位於該基板的該源/汲區上的源/汲矽化物,以及位於該基板上方的閘極金屬;層級間介電(ILD)材料,位於該電晶體結構上,其中,該ILD材料包括至該電晶體結構的該源/汲矽化物或該閘極金屬的選定的其中之一的接觸開口;導電接觸,位於該接觸開口內,以使該導電接觸位於該源/汲矽化物或該閘極金屬的選定的其中之一的上方並與其電性耦接,其中,該導電接觸的材料組成包括第一金屬;以及界面襯裡,直接位於該導電接觸與該ILD材料的側壁之間,其中,該界面襯裡位於僅沿著該接觸開口內的該導電接觸的側壁,以及其中,該界面襯裡包括第二金屬及該ILD材料。
  2. 如申請專利範圍第1項所述之IC結構,其中,該第一金屬包括鈷(Co)。
  3. 如申請專利範圍第2項所述之IC結構,其中,該第二金屬包括錳(Mn)。
  4. 如申請專利範圍第1項所述之IC結構,其中,該ILD材料包括氧化矽,以及其中,該界面襯裡包括矽酸錳。
  5. 如申請專利範圍第1項所述之IC結構,其中,該導電 接觸直接位於該源/汲矽化物或該閘極金屬的上表面上,從而在該導電接觸的下表面與該電晶體結構之間的交界處沒有該界面襯裡。
  6. 如申請專利範圍第1項所述之IC結構,其中,該界面襯裡為該第二金屬及該ILD材料的擴散組成。
TW108113985A 2016-07-28 2017-06-07 具有界面襯裡的ic結構及其形成方法 TWI706512B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/221,647 2016-07-28
US15/221,647 US10079208B2 (en) 2016-07-28 2016-07-28 IC structure with interface liner and methods of forming same

Publications (2)

Publication Number Publication Date
TW201933540A TW201933540A (zh) 2019-08-16
TWI706512B true TWI706512B (zh) 2020-10-01

Family

ID=60951454

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108113985A TWI706512B (zh) 2016-07-28 2017-06-07 具有界面襯裡的ic結構及其形成方法
TW106118857A TWI675436B (zh) 2016-07-28 2017-06-07 具有界面襯裡的ic結構及其形成方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW106118857A TWI675436B (zh) 2016-07-28 2017-06-07 具有界面襯裡的ic結構及其形成方法

Country Status (4)

Country Link
US (2) US10079208B2 (zh)
CN (1) CN107665860B (zh)
DE (1) DE102017212653A1 (zh)
TW (2) TWI706512B (zh)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8956850B2 (en) 2008-06-05 2015-02-17 Butamax Advanced Biofuels Llc Enhanced pyruvate to acetolactate conversion in yeast
BRPI0909965A2 (pt) 2008-06-04 2015-08-04 Butamax Advanced Biofuels Llc Método para a recuperação de butanol a partir de um meio de fermentação e método para a produção de butanol
US9260708B2 (en) 2009-09-29 2016-02-16 Butamax Advanced Biofuels Llc Yeast production host cells
NZ600585A (en) 2009-12-29 2014-07-25 Butamax Tm Advanced Biofuels Expression of hexose kinase in recombinant host cells
AU2011268169A1 (en) 2010-06-18 2012-12-06 Butamax(Tm) Advanced Biofuels Llc Production of alcohol esters and in situ product removal during alcohol fermentation
CN106876327B (zh) * 2017-02-17 2019-10-15 京东方科技集团股份有限公司 一种阵列基板及其制备方法、显示装置
US10283404B2 (en) * 2017-03-30 2019-05-07 Lam Research Corporation Selective deposition of WCN barrier/adhesion layer for interconnect
US10177038B1 (en) * 2017-11-30 2019-01-08 Taiwan Semiconductor Manufacturing Co., Ltd. Prevention of contact bottom void in semiconductor fabrication
US11018053B2 (en) 2018-06-29 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with material modification and low resistance plug
CN110875237B (zh) 2018-08-29 2021-12-14 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11043594B2 (en) 2019-03-26 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Low parasitic resistance contact structure
US11257753B2 (en) * 2020-01-21 2022-02-22 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure and method for manufacturing the interconnect structure

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140183742A1 (en) * 2012-12-27 2014-07-03 Tokyo Electron Limited Manganese-containing film forming method, processing system, electronic device manufacturing method and electronic device
US8836129B1 (en) * 2013-03-14 2014-09-16 United Microelectronics Corp. Plug structure
US20150270215A1 (en) * 2014-03-21 2015-09-24 Taiwan Semiconductor Manufacturing Co., Ltd. Via pre-fill on back-end-of-the-line interconnect layer

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6284644B1 (en) * 2000-10-10 2001-09-04 Chartered Semiconductor Manufacturing Ltd. IMD scheme by post-plasma treatment of FSG and TEOS oxide capping layer
JP5309454B2 (ja) * 2006-10-11 2013-10-09 富士通セミコンダクター株式会社 半導体装置の製造方法
US7842592B2 (en) * 2007-06-08 2010-11-30 International Business Machines Corporation Channel strain engineering in field-effect-transistor
EP2162906B1 (en) 2007-06-29 2013-10-02 Imec A method for producing a copper contact
KR101558428B1 (ko) * 2009-03-03 2015-10-20 삼성전자주식회사 반도체 장치의 형성 방법
US8653664B2 (en) * 2009-07-08 2014-02-18 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layers for copper interconnect
US8531033B2 (en) 2009-09-07 2013-09-10 Advanced Interconnect Materials, Llc Contact plug structure, semiconductor device, and method for forming contact plug
JP2014062312A (ja) * 2012-09-24 2014-04-10 Tokyo Electron Ltd マンガンシリケート膜の形成方法、処理システム、半導体デバイスの製造方法および半導体デバイス
US9123783B2 (en) * 2012-11-09 2015-09-01 GlobalFoundries, Inc. Integrated circuits and methods of forming integrated circuits with interlayer dielectric protection
US9190321B2 (en) 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
US9217620B2 (en) * 2013-07-25 2015-12-22 Terrence L. W. Peacemaker Stock attachment riser
US9564332B2 (en) * 2013-09-26 2017-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanism for forming metal gate structure
US9997457B2 (en) * 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US20150255331A1 (en) 2014-03-04 2015-09-10 GlobalFoundries, Inc. Integrated circuits with a copper and manganese component and methods for producing such integrated circuits
US9431296B2 (en) * 2014-06-26 2016-08-30 International Business Machines Corporation Structure and method to form liner silicide with improved contact resistance and reliablity
US9385080B2 (en) 2014-08-15 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140183742A1 (en) * 2012-12-27 2014-07-03 Tokyo Electron Limited Manganese-containing film forming method, processing system, electronic device manufacturing method and electronic device
US8836129B1 (en) * 2013-03-14 2014-09-16 United Microelectronics Corp. Plug structure
US20150270215A1 (en) * 2014-03-21 2015-09-24 Taiwan Semiconductor Manufacturing Co., Ltd. Via pre-fill on back-end-of-the-line interconnect layer

Also Published As

Publication number Publication date
TWI675436B (zh) 2019-10-21
US20180033728A1 (en) 2018-02-01
US20180337126A1 (en) 2018-11-22
TW201816940A (zh) 2018-05-01
CN107665860B (zh) 2021-06-08
US10079208B2 (en) 2018-09-18
DE102017212653A1 (de) 2018-02-01
CN107665860A (zh) 2018-02-06
TW201933540A (zh) 2019-08-16
US10553478B2 (en) 2020-02-04

Similar Documents

Publication Publication Date Title
TWI706512B (zh) 具有界面襯裡的ic結構及其形成方法
US20240079266A1 (en) Air gap spacer formation for nano-scale semiconductor devices
US11901295B2 (en) Dielectric film for semiconductor fabrication
US8125049B2 (en) MIM capacitor structure in FEOL and related method
US9589892B2 (en) Interconnect structure and method of forming the same
US20120104512A1 (en) Sealed air gap for semiconductor chip
US8435891B2 (en) Converting metal mask to metal-oxide etch stop layer and related semiconductor structure
US10153232B2 (en) Crack stop with overlapping vias
US8658435B2 (en) Hydrogen barrier liner for ferro-electric random access memory (FRAM) chip
US10109599B2 (en) Integrated circuit structure with continuous metal crack stop
CN114639644A (zh) 用于半导体器件的散热隔离结构
US11658067B2 (en) Semiconductor structure and formation method thereof
TWI830079B (zh) 在基板與電晶體之間具有高阻抗半導體材料的積體電路(ic)結構
US8835292B2 (en) Method of manufacturing semiconductor devices including replacement metal gate process incorporating a conductive dummy gate layer
CN108695237B (zh) 一种半导体器件及其制作方法
US20180138285A1 (en) Methods of forming integrated circuit structure with silicide reigon