TWI706504B - 用以降低靜電夾頭中之溫度變遷的系統及方法 - Google Patents

用以降低靜電夾頭中之溫度變遷的系統及方法 Download PDF

Info

Publication number
TWI706504B
TWI706504B TW105119360A TW105119360A TWI706504B TW I706504 B TWI706504 B TW I706504B TW 105119360 A TW105119360 A TW 105119360A TW 105119360 A TW105119360 A TW 105119360A TW I706504 B TWI706504 B TW I706504B
Authority
TW
Taiwan
Prior art keywords
temperature
substrate
controlling
tce
processing system
Prior art date
Application number
TW105119360A
Other languages
English (en)
Other versions
TW201709400A (zh
Inventor
張濤
沃爾 瓦爾德曼
艾瑞克 A 派博
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201709400A publication Critical patent/TW201709400A/zh
Application granted granted Critical
Publication of TWI706504B publication Critical patent/TWI706504B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/1927Control of temperature characterised by the use of electric means using a plurality of sensors
    • G05D23/193Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces
    • G05D23/1931Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces to control the temperature of one space
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Remote Sensing (AREA)
  • Automation & Control Theory (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

在基板處理系統中用以控制基板之溫度的系統包含基板支持裝置、控制器、溫度感測器、及熱控制元件(TCE)。控制器配置以在第一階段期間,控制TCE去基於在基板被裝載在基板支持裝置上之前的基板之溫度與對於基板支持裝置的期望溫度之間的溫度差值而調整基板支持裝置的溫度至一溫度值。溫度值不等於期望溫度。在第一階段開始之後、及在基板支持裝置的溫度回歸到期望溫度之前,將基板裝載在基板支持裝置上。控制器更配置以在接續於第一階段之後的第二階段期間,將基板支持裝置的溫度控制到對於基板支持裝置的期望溫度。

Description

用以降低靜電夾頭中之溫度變遷的系統及方法 [相關技術領域之交互參照]
本申請案主張2015年6月22日提申之美國臨時專利申請案第62/182896號之權益。前文提到的申請案的完整揭露併入本案中之參考資料。
本發明係關於基板處理系統,更具體而言係關於用以控制在基板處理系統中的基板支持裝置之溫度的系統與方法。
本文提供之先前技術的敘述,係為了整體地呈現本發明之背景。在先前技術部分中敘述的成果之範圍內,本案列名之發明人的成果,以及在申請期間不適格作為先前技術之敘述觀點,皆非直接或間接地被承認係對抗本發明之先前技術。
基板處理系統可用於執行蝕刻、沉積、微影、及/或基板(例如半導體晶圓)的其他處理。可在基板上執行的例示性處理包括(但不限於):電漿增強化學氣相沉積(PECVD)處理、化學性增強電漿氣相沉積(CEPVD)處理、濺鍍物理氣相沉積(PVD)處理、離子佈植處理、及/或其他蝕刻、沉積、及清潔處理等。在基板處理系統的處理腔室中,可將基板設置在支座、靜電夾頭等上。例如,在 蝕刻或沉積處理(例如PECVD處理)期間,將包括一或更多前驅物的氣體混合物引進處理腔室中,並引燃電漿以蝕刻基板。
在處理步驟期間,系統的各種元件及基板本身的溫度可能有變化。這些溫度變化可能對所產生的基板產生不樂見的影響(例如不一致的臨界尺寸)。因此,在處理期間,基板處理系統可實施用以控制各種元件及基板之溫度的各種系統與方法。
此段落提供本發明之概略摘要,並非其完整範疇或其所有特徵的全面性揭露。
根據一態樣,本發明提供在基板處理系統中用以控制基板之溫度的系統。用以控制基板之溫度的系統包含基板支持裝置、控制器、溫度感測器、及熱控制元件(TCE)。該基板支持裝置配置以支持該基板。該溫度感測器配置以感測該基板支持裝置之溫度。該TCE配置以選擇性地加熱該基板支持裝置。該控制器配置以判定下列兩者之間的溫度差值:在該基板被裝載在該基板支持裝置上之前的該基板之溫度,以及該基板支持裝置的期望溫度。該控制器更配置以在第一階段期間,控制該TCE以基於該溫度差值而調整該基板支持裝置的溫度至一溫度值。該溫度值不等於該基板支持裝置的期望溫度。在該第一階段開始之後、及在該基板支持裝置的溫度回歸到該期望溫度之前,可將基板裝載在該基板支持裝置上。該控制器更配置以接續於該第一階段之後的在第二階段期間,將該基板支持裝置的溫度控制到該基板支持裝置的期望溫度。
在一些配置中,該溫度值大於該基板支持裝置的期望溫度。
在一些配置中,該溫度值小於該基板支持裝置的期望溫度。
在一些配置中,該溫度差值包括對應到第一基板的第一溫度差值,以及對應到第二基板的第二溫度差值。
在一些配置中,該系統包含一記憶體,其儲存該第一溫度差值及該第二溫度差值中之至少一者。
在一些配置中,該控制器更配置以至少部分基於該第一溫度差值及該第二溫度差值來控制該TCE。
在一些配置中,該控制器配置以基於該第二溫度差值來控制該TCE,該第二溫度差值因該第一溫度差值而產生變量(offset)。
在一些配置中,對應到該第二基板的TCE控制係基於對應到該第一基板的TCE控制而判定。
在一些配置中,該控制器配置以判定對應到第三基板的第三溫度差值,且該控制器更配置以基於該第三溫度差值來控制該TCE,該第三溫度差值因該第一溫度差值及該第二溫度差值中之至少一者而產生變量。
在一些配置中,該控制器配置以在該第一基板及該第二基板被裝載在該基板支持裝置上之後判定該第三溫度差值。
在一些配置中,該控制器配置以在該第二基板被裝載在該基板支持裝置上之前基於該第一溫度差值來控制該TCE。
在一些配置中,對該TCE之控制包括對該TCE之循環時間及功率中之至少一者之控制。
在一些配置中,該TCE係設置在該基板支持裝置的一加熱板內。
在一些配置中,該基板支持裝置包括一靜電夾頭。
在一些配置中,該基板配置以在該第二階段期間將該基板支持裝置之溫度從該溫度值降低至該期望溫度。
在一些配置中,該基板配置以在該第二階段期間將該基板支持裝置之溫度從該溫度值提高至該期望溫度。
在一些配置中,該系統包含一感測器,配置以在該第一階段之前量測該基板的溫度。
在一些配置中,該TCE為巨觀TCE及微觀TCE中之一者。
根據另一態樣,本發明提供在基板處理系統中用以控制基板之溫度的方法。該方法判定下列兩者之間的溫度差值:在該基板被裝載在一基板支持裝置上之前的該基板之溫度,以及該基板支持裝置的期望溫度。該方法亦包括在第一階段期間,控制TCE去基於該溫度差值而調整該基板支持裝置的溫度至一溫度值。該溫度值不等於該基板支持裝置的期望溫度。該方法更包括在該第一階段開始之後、及在該基板支持裝置的溫度回歸到該期望溫度之前,將該基板裝載在該基板支持裝置上。該方法亦包括在接續於該第一階段之後的第二階段期間,將該基板支持裝置的溫度控制到該基板支持裝置的期望溫度。
在一些配置中,該方法包括將該第一溫度差值及該第二溫度差值中之至少一者儲存於一記憶體中。
在一些配置中,該方法包括控制該TCE以至少部分基於該第一溫度差值及該第二溫度差值而將該基板支持裝置的溫度調整到該溫度值。
在一些配置中,該方法包括基於該第二溫度差值來控制該TCE,該第二溫度差值因該第一溫度差值而產生變量(offset)。
在一些配置中,該方法包括基於對應到該第一基板之TCE的控制而控制對應到該第二基板的TCE。
在一些配置中,該方法包括在該第一基板及該第二基板被裝載在該基板支持裝置上之後,判定下列兩者之間的第三溫度差值:該基板支持裝置的期望溫度,以及第三基板的基板溫度。
在一些配置中,該方法包括基於該第三溫度差值來控制該TCE,該第三溫度差值因該第一溫度差值及該第二溫度差值中之至少一者而產生變量。
在一些配置中,該方法包括在該第二階段期間,藉由該基板,將該基板支持裝置之溫度從該溫度值控制到該期望溫度。
在一些配置中,該方法包括在該第一階段之前量測該基板的溫度。
從詳細的實施方式、申請專利範圍,以及圖式,本發明的實用性的更遠範圍將變得明顯。該詳細的實施方式與具體的例子僅是為了描述之目的,而非欲限制本發明之範疇。
100:基板處理系統
102:處理腔室
104:上電極
106:ESC
108:基板
109:噴淋頭
110:底板
112:加熱板
114:阻熱層
116:冷卻液通道
120:RF產生系統
122:RF電壓產生器
124:匹配及配送網路
130:氣體輸送系統
132:氣體來源
134:閥
136:質流控制器
140:集流箱
142:溫度控制器
144:TCEs
146:冷卻液組件
150:閥
152:泵浦
160:系統控制器
170:機器手臂
172:負載鎖室
200:ESC
204:溫度控制器
208:電氣連接件
212:巨觀TCEs
216:微觀TCEs
220:溫度感測器
224:區
228:底板
232:冷卻液通道
236:阻熱層
240:加熱板
244:第一層
248:第二層
300:溫度控制器
304:TCE控制器
308:記憶體
312:介面
314:巨觀TCE控制器
316:微觀TCE控制器
320:感測器
500:方法
504:操作
506:操作
508:操作
512:操作
516:操作
518:操作
520:操作
522:操作
600:方法
604:操作
605:操作
606:操作
608:操作
610:操作
612:操作
614:操作
616:操作
618:操作
620:操作
622:操作
624:操作
700:溫度控制系統
702:比較測定機
704:控制方塊
706:控制節點
708:TCE控制器
800:溫度控制系統
802:比較測定機
804:控制方塊
806:控制節點
808:控制方塊
810:控制節點
812:TCE控制器
從詳細的實施方式及隨附圖式,將能更完整地理解本發明,其中:圖1為根據本發明之原則包括靜電夾頭的例示性基板處理系統的功能性方塊圖;圖2A為根據本發明之原則的例示性靜電夾頭;圖2B圖解根據本發明之原則的例示性靜電夾頭的區及巨觀熱控制元件; 圖2C圖解根據本發明之原則的例示性靜電夾頭的區及微觀熱控制元件;圖3為用於根據本發明之原則的靜電夾頭的例示性溫度控制器;圖4圖解根據本發明之原則在基板處理系統中用以控制靜電夾頭之溫度的例示性方法;圖5圖解根據本發明之原則在基板處理系統中用以控制靜電夾頭之溫度的另一例示性方法;圖6為用於根據本發明之原則的靜電夾頭的例示性溫度控制系統;圖7為用於根據本發明之原則的靜電夾頭的另一例示性溫度控制系統;在該等圖式中,參考數字可重複使用來標示相似及/或相同的元件。
在基板處理系統中,基板可被設置在平台或基板支持裝置上以進行處理,舉例而言,如支座、或諸如靜電夾頭(ESC)的夾持裝置。不同的處理及個別的步驟可能要求基板被維持在不同的溫度下。因此,在基板處理系統中對基板進行處理之前,可先控制ESC的溫度。具體而言,為確保處理及個別的步驟係在預設之溫度下進行,在安置或夾持基板於ESC上之前,可先控制或改變ESC的接觸表面溫度。僅作為範例,ESC可包括加熱板(例如陶瓷加熱板)。可將基板安置在加熱板上。因此,加熱板的溫度受控制而達到基板的期望溫度。
根據本發明之原則在基板處理系統中用以控制靜電夾頭之溫度的系統與方法中,包括複數區的ESC(例如多區ESC)可包括一或更多溫度控制元件 (TCEs)。TCEs可包括加熱元件。在一些配置中,ESC包括針對加熱板之各區之個別的巨觀TCEs、以及分布在整個加熱板中的複數個微觀TCEs。
在根據本發明之原則的系統與方法中,TCEs可單獨地或集合地受控制以補償下列兩者之間的差值:期望處理溫度(或複數期望處理溫度)、與ESC及/或基板的溫度。具體而言,在安置基板於ESC上之前,可先控制TCEs。在一些配置中,控制TCEs可相當於預熱ESC。在一些配置中,控制TCEs可相當於預冷ESC。期望處理溫度可相當於預設的設定點溫度,基板在該預設的設定點溫度下受處理(例如蝕刻、清潔、沉積、微影等)。例如,若基板的溫度低於期望處理溫度,則在安置基板於ESC上之前,可先控制TCEs以加熱ESC。因此,在安置基板於ESC上之後,基板的溫度提高,而ESC的溫度降低,使得基板與ESC的溫度等於期望處理溫度。相似地,若基板的溫度高於期望處理溫度,則在安置基板於ESC上之前,可先控制TCEs以使ESC冷卻。因此,在安置基板於ESC上之後,基板的溫度降低,而ESC的溫度提高,使得基板與ESC的溫度等於期望處理溫度。在安置基板於ESC上之前,控制TCEs的溫度並因此控制ESC的溫度,縮短了使基板與ESC的溫度達到期望處理溫度所需的時間量。因此,在安置基板於ESC上之前,控制TCEs的溫度並因此控制ESC的溫度,增加了基板處理系統的產出量。
在一些配置中,根據本發明之原則在基板處理系統中用以控制靜電夾頭之溫度的系統與方法包括閉迴路系統。就這點而言,可根據TCEs對先前基板(或複數先前基板)(n-1、n-2等)的控制,來調整TCEs對基板(n)的控制。具體而言,根據本發明之原則的系統與方法可從TCEs對先前基板(或複數先前基板)的控制而學習,並且相應地將TCEs對後續基板的控制加以調整。
現參照圖1,呈現用以使用RF電漿執行蝕刻的例示性基板處理系統100。基板處理系統100包括處理腔室102,其圍繞基板處理系統100的其他元件並容納RF電漿。基板處理系統100包括上電極104及靜電夾頭(ESC)106。在操作期間,基板108被安置在ESC106上。
僅作為範例,上電極104可包括引導並配送處理氣體的噴淋頭109。噴淋頭109可包括一桿部,該桿部包括連接至處理腔室的頂部表面的一末端。在與該處理腔室的頂部表面隔開一位置之處,一基底部大致上為圓柱狀,並且從該桿部的對側末端徑向地朝外延伸。噴淋頭之基底部的面基板表面或面板包括複數孔洞,而處理氣體或沖洗氣體流動通過該複數孔洞。替代地,上電極104可包括導電板,而處理氣體可被以另一方式引導。
ESC106包括做為下電極的導電性底板110。底板110將可相當於陶瓷多區加熱板的加熱板112支持住。底板110與加熱板112之間可安置阻熱層114。底板110可包括一或更多冷卻液通道116,其用以使冷卻液在底板110中流動。
RF產生系統120產生並輸出RF電壓至上電極104及下電極(例如ESC106的底板110)中之一者。上電極104及底板110中之另一者可DC接地、AC接地、或浮接。僅作為範例,RF產生系統120可包括產生RF電壓的RF電壓產生器122,RF電壓透過匹配及配送網路124饋送至上電極104或底板110。在其他範例中,可感應地或遠端地產生電漿。
氣體輸送系統130包括一或更多氣體來源132-1、132-2、...、及132-N(統稱為氣體來源132),其中N為大於零的整數。氣體來源供應一或更多前驅物及其中之混合物。氣體來源亦可供應沖洗氣體。亦可使用經汽化的前驅 物。氣體來源132透過閥134-1、134-2、...、及134-N(統稱為閥134)及質流控制器136-1、136-2、...、及136-N(統稱為質流控制器136)連接至集流箱140。集流箱140之輸出被饋送至處理腔室102。僅作為範例,集流箱140之輸出被饋送至噴淋頭109。
溫度控制器142可被連接至安置在加熱板112中的複數熱控制元件(TCEs)144。例如,TCEs144可包括(但不限於):個別的巨觀TCEs,對應到多區加熱板中之各區;及/或微觀TCEs之陣列,設置在多區加熱板的複數個區中,如圖2A及2B中進一步詳細描述。溫度控制器142可用以控制複數TCEs144去控制ESC106及基板108的溫度。例如,在安置基板108於ESC106上之前,可先使用溫度控制器142控制複數TCEs144。在一些配置中,在安置第一基板於ESC106上之前,溫度控制器142可先控制複數TCEs144,且接續該第一基板,在安置第二基板於ESC106上之前,其亦可控制複數TCEs144。具體而言,在安置第二基板於ESC106上之前,對於複數TCEs144之控制,可透過安置第一基板於ESC106上之前的對於複數TCEs144之控制而被加以調整。
溫度控制器142可與冷卻液組件146通訊,以控制冷卻液通道116中的冷卻液流量。例如,冷卻液組件146可包括冷卻液泵浦與貯存器。溫度控制器142操作冷卻液組件146去選擇性地使冷卻液流經冷卻液通道116,俾冷卻ESC106。
可使用閥150及泵浦152將反應物從處理腔室102中排空。可使用系統控制器160來控制基板處理系統100的元件。可使用機器手臂170將基板輸送至ESC106上以及將基板從ESC106上移開。例如,機器手臂170可在ESC106與負載鎖室172之間傳送基板。
現參照圖2A、2B、及2C,呈現例示性ESC200。溫度控制器204經由一或更多電氣連接件208與ESC200通訊。例如,電氣連接件208可包括(但不限於):用以選擇性地控制巨觀TCEs 212-1、212-2、212-3、及212-4(統稱為巨觀TCEs 212)及/或微觀TCEs 216的連接件;以及用以從一或更多區之溫度感測器220接收溫度反饋的連接件。
如圖所示,ESC200為多區ESC,其包括區224-1、224-2、224-3、及224-4(通稱為區224)。雖然以四個同心圓的區224呈現,但在實施例中,ESC200可包括一、二、三、或多於四個區224。每一區224包括至少一區之溫度感測器220,以及個別的一巨觀TCEs 212。例如,ESC200包括底板228,其包括冷卻液通道232、形成在底板228之上的阻熱層236、及形成在阻熱層236之上的多區陶瓷加熱板240。加熱板240可包括複數接合層,其包括如圖2B所示之第一層244、及如圖2C所示之第二層248。第一層244包括巨觀TCEs 212,而第二層248包括複數微觀TCEs 216。如圖所示,巨觀TCEs 212及第一層244顯示為安置在微觀TCEs 216及第二層248之上。在一些實施例中,巨觀TCEs 212及第一層244可安置在微觀TCEs 216及第二層248之下。
溫度控制器204根據期望的設定點溫度來控制巨觀TCEs 212及微觀TCEs 216。例如,溫度控制器204可接收(例如從圖1所示之系統控制器160接收)對於一或更多區224的設定點溫度。僅作為範例,溫度控制器204可接收對於全部或若干的區224的相同設定點溫度、及/或對於各個區224的不同且個別的設定點溫度。對於各個區224的設定點溫度可跨不同處理地、及跨各處理的不同階段地變化。
溫度控制器204基於個別的設定點溫度及由溫度感測器220所提供之溫度反饋,來控制針對各個區224的巨觀TCEs 212。例如,溫度控制器204獨立地調整提供給各個巨觀TCEs 212的功率(例如電流),以在各個溫度感測器220達到設定點溫度。巨觀TCEs 212可各包括單一電阻性線圈或其他結構,以圖2B之虛線示意地表現。因此,調整巨觀TCEs 212中之一者對整個個別的區224的溫度產生影響。溫度感測器220可提供僅針對各個區224的局部部位的溫度反饋。僅作為範例,可將溫度感測器220設置在區224之一部位中,該部位在先前被認為與區224之平均溫度有著最密切的關聯性。
另一方面,溫度控制器204可單獨地控制各個微觀TCEs 216,以局部地調整區224的溫度。例如,雖然各個微觀TCEs 216可完全地位在其中一區224內,但調整微觀TCEs 216之任一者的熱輸出,可對整個加熱板240的地點與複數區224產生熱影響。因此,可選擇性地將一或更多微觀TCEs 216啟動及/或關閉,以進一步調整區224的溫度。
根據本發明之原則用以轉變或控制ESC(例如ESC200)之溫度及/或ESC與基板(例如基板108)之溫度的變化的系統與方法中,在安置基板於ESC上之前,溫度控制器204選擇性地將一或更多TCEs(例如微觀TCEs 216及/或巨觀TCEs 212)啟動,以補償基板之真實溫度與基板之期望溫度之間的差值。具體而言,根據本發明之原則,在安置基板於ESC上之前,可選擇性地先透過下列方式控制ESC的接觸表面溫度:將一或更多TCEs啟動以增加或減少提供給ESC的熱量,俾加熱或冷卻ESC。透過在安置基板於ESC上之前先選擇性地加熱及/或冷卻ESC,可提高基板處理系統(例如基板處理系統100)的產出量。具體而言,縮短了在基板上執行處理步驟之前為達到基板之預設溫度所需的時間量。
現參照圖3並參考圖2A、2B、及2C,圖解溫度控制器300之例示性實施例。溫度控制器包括TCE控制器304、記憶體308、以及用以與例如圖1所示之系統控制器160通訊的介面312。例如,溫度控制器300經由介面312從系統控制器160接收處理溫度。該處理溫度可相當於預設或期望的設定點溫度,處理係在該預設或期望的設定點溫度下進行。就這一點而言,該處理溫度可包括多於一個處理溫度值,使得各處理溫度值對應到不同的處理步驟。該處理溫度可進一步包括對於每一區224的單一處理溫度、及/或對於各個個別的區224的不同的處理溫度。就這一點而言,該處理溫度可相當於從基板處理系統之使用者接收到的溫度、及/或在執行處理時由基板處理系統自動地產生的溫度。介面312提供處理溫度給TCE控制器304。
在一些配置中,TCE控制器304包括巨觀TCE控制器314及微觀TCE控制器316。巨觀TCE控制器314根據所接收到的處理溫度(或複數處理溫度)來控制巨觀TCEs212。例如,巨觀TCE控制器314可將各個巨觀TCE212調整至對應的處理溫度(或複數處理溫度)。具體而言,巨觀TCE控制器314可調整各個巨觀TCE212直到各個區224中的溫度達到處理溫度(或複數處理溫度)為止然後可控制微觀TCEs 216以達到整個區224中的處理溫度(或複數處理溫度),藉此補償區224中的溫度不均勻性。例如,可控制微觀TCEs 216的若干者,以將在區224之一部分中的溫度提高第一數值,並可控制微觀TCEs 216的其他者,以將在區224之另一部分中的溫度提高第二數值,該第二數值高於或低於該第一數值。
一或更多溫度感測器220可提供對應到ESC(例如ESC200)之溫度的溫度反饋給TCE控制器304。例如,由溫度感測器220所提供的溫度反饋可包 括一或更多區224的溫度(或複數溫度)。如下將進一步詳細描述,在安置基板(例如基板108)於ESC上之前或之後,ESC之溫度可被感測並且提供給TCE控制器304。
一或更多感測器320可提供對應到基板之溫度的溫度反饋給TCE控制器304。在安置基板於ESC上之前,由感測器320所提供的溫度反饋可被感測並且提供給TCE控制器304。
記憶體308可包括非揮發性記憶體,舉例而言,如快閃記憶體。來自溫度感測器220及320的溫度反饋儲存在記憶體308中。記憶體308亦可儲存與在基板處理系統100中處理基板相關的其他特徵值,舉例而言,如預設或閾值處理溫度、及基板的熱容常數。此外,如下將進一步詳細描述,記憶體308可儲存巨觀及/或微觀TCEs212、216之各者的先前預設的功率分布(例如電流、電流所消耗的循環時間等)。具體而言,在處理後續基板之前,記憶體308可儲存溫度反饋、處理特徵值、及/或先前預設作使用的功率分布。例如,TCE控制器304可存取並使用對應到先前處理之基板(或複數基板)的功率分布,以判定後續基板(或複數基板)的功率分布。
現參考圖4,在基板處理系統中用以控制ESC之溫度的例示性方法500始於504。如下將進一步詳細描述,方法500可在處理基板之前開始。在一些配置中,方法500在安置基板於ESC上之前開始。
在506,溫度控制器接收對於個別的基板的期望處理溫度或複數期望處理溫度。例如,在一些配置中,使用者可透過介面(例如介面312)發送期望處理溫度給溫度控制器(例如溫度控制器300)。在其他配置中,溫度控制器可從記憶體擷取期望處理溫度。
在508,溫度控制器判定ESC之溫度。例如,溫度控制器可從一或更多溫度感測器(例如溫度感測器220)接收ESC之溫度。
在512,方法500判定基板之溫度。例如,溫度控制器可從一或更多溫度感測器(例如感測器320)接收基板之溫度。在516,溫度控制器判定基板之溫度是否等於期望處理溫度。在516,若方法判定基板之溫度等於期望處理溫度,則方法500繼續進行至518。在516,若方法500判定基板之溫度不等於期望處理溫度,則方法500繼續進行至520,其中溫度控制器及/或TCE控制器控制一或更多TCEs(例如巨觀TCEs212及/或微觀TCEs216)。
在516,若方法500判定基板之溫度小於期望處理溫度,則TCE控制器控制一或更多TCEs去施加預設的熱量給ESC並提高ESC之溫度。具體而言,在輸送基板至ESC之前,TCE控制器可將一或更多TCEs啟動至預設的功率位準及/或針對預設的時間量去施加預設的熱量給ESC,使得ESC之溫度超過期望處理溫度。TCE控制器可計算促使基板之溫度等於ESC之溫度的熱能。例如,TCE控制器可從記憶體(例如記憶體308)擷取基板的熱容常數,並將熱容常數乘以基板之溫度與期望處理溫度之間的差值,而算出促使基板之溫度等於ESC之溫度的熱能。具體而言,根據下列方程式,TCE控制器可施加熱能「Q」給基板,其中「C」為基板的熱容常數,TW為期望處理溫度,而TW0為基板之溫度:Q=C×(T W -T W0)
在516,若方法判定基板之溫度大於期望處理溫度,則TCE控制器控制一或更多TCEs去從ESC移除預設的熱量並降低ESC之溫度。在一些配置中,在輸送基板至ESC之前,TCE控制器可針對預設的時間量而將一或更多 TCEs關閉,或降低送至一或更多TCEs的功率,直到預設的熱量從ESC移除為止,使得ESC之溫度低於期望處理溫度。在其他配置(其中一或更多TCEs包括珀爾帖元件(Peltier element)或類似裝置)中,在輸送基板至ESC之前,TCE控制器可針對預設的時間量而將一或更多TCEs啟動,或提高送至一或更多TCEs的功率,直到預設的熱量從ESC移除為止,使得ESC之溫度低於期望處理溫度。
在518,在基板處理系統中進行處理之前,將基板安置於ESC上。具體而言,在518,可將基板夾持在ESC上。若基板之溫度大於期望處理溫度,則基板支持裝置之溫度會隨後提高至期望溫度,而基板之溫度會隨後降低至期望溫度。另一方面,若基板之溫度小於期望處理溫度,則基板支持裝置之溫度會隨後降低至期望溫度,而基板之溫度會隨後提高至期望溫度。方法結束於522。
現參考圖5,在基板處理系統中用以控制ESC之溫度的另一例示性方法600始於604。方法600可實質上相似於方法500,除了另外在此提出者以外。就這一點而言,方法600可由溫度控制器或控制系統(例如圖3所繪之溫度控制器300)實施。方法600之至少一部份可在處理基板之前進行。在一些配置中,方法600之至少一部份在安置基板於ESC上之前進行。
在605,第一基板存在於基板處理系統中以進行處理。在606,溫度控制器宣告對於基板的期望處理溫度或複數期望處理溫度。例如,在一些配置中,使用者可透過介面(例如圖3中之介面312)發送期望處理溫度給溫度控制器。在其他配置中,溫度控制器可從記憶體(例如圖3中之記憶體308)擷取期望處理溫度。
在608,溫度控制器判定ESC之第一溫度。例如,在一些配置中,溫度控制器可從一或更多溫度感測器(例如溫度感測器220)接收ESC之溫度。在其他配置中,溫度控制器可從記憶體擷取ESC之第一溫度。
在610,溫度控制器判定ESC之第一溫度是否等於期望處理溫度。在610,若方法600判定ESC之第一溫度等於期望處理溫度,則方法600繼續進行至612。在610,若方法600判定ESC之第一溫度不等於期望處理溫度,則方法600繼續進行至614,其中包括TCE控制器的溫度控制器(例如圖3中之TCE控制器304)控制一或更多TCEs(例如巨觀TCEs212及/或微觀TCEs216)。例如,若ESC之第一溫度小於期望處理溫度,則溫度控制器控制一或更多TCEs去施加預設的熱量給ESC並提高ESC之溫度。具體而言,溫度控制器可將一或更多TCEs啟動至預設的功率位準,及/或針對預設的時間量去施加預設的熱量給ESC。在610,若方法判定ESC之第一溫度大於期望處理溫度,則溫度控制器控制一或更多TCEs去從ESC移除預設的熱量並降低ESC之溫度。例如,溫度控制器可針對預設的時間量而將一或更多TCEs關閉,或降低送至一或更多TCEs的功率,直到預設的熱量從ESC移除為止。
在612,溫度控制器判定由基板相對於ESC所產生之溫度干擾。就這一點而言,若ESC之第一溫度不等於基板的溫度,則將基板(具有基板溫度)夾持在ESC(具有第一溫度)上可能產生干擾。具體而言,因將基板夾持在ESC上而產生之干擾「d」,可根據如下方程式來加以判定,其中「u」為施加給TCEs或從TCEs移除的預設的熱量,「y」為ESC的溫度,而「G」為溫度控制器的轉換函數:
Figure 105119360-A0305-02-0019-1
在616,溫度干擾的數值可儲存在記憶體(例如圖3中之記憶體308)中。
在618,在基板處理系統中進行處理之前,將基板安置於ESC上。具體而言,在618,可將基板夾持在ESC上。若基板之溫度大於期望處理溫度,則基板支持裝置之溫度會隨後提高至期望溫度,而基板之溫度會隨後降低至期望溫度。另一方面,若基板之溫度小於期望處理溫度,則基板支持裝置之溫度會隨後降低至期望溫度,而基板之溫度會隨後提高至期望溫度。
在620,溫度控制器判定ESC之第二溫度。例如,溫度控制器可從一或更多溫度感測器(例如溫度感測器220)接收ESC之第二溫度。ESC之第二溫度可相當於在第一基板已被夾持在ESC上之後的ESC的溫度。
在622,可設置第二基板於基板處理系統中以進行處理。一旦設置第二基板以進行處理,方法600回到610,其中溫度控制器判定ESC之第二溫度(在620判定)是否等於期望處理溫度。在610,若方法判定ESC之第二溫度等於期望處理溫度,則方法600繼續進行至612,其中溫度控制器判定第二基板的干擾。
在610,若方法判定ESC之第二溫度不等於期望處理溫度,則方法600繼續進行至614,其中溫度控制器控制一或更多TCEs。在614之控制可相似於前述在614之控制,除了另外在此提出者以外。就第二基板而言,在614,溫度控制器可透過在612就第一基板所算出的干擾來使TCE之控制(例如預設的熱量)產生變量(offset)。例如,就第二基板而言,在614,溫度控制器可從記憶體擷取在616就第一基板所儲存的干擾。依此方式,針對在基板處理系統中受 處理之各個相繼基板,針對先前基板的判定(例如干擾的判定及/或預設熱量的判定),方法600作出解釋並獲得經驗。具體而言,就第二或後續基板而言,TCE控制器可透過獲得「LG」而調整TCE之控制,並因此調整施加給ESC或從ESC移除的熱能「Q」。例如,TCE對第二或後續基板「k」的控制「Ud(k)」,可根據如下方程式算出,其中「UD(k-1)」為就第一或先前基板「k-1」而言施加以控制TCE的量(vector),而「LG」為關於第一或先前基板的獲得之經驗(learning gain):Ud(k)=Ud(k-1)+LG×d
雖然在622之控制經描述為提供第二基板,但在622之控制可包括在基板處理系統中受處理的任何數量的相繼基板。例如,就三或更多個別基板而言,方法600可包括判定干擾值(例如在612之控制)及/或判定預設熱量(例如在614之控制)的三或更多重複步驟(iterations)。該方法結束於624。
現參照圖6,說明根據本發明之原則的例示性溫度控制系統700。溫度控制系統700可由圖3所繪之溫度控制器300來實施。溫度控制系統700可包括比較測定機(comparator)702,其判定期望處理溫度與ESC之溫度之間的差值。比較測定機702可將期望處理溫度與ESC之溫度之間的差值輸出至控制方塊704。
控制方塊704可判定對於一或更多TCEs(例如圖3中之巨觀TCEs212及/或微觀TCEs216)的輸入控制值,並發送該輸入控制值至控制節點706。控制節點706可透過結合該輸入控制值與干擾值(例如圖5中判定的干擾「d」)而判定TCE控制值。控制節點706可將該TCE控制值輸出至TCE控制器708。例如,控制節點706可控制一或更多TCEs去施加預定的熱量給ESC並提高ESC之溫 度。相似地,控制節點706可控制一或更多TCEs去將預定的熱量從ESC移除並降低ESC之溫度。
現參照圖7,說明根據本發明之原則的另一例示性溫度控制系統800。溫度控制系統800可由圖3所繪之溫度控制器300來實施。溫度控制系統800可包括比較測定機802,其判定期望處理溫度與ESC之溫度之間的差值。比較測定機802可將期望處理溫度與ESC之溫度之間的差值輸出至控制方塊804。
控制方塊804可判定針對一或更多TCEs(例如圖3中之巨觀TCEs212及/或微觀TCEs216)的輸入控制值並發送該輸入控制值至控制節點806。該輸入控制值可對應到基板「n」。控制節點806透過結合該輸入控制值與由控制方塊808所判定之輸入控制校正值來校正輸入控制。就這一點而言,控制方塊808可基於針對基板「n-1」之輸入控制及ESC之溫度來判定該輸入控制校正值。控制節點806將針對基板「n」的經校正的輸入控制值輸出到控制節點810。
控制節點810透過結合該經校正的輸入控制值與針對基板「n」的干擾值(例如圖5中判定的干擾「d」)而判定TCE控制值。控制節點810可將該TCE控制值輸出至TCE控制器812。例如,控制節點810可針對基板「n」而控制一或更多TCEs去施加預定的熱量給ESC並提高ESC之溫度。相似地,控制節點810可針對基板「n」而控制一或更多TCEs去將預定的熱量從ESC移除並降低ESC之溫度。
先前敘述僅係本質上地說明,而非意欲限制本發明、其應用或使用。本發明廣泛的教示可以各式各樣的形式執行。因此,即使本發明包含具體的例子,本發明的真正範圍不應如此受限制,因為一旦研讀圖式、說明書與下列之 申請專利範圍,其他修改將變得顯而易見。如在此使用的語句「A、B和C其中至少一者」應解釋為使用非互斥邏輯符號OR的邏輯(A or B or C),且不應解釋為「A中至少一者、B中至少一者、和C中至少一者」。須了解在不改變本發明的原則之下,能依不同的順序(或同時)執行一方法中一或更多的步驟。
在一些實施例中,控制器為系統的一部分,其可為前述範例之一部分。此種系統可包含半導體處理設備,其包括:處理工具(或複數處理工具)、腔室(或複數腔室)、用以處理的工作台(或複數工具台)、及/或特定處理元件(例如晶圓支座、氣流系統等)。這些系統可與電子設備結合,以在半導體晶圓或基板的處理之前、期間、與之後,控制系統的操作。該等電子設備可稱為「控制器」,其可控制系統(或複數系統)的各種元件或子部件。根據製程要求及/或系統的類型,可將控制器編程式,以控制本文中揭露之任何處理,包括處理氣體的輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、定位與操作設定、進出工具及連接至特定系統或與特定系統介接的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將控制器定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許終點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(例如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式與控制器通訊的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在一些實施例中,該操作參數可為由 製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在一些實施例中,控制器可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,控制器係可位於「雲端」(in the“cloud”)、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在一些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,控制器接收資料形式之指令,在一或更多的操作期間,其針對待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(控制器係配置成透過介面與該工具接合或控制該工具)的類型。因此,如上所述,控制器可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文所述之製程及控制。用於此類用途的分開之控制器的範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
例示性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、微影腔室或模組、斜 邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統,但不限於此。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。
500‧‧‧方法
504‧‧‧操作
506‧‧‧操作
508‧‧‧操作
512‧‧‧操作
516‧‧‧操作
518‧‧‧操作
520‧‧‧操作
522‧‧‧操作

Claims (33)

  1. 一種在基板處理系統中用以控制基板之溫度的系統,該系統包含: 一基板支持裝置,配置以支持該基板; 一溫度感測器,配置以感測該基板支持裝置之溫度; 一熱控制元件(TCE),配置以選擇性地加熱該基板支持裝置; 一控制器,配置以進行下列操作: 判定下列兩者之間的溫度差值: 在該基板被裝載在該基板支持裝置上之前的該基板之溫度,以及該基板支持裝置的期望溫度; 在第一階段期間,控制該TCE以基於該溫度差值而調整該基板支持裝置的溫度至一溫度值,該溫度值不等於該基板支持裝置的期望溫度; 其中在該第一階段開始之後、及在該基板支持裝置的溫度回歸到該期望溫度之前,將基板裝載在該基板支持裝置上;並且 在接續於該第一階段之後的第二階段期間,將該基板支持裝置的溫度控制到該基板支持裝置的期望溫度。
  2. 如申請專利範圍第1項之在基板處理系統中用以控制基板之溫度的系統,其中該溫度值大於該基板支持裝置的期望溫度。
  3. 如申請專利範圍第1項之在基板處理系統中用以控制基板之溫度的系統,其中該溫度值小於該基板支持裝置的期望溫度。
  4. 如申請專利範圍第1項之在基板處理系統中用以控制基板之溫度的系統,其中該溫度差值包括對應到第一基板的第一溫度差值,以及對應到第二基板的第二溫度差值。
  5. 如申請專利範圍第4項之在基板處理系統中用以控制基板之溫度的系統,更包含一記憶體,其儲存該第一溫度差值及該第二溫度差值中之至少一者。
  6. 如申請專利範圍第5項之在基板處理系統中用以控制基板之溫度的系統,其中該控制器更配置以至少部分基於該第一溫度差值及該第二溫度差值來控制該TCE。
  7. 如申請專利範圍第6項之在基板處理系統中用以控制基板之溫度的系統,其中該控制器更配置以基於該第二溫度差值來控制該TCE,該第二溫度差值因該第一溫度差值而產生變量(offset)。
  8. 如申請專利範圍第6項之在基板處理系統中用以控制基板之溫度的系統,其中對應到該第二基板的TCE控制係基於對應到該第一基板的TCE控制而判定。
  9. 如申請專利範圍第6項之在基板處理系統中用以控制基板之溫度的系統,其中該控制器配置以判定對應到第三基板的第三溫度差值,且其中該控制器配置以基於該第三溫度差值來控制該TCE,該第三溫度差值因該第一溫度差值及該第二溫度差值中之至少一者而產生變量。
  10. 如申請專利範圍第9項之在基板處理系統中用以控制基板之溫度的系統,其中該控制器配置以在該第一基板及該第二基板被裝載在該基板支持裝置上之後判定該第三溫度差值。
  11. 如申請專利範圍第4項之在基板處理系統中用以控制基板之溫度的系統,其中該控制器配置以在該第二基板被裝載在該基板支持裝置上之前基於該第一溫度差值來控制該TCE。
  12. 如申請專利範圍第1項之在基板處理系統中用以控制基板之溫度的系統,其中對該TCE之控制包括對該TCE之循環時間及功率中之至少一者之控制。
  13. 如申請專利範圍第1項之在基板處理系統中用以控制基板之溫度的系統,其中該TCE係設置在該基板支持裝置的一加熱板內。
  14. 如申請專利範圍第1項之在基板處理系統中用以控制基板之溫度的系統,其中該基板支持裝置包括一靜電夾頭。
  15. 如申請專利範圍第1項之在基板處理系統中用以控制基板之溫度的系統,其中該基板配置以在該第二階段期間將該基板支持裝置之溫度從該溫度值降低至該期望溫度。
  16. 如申請專利範圍第1項之在基板處理系統中用以控制基板之溫度的系統,其中該基板配置以在該第二階段期間將該基板支持裝置之溫度從該溫度值提高至該期望溫度。
  17. 如申請專利範圍第1項之在基板處理系統中用以控制基板之溫度的系統,更包含一感測器,配置以在該第一階段之前量測該基板的溫度。
  18. 如申請專利範圍第1項之在基板處理系統中用以控制基板之溫度的系統,其中該TCE為巨觀TCE及微觀TCE中之一者。
  19. 一種在基板處理系統中用以控制基板之溫度的方法,該方法包含下列步驟: 判定下列兩者之間的溫度差值: 在該基板被裝載在一基板支持裝置上之前的該基板之溫度,以及該基板支持裝置的期望溫度; 在第一階段期間,控制一熱控制元件(TCE)以基於該溫度差值而調整該基板支持裝置的溫度至一溫度值,該溫度值不等於該基板支持裝置的期望溫度; 在該第一階段開始之後、及在該基板支持裝置的溫度回歸到該期望溫度之前,將該基板裝載在該基板支持裝置上;並且 在接續於該第一階段之後的第二階段期間,將該基板支持裝置的溫度控制到該基板支持裝置的期望溫度。
  20. 如申請專利範圍第19項之在基板處理系統中用以控制基板之溫度的方法,其中該溫度值大於該期望溫度。
  21. 如申請專利範圍第19項之在基板處理系統中用以控制基板之溫度的方法,其中該溫度值小於該期望溫度。
  22. 如申請專利範圍第19項之在基板處理系統中用以控制基板之溫度的方法,其中該溫度差值包括對應到第一基板的第一溫度差值,以及對應到第二基板的第二溫度差值。
  23. 如申請專利範圍第22項之在基板處理系統中用以控制基板之溫度的方法,更包含下列步驟: 將該第一溫度差值及該第二溫度差值中之至少一者儲存於一記憶體中。
  24. 如申請專利範圍第23項之在基板處理系統中用以控制基板之溫度的方法,更包含下列步驟: 控制該TCE以至少部分基於該第一溫度差值及該第二溫度差值而將該基板支持裝置的溫度調整到該溫度值。
  25. 如申請專利範圍第24項之在基板處理系統中用以控制基板之溫度的方法,更包含下列步驟: 基於該第二溫度差值來控制該TCE,該第二溫度差值因該第一溫度差值而產生變量(offset)。
  26. 如申請專利範圍第24項之在基板處理系統中用以控制基板之溫度的方法,更包含下列步驟: 基於對應到該第一基板之TCE的控制而控制對應到該第二基板的TCE。
  27. 如申請專利範圍第24項之在基板處理系統中用以控制基板之溫度的方法,更包含下列步驟: 在該第一基板及該第二基板被裝載在該基板支持裝置上之後,判定下列兩者之間的第三溫度差值:該基板支持裝置的期望溫度,以及第三基板的基板溫度。
  28. 如申請專利範圍第27項之在基板處理系統中用以控制基板之溫度的方法,更包含下列步驟: 基於該第三溫度差值來控制該TCE,該第三溫度差值因該第一溫度差值及該第二溫度差值中之至少一者而產生變量。
  29. 如申請專利範圍第19項之在基板處理系統中用以控制基板之溫度的方法,其中對該TCE之控制包括對該TCE之循環時間及功率中之至少一者之控制。
  30. 如申請專利範圍第19項之在基板處理系統中用以控制基板之溫度的方法,其中該基板支持裝置包括一靜電夾頭。
  31. 如申請專利範圍第19項之在基板處理系統中用以控制基板之溫度的方法,更包含下列步驟: 在該第二階段期間,藉由該基板,將該基板支持裝置之溫度從該溫度值控制到該期望溫度。
  32. 如申請專利範圍第19項之在基板處理系統中用以控制基板之溫度的方法,更包含下列步驟: 在該第一階段之前量測該基板的溫度。
  33. 如申請專利範圍第19項之在基板處理系統中用以控制基板之溫度的方法,其中該TCE為巨觀TCE及微觀TCE中之一者。
TW105119360A 2015-06-22 2016-06-21 用以降低靜電夾頭中之溫度變遷的系統及方法 TWI706504B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562182896P 2015-06-22 2015-06-22
US62/182,896 2015-06-22
US14/860,045 US9779974B2 (en) 2015-06-22 2015-09-21 System and method for reducing temperature transition in an electrostatic chuck
US14/860,045 2015-09-21

Publications (2)

Publication Number Publication Date
TW201709400A TW201709400A (zh) 2017-03-01
TWI706504B true TWI706504B (zh) 2020-10-01

Family

ID=57588383

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105119360A TWI706504B (zh) 2015-06-22 2016-06-21 用以降低靜電夾頭中之溫度變遷的系統及方法

Country Status (3)

Country Link
US (3) US9779974B2 (zh)
KR (1) KR102537055B1 (zh)
TW (1) TWI706504B (zh)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9779974B2 (en) 2015-06-22 2017-10-03 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck
US10763142B2 (en) 2015-06-22 2020-09-01 Lam Research Corporation System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
US10386821B2 (en) 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US10381248B2 (en) * 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
US10707110B2 (en) * 2015-11-23 2020-07-07 Lam Research Corporation Matched TCR joule heater designs for electrostatic chucks
US10366867B2 (en) 2016-08-19 2019-07-30 Applied Materials, Inc. Temperature measurement for substrate carrier using a heater element array
US10509425B2 (en) 2017-01-20 2019-12-17 Lam Research Corporation Virtual metrology method for ESC temperature estimation using thermal control elements
JP6820206B2 (ja) * 2017-01-24 2021-01-27 東京エレクトロン株式会社 被加工物を処理する方法
US10306776B1 (en) 2017-11-29 2019-05-28 Lam Research Corporation Substrate processing system printed-circuit control board assembly with one or more heater layers
KR102441589B1 (ko) 2018-01-12 2022-09-07 삼성전자주식회사 라이다 시스템 및 이의 구동 방법
US11367645B2 (en) * 2019-03-13 2022-06-21 Applied Materials, Inc. Temperature tunable multi-zone electrostatic chuck
US11688615B2 (en) * 2020-08-19 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for heating semiconductor wafers
KR102585241B1 (ko) * 2020-12-29 2023-10-05 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
TWI767733B (zh) * 2021-06-02 2022-06-11 中國鋼鐵股份有限公司 加熱的控制方法以及使用該控制方法的加熱系統

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010019741A1 (en) * 2000-03-06 2001-09-06 Anelva Corporation Method and apparatus for loading substrate in semiconductor manufacturing apparatus
WO2014014127A1 (ja) * 2012-07-20 2014-01-23 東京エレクトロン株式会社 温度制御システムへの温調流体供給方法及び記憶媒体
US20140073066A1 (en) * 2012-08-29 2014-03-13 Tokyo Electron Limited Plasma etching apparatus and control method

Family Cites Families (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100236506B1 (ko) 1990-11-29 2000-01-15 퍼킨-엘머시터스인스트루먼츠 폴리머라제 연쇄 반응 수행 장치
US5667622A (en) 1995-08-25 1997-09-16 Siemens Aktiengesellschaft In-situ wafer temperature control apparatus for single wafer tools
US5810933A (en) 1996-02-16 1998-09-22 Novellus Systems, Inc. Wafer cooling device
US6091060A (en) 1997-12-31 2000-07-18 Temptronic Corporation Power and control system for a workpiece chuck
US6415858B1 (en) 1997-12-31 2002-07-09 Temptronic Corporation Temperature control system for a workpiece chuck
US6866094B2 (en) 1997-12-31 2005-03-15 Temptronic Corporation Temperature-controlled chuck with recovery of circulating temperature control fluid
JP2000068224A (ja) * 1998-08-20 2000-03-03 Dainippon Screen Mfg Co Ltd 基板熱処理装置
US6259072B1 (en) 1999-11-09 2001-07-10 Axcelis Technologies, Inc. Zone controlled radiant heating system utilizing focused reflector
US6342691B1 (en) 1999-11-12 2002-01-29 Mattson Technology, Inc. Apparatus and method for thermal processing of semiconductor substrates
US6771086B2 (en) 2002-02-19 2004-08-03 Lucas/Signatone Corporation Semiconductor wafer electrical testing with a mobile chiller plate for rapid and precise test temperature control
US6921724B2 (en) 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US6825681B2 (en) 2002-07-19 2004-11-30 Delta Design, Inc. Thermal control of a DUT using a thermal control substrate
US7415312B2 (en) 2004-05-25 2008-08-19 Barnett Jr James R Process module tuning
JP4343151B2 (ja) 2004-08-11 2009-10-14 東京エレクトロン株式会社 加熱プレートの温度測定方法、基板処理装置及び加熱プレートの温度測定用のコンピュータプログラム
US7368303B2 (en) 2004-10-20 2008-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for temperature control in a rapid thermal processing system
US7601934B2 (en) 2004-12-22 2009-10-13 Sokudo Co., Ltd. Integrated thermal unit having a shuttle with a temperature controlled surface
JP4509820B2 (ja) 2005-02-15 2010-07-21 東京エレクトロン株式会社 熱処理板の温度設定方法,熱処理板の温度設定装置,プログラム及びプログラムを記録したコンピュータ読み取り可能な記録媒体
US20070251456A1 (en) 2006-04-27 2007-11-01 Applied Materials, Inc., A Delaware Corporation Composite heater and chill plate
JP4699283B2 (ja) * 2006-05-23 2011-06-08 東京エレクトロン株式会社 熱処理板の温度制御方法、プログラム及び熱処理板の温度制御装置
US7297894B1 (en) 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
JP5203612B2 (ja) 2007-01-17 2013-06-05 株式会社日立ハイテクノロジーズ プラズマ処理装置
US8067730B2 (en) 2007-07-20 2011-11-29 The George Washington University Laser ablation electrospray ionization (LAESI) for atmospheric pressure, In vivo, and imaging mass spectrometry
US8295966B2 (en) 2009-06-30 2012-10-23 Lam Research Corporation Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
US8755204B2 (en) 2009-10-21 2014-06-17 Lam Research Corporation RF isolation for power circuitry
US8637794B2 (en) 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
KR101644673B1 (ko) 2009-12-15 2016-08-01 램 리써치 코포레이션 Cd 균일성을 향상시키기 위한 기판 온도의 조절
US9338871B2 (en) 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
KR101331420B1 (ko) * 2011-03-04 2013-11-21 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
CA2991157C (en) 2011-08-30 2019-12-24 Watlow Electric Manufacturing Company High definition heater system having a fluid medium
US8624168B2 (en) 2011-09-20 2014-01-07 Lam Research Corporation Heating plate with diode planar heater zones for semiconductor processing
US8461674B2 (en) 2011-09-21 2013-06-11 Lam Research Corporation Thermal plate with planar thermal zones for semiconductor processing
JP5973731B2 (ja) 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
US8809747B2 (en) 2012-04-13 2014-08-19 Lam Research Corporation Current peak spreading schemes for multiplexed heated array
US8937800B2 (en) * 2012-04-24 2015-01-20 Applied Materials, Inc. Electrostatic chuck with advanced RF and temperature uniformity
US10049948B2 (en) 2012-11-30 2018-08-14 Lam Research Corporation Power switching system for ESC with array of thermal control elements
US20140158578A1 (en) 2012-12-06 2014-06-12 Jason Varan Folding apparatus for the containment and transport of bottles and method of use
US8691598B1 (en) 2012-12-06 2014-04-08 Ultratech, Inc. Dual-loop control for laser annealing of semiconductor wafers
US8852964B2 (en) 2013-02-04 2014-10-07 Lam Research Corporation Controlling CD and CD uniformity with trim time and temperature on a wafer by wafer basis
US20150060013A1 (en) 2013-09-05 2015-03-05 Applied Materials, Inc. Tunable temperature controlled electrostatic chuck assembly
JP6100672B2 (ja) 2013-10-25 2017-03-22 東京エレクトロン株式会社 温度制御機構、温度制御方法及び基板処理装置
US9412595B2 (en) 2013-12-18 2016-08-09 Taiwan Semiconductor Manufacturing Company Limited Systems and methods for intelligent dispatching for wafer processing
CN105336562B (zh) * 2014-07-22 2018-03-09 中芯国际集成电路制造(北京)有限公司 热处理腔室和热处理方法、涂布设备
US9864361B2 (en) 2015-06-22 2018-01-09 Lam Research Corporation Flexible temperature compensation systems and methods for substrate processing systems
US9779974B2 (en) 2015-06-22 2017-10-03 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck
US10582570B2 (en) * 2016-01-22 2020-03-03 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010019741A1 (en) * 2000-03-06 2001-09-06 Anelva Corporation Method and apparatus for loading substrate in semiconductor manufacturing apparatus
WO2014014127A1 (ja) * 2012-07-20 2014-01-23 東京エレクトロン株式会社 温度制御システムへの温調流体供給方法及び記憶媒体
US20140073066A1 (en) * 2012-08-29 2014-03-13 Tokyo Electron Limited Plasma etching apparatus and control method

Also Published As

Publication number Publication date
KR20160150585A (ko) 2016-12-30
US20180174879A1 (en) 2018-06-21
KR102537055B1 (ko) 2023-05-25
TW201709400A (zh) 2017-03-01
US10096506B2 (en) 2018-10-09
US9922855B2 (en) 2018-03-20
US9779974B2 (en) 2017-10-03
US20160372355A1 (en) 2016-12-22
US20180005859A1 (en) 2018-01-04

Similar Documents

Publication Publication Date Title
TWI706504B (zh) 用以降低靜電夾頭中之溫度變遷的系統及方法
JP7454600B2 (ja) 移動可能エッジリングおよびガス注入調節によるウエハ上cd均一性の制御
TWI744344B (zh) 基於射頻功率之基板支撐件前饋溫度控制系統及方法
TWI686506B (zh) 被帶走的蒸汽之測量系統及方法
KR102360248B1 (ko) 상이한 히터 트레이스 재료를 사용한 적층된 히터
US10381248B2 (en) Auto-correction of electrostatic chuck temperature non-uniformity
KR102533847B1 (ko) TCE들 (thermal control elements) 을 사용한 ESC 온도 추정을 위한 가상 계측 방법
KR20200142590A (ko) 듀얼 존 세라믹 페데스탈을 제어하기 위한 전압 및 전류 측정값들의 사용
KR20210011501A (ko) 금속 옥사이드 막들을 제거하기 위한 온도 제어 시스템들 및 방법들
US20210398829A1 (en) Ceramic pedestal with multi-layer heater for enhanced thermal uniformity
US10725485B2 (en) System and method for calculating substrate support temperature
US20230399741A1 (en) Sublimation control using downstream pressure sensing
TW202240020A (zh) 在高溫沉積序列中操作的低溫基座的傳導冷卻
US20170332480A1 (en) Laminated heater with different heater trace materials