TWI702467B - 用於改進抗蝕劑模型預測的系統、方法及電腦程式產品 - Google Patents

用於改進抗蝕劑模型預測的系統、方法及電腦程式產品 Download PDF

Info

Publication number
TWI702467B
TWI702467B TW108105947A TW108105947A TWI702467B TW I702467 B TWI702467 B TW I702467B TW 108105947 A TW108105947 A TW 108105947A TW 108105947 A TW108105947 A TW 108105947A TW I702467 B TWI702467 B TW I702467B
Authority
TW
Taiwan
Prior art keywords
resist
resist layer
intensity
aerial image
model
Prior art date
Application number
TW108105947A
Other languages
English (en)
Other versions
TW201945834A (zh
Inventor
瑪爾連 庫伊曼
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW201945834A publication Critical patent/TW201945834A/zh
Application granted granted Critical
Publication of TWI702467B publication Critical patent/TWI702467B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70608Monitoring the unpatterned workpiece, e.g. measuring thickness, reflectivity or effects of immersion liquid on resist
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70653Metrology techniques
    • G03F7/70666Aerial image, i.e. measuring the image of the patterned exposure light at the image plane of the projection system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Architecture (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本發明揭示一種方法,其涉及運算一第一空中影像之一第一強度及一第二空中影像之一第二強度,該第一空中影像對應於一抗蝕劑層內之一第一部位且該第二空中影像對應於該抗蝕劑層內之一第二部位。該方法進一步涉及藉由一硬體電腦系統使用一抗蝕劑模型來執行該抗蝕劑層之一電腦模擬,以基於該第一強度與該第二強度之間的一差或基於針對該第一強度之一抗蝕劑模型結果與針對該第二強度之一抗蝕劑模型結果之間的一差而獲得一抗蝕劑層特徵之一參數之一值。

Description

用於改進抗蝕劑模型預測的系統、方法及電腦程式產品
本文中之描述係關於用於改進對藉由抗蝕劑模型製成的抗蝕劑輪廓之預測的系統及方法。
微影設備可用於(例如)積體電路(IC)或其他裝置之製造中。在此狀況下,圖案化裝置(例如,光罩)可含有或提供對應於裝置之個別層的圖案(「設計佈局」),且此圖案可轉印至基板(例如,矽晶圓)上之目標部分(例如,包含一或多個晶粒)上,該基板已藉由諸如經由圖案化裝置上之圖案輻照目標部分的方法而塗佈有輻射敏感材料(「抗蝕劑」)層。一般而言,單一基板含有複數個鄰近目標部分,圖案係由微影設備順次地轉印至該複數個鄰近目標部分,一次一個目標部分。在一種類型之微影設備中,將整個圖案化裝置上之圖案一次性轉印至一個目標部分上;此設備通常被稱作晶圓步進器(wafer stepper)。在通常被稱作步進掃描設備(step-and-scan apparatus)之替代設備中,投影光束在給定參考方向(「掃描」方向)上遍及圖案化裝置進行掃描,同時平行或反平行於此參考方向而同步地移動基板。圖案化裝置上之圖案之不同部分逐漸地轉印至一個目標部分。一般而言,因為微影設備將具有放大因數M(通常<1),所以基 板被移動之速度F將為投影光束掃描圖案化裝置之速率的因數M倍。
在將圖案自圖案化裝置轉印至裝置製造程序之基板之裝置製作工序之前,基板可經歷裝置製造程序之各種裝置製作工序,諸如,上底漆、抗蝕劑塗佈及軟烘烤。在圖案轉印之後,基板可經受裝置製造程序之其他裝置製造工序,諸如經轉印圖案之曝光後烘烤(PEB)、顯影、硬烘烤及量測/檢測。此裝置製作工序陣列係用作製造裝置(例如IC)之個別層之基礎。基板可接著經歷裝置製造程序之各種裝置製造工序,諸如蝕刻、離子植入(摻雜)、金屬化、氧化、化學機械拋光、度量衡(例如,使用掃描電子顯微鏡(SEM))等等,該等工序皆意欲精整裝置之個別層。若在裝置中需要若干層,則針對每一層來重複整個程序或其變體。最終,在基板上之每一目標部分中將存在裝置。若存在複數個裝置,則接著藉由諸如切塊或鋸切之技術將此等裝置彼此分離,由此,可將個別裝置安裝於載體上、連接至銷釘等等。
因此,製造裝置(諸如半導體裝置)通常涉及使用數個製造程序來處理基板(例如,半導體晶圓)以形成該等裝置之各種特徵及多個層。通常使用例如沈積、微影、蝕刻、化學機械拋光及離子植入來製造及處理此等層及特徵。可在基板上之複數個晶粒上製作多個裝置,且接著將該等裝置分離成個別裝置。此設備製造程序可被視為圖案化程序。圖案化程序涉及圖案化步驟,諸如使用微影設備之光學或奈米壓印微影,以在基板上提供圖案且通常但視情況涉及一或多個相關圖案處理步驟,諸如藉由顯影設備之抗蝕劑顯影、使用烘烤工具烘烤基板、使用蝕刻設備使用圖案進行蝕刻等等。另外,通常在圖案化程序中涉及一或多個度量衡程序。
隨著半導體製造程序繼續進步,幾十年來,功能元件之尺 寸已不斷地縮減,而每裝置的諸如電晶體之功能元件之量已在穩固地增加,此遵循通常被稱作「莫耳定律(Moore's law)」之趨勢。在當前先進技術下,使用微影投影設備來製造裝置層,微影投影設備使用來自深紫外線照明源之照明將對應於設計佈局之圖案投影至基板上,從而產生尺寸遠低於100nm(亦即,小於來自照明源(例如,193nm照明源)之輻射之波長的一半)之個別功能元件。供印刷尺寸小於微影投影設備之經典解析度極限之特徵的此程序根據解析度公式CD=k1×λ/NA通常被稱作低k1微影,其中λ為所採用輻射之波長(當前在大多數狀況下為248nm或193nm),NA為微影投影設備中之投影光學件之數值孔徑,CD為「臨界尺寸」(通常為所印刷之最小特徵大小),且k1為經驗解析度因數。一般而言,k1愈小,則在基板上再生類似於由電路設計者規劃之形狀及尺寸以便達成特定電功能性及效能的圖案變得愈困難。為了克服此等困難,將複雜的微調步驟應用於微影投影設備及/或對應於設計佈局之圖案。此等步驟包括例如但不限於NA及/或光學相干設定之最佳化、自訂照明方案、相移圖案化裝置之使用、對應於設計佈局之圖案中之光學近接校正(OPC)(諸如圖案特徵之偏差、輔助特徵之添加、將襯線施加至圖案特徵等等),或一般定義為「解析度增強技術」(RET)之其他方法。
在微影應用中,常常使用抗蝕劑模型(例如,超光速粒子抗蝕劑模型)來預測將由SEM設備量測的輪廓之抗蝕劑輪廓。引入抗蝕劑模型以便校正相對於由單一空中影像預測之抗蝕劑輪廓的抗蝕劑偏差。具體言之,在抗蝕劑厚度內的合適高度處之空中影像或空中影像在抗蝕劑厚度上的平均強度係用於預測目的。此類抗蝕劑模型不能準確地預測焦點相依特徵(諸如具有接近於主要特徵的子解析度輔助特徵(SRAF)的負型色調顯 影(NTD)抗蝕劑中的1D線空間圖案)之效應。
為了使得能夠理解圖案化程序如何起作用,可使用運算微影技術來模擬圖案化程序之一或多個態樣如何「起作用」。因此,適當運算微影軟體可預測基板上之圖案之形成之一或多個特性,諸如該圖案之經預測CD、經預測輪廓等等,且有可能在該圖案之形成之不同階段處如此進行。
此類運算微影之一個態樣為對抗蝕劑層中之圖案之預測。但,已發現預測抗蝕劑層中圖案之形成的現有技術無法充分及/或快速地評定抗蝕劑層中可出現的圖案。因此,例如,需要提供一種準確地及/或快速地預測抗蝕劑圖案之預期(常常高度複雜)形狀的技術。因此,例如,提供用以改進抗蝕劑層之抗蝕劑模型預測的方法及系統。具體言之,本發明描述藉由引入空中影像在抗蝕劑厚度上之改變作為抗蝕劑模型中之額外變數來減少焦點相依特徵之模型化誤差的方法。
在一實施例中,提供一種方法,其包含:運算一第一空中影像之一第一強度及一第二空中影像之一第二強度,該第一空中影像對應於一抗蝕劑層內之一第一部位且該第二空中影像對應於該抗蝕劑層內之一第二部位;及藉由一硬體電腦系統使用一抗蝕劑模型來執行該抗蝕劑層之一電腦模擬,以基於該第一強度與該第二強度之間的一差或基於針對該第一強度之一抗蝕劑模型結果與針對該第二強度之一抗蝕劑模型結果之間的一差而獲得一抗蝕劑層特徵之一參數之一值。
在一實施例中,提供一種裝置,其包括一處理器。該處理器經組態以運算一第一空中影像之一第一強度及一第二空中影像之一第二 強度,該第一空中影像對應於一抗蝕劑層內之一第一部位且該第二空中影像對應於該抗蝕劑層內之一第二部位;及執行該抗蝕劑層之一電腦模擬,以基於該第一強度與該第二強度之間的一差或基於針對該第一強度之一抗蝕劑模型結果與針對該第二強度之一抗蝕劑模型結果之間的一差而獲得一抗蝕劑層特徵之一參數之一值。
在一實施例中,提供一種包含機器可讀指令之非暫時性電腦程式產品,該等機器可讀指令用於致使一處理器執行如本文中所描述之一方法。在一實施例中,提供一種系統,其包含:一硬體處理器;及如本文中所描述之一非暫時性電腦程式產品。
10A:微影投影設備
12A:輻射源
14A:光學件
16Aa:光學件
16Ab:光學件
16Ac:投影光學件
18A:圖案化裝置
20A:孔徑
21:輻射光束
22:琢面化場鏡面裝置
22A:基板平面
24:琢面化光瞳鏡面裝置
26:經圖案化光束
28:反射元件
30:反射元件
31:照明模型
32:投影光學件模型
35:設計佈局模型
36:空中影像
37:抗蝕劑模型
38:抗蝕劑影像
100:電腦系統
102:匯流排
104:處理器
105:處理器
106:主記憶體
108:唯讀記憶體
110:儲存裝置
112:顯示器
114:輸入裝置
116:游標控制件
118:通信介面
120:網路鏈路
122:區域網路
124:主機電腦
126:網際網路服務提供者
128:網際網路
130:伺服器
210:EUV輻射發射電漿/極熱電漿
211:源腔室
212:收集器腔室
220:圍封結構
221:開口
230:污染物截留器/污染物障壁
240:光柵光譜濾光器
251:上游輻射收集器側
252:下游輻射收集器側
253:掠入射反射器
254:掠入射反射器
255:掠入射反射器
310:抗蝕劑層
320:基板
330:輻射
340:圖案化裝置
350:經變形抗蝕劑層
354:部分
355:部分
356:部分
357:部分
601:線
603:線
610:垂直抗蝕劑形狀
620:基板
630:等強度曲線
651:線
653:線
660:抗蝕劑
670:基板
680:等強度曲線
710:步驟
720:步驟
730:步驟
1000:微影投影設備
AD:調整構件
B:光束
C:目標部分
CO:收集器光學件/聚光器
IF:虛擬源點
IL:照明系統
IN:積光器
LA:雷射
M1:圖案化裝置對準標記
M2:圖案化裝置對準標記
MA:圖案化裝置
MT:支撐結構
O:光軸
P1:基板對準標記
P2:基板對準標記
PM:第一定位器
PS:投影系統
PS1:位置感測器
PS2:位置感測器
PW:第二定位器
SO:源收集器模組
W:基板
WT:基板台
X:方向
Y:方向
併入於本說明書中且構成本說明書之一部分的附圖說明一或多個實施例且連同本說明書解釋此等實施例。現將參考隨附示意性圖式而僅作為實例來描述本發明之實施例,在該等圖式中,對應參考符號指示對應部分,且在該等圖式中:圖1為微影系統之各種子系統的方塊圖。
圖2為運算微影技術之模擬模型之方塊圖。
圖3A、圖3B、圖3C及圖3D示意性地展示基板上之抗蝕劑層之例示性變形。
圖4A、圖4B、圖4C及圖4D示意性地展示由抗蝕劑層之顯影引起之例示性額外變形。
圖5說明描繪垂直強度範圍對具有類似影像對數斜率的特徵之特徵形狀的影響之例示性曲線圖。
圖6A及圖6B描繪根據一實施例之說明垂直強度範圍對特 徵形狀之影響的示意圖。
圖7描繪說明經執行以改進抗蝕劑模型誤差之步驟的例示性流程圖。
圖8為實例電腦系統之方塊圖。
圖9為微影投影設備之示意圖。
圖10為另一微影投影設備之示意圖。
圖11為圖10中之設備的更詳細視圖。
圖12為圖10及圖11之設備之源收集器模組的更詳細視圖。
作為實施例之背景且轉至圖1,說明例示性微影投影設備10A。主要組件為:輻射源12A,其可為深紫外線準分子雷射源或包括極紫外線(EUV)源之其他類型之光源;照明光學件,其界定部分相干性(標示為σ)且可包括塑形來自源12A之輻射之光學件14A、16Aa及16Ab;支撐件,其經組態以固持圖案化裝置18A;及投影光學件16Ac,其將圖案化裝置圖案之影像投影於基板平面22A上。投影光學件之光瞳平面處之可調整濾光器或孔徑20A可限定照射於基板平面22A上之光束角度之範圍,其中最大可能角度定義投影光學件之數值孔徑NA=sin(Θmax)。在一實施例中,微影投影設備自身無需具有輻射源12A。
因此,在微影投影設備中,光學件16Ac將圖案化裝置圖案之空中影像導向至基板上(通常為經縮小版本)。空中影像(AI)為在基板位階處之輻射強度分佈。曝光基板上之抗蝕劑層,且將空中影像轉印至抗蝕劑層以在其中作為潛伏「抗蝕劑影像」(RI)。可將抗蝕劑影像(RI)定義為抗蝕劑層中之抗蝕劑之溶解度的空間分佈。
現在,常常需要能夠以運算方式判定圖案化程序將如何在基板上產生所要圖案。因此,可提供模擬以模擬程序之一或多個部分。例如,需要能夠模擬在顯影抗蝕劑之後將圖案化裝置圖案轉印至基板之抗蝕劑層上以及彼抗蝕劑層中產生之圖案上的微影程序。
圖2中說明用於模擬微影投影設備中之微影的例示性流程圖。照明模型31表示照明之光學特性(包括輻射強度分佈及/或相位分佈)。投影光學件模型32表示投影光學件之光學特性(包括由投影光學件造成的輻射強度分佈及/或相位分佈之改變)。設計佈局模型35表示設計佈局之光學特性(包括由給定設計佈局造成的對輻射強度分佈及/或相位分佈之改變),該設計佈局為在圖案化裝置上或由圖案化裝置形成之特徵之配置的表示。可使用照明模型31、投影光學件模型32及設計佈局模型35來模擬空中影像36。可使用抗蝕劑模型37自空中影像36模擬抗蝕劑影像38。微影之模擬可例如預測抗蝕劑影像中之輪廓及/或CD。
更具體言之,應注意,照明模型31可表示照明之光學特性,包括但不限於,NA-西格瑪(σ)設定以及任何特定照明形狀(例如,離軸照明,諸如,環形、四極、偶極等等)。投影光學件模型32可表示投影光學件之光學特性,包括例如像差、變形、折射率、實體大小或尺寸等等。設計佈局模型35亦可表示實體圖案化裝置之一或多個實體性質,如例如在以全文引用之方式併入的美國專利第7,587,704號中所描述。與微影投影設備相關聯之光學性質(例如照明、圖案化裝置及投影光學件之性質)規定空中影像。由於微影投影設備中使用之圖案化裝置可改變,因此需要將圖案化裝置之光學性質與微影投影設備中至少包括照明及投影光學件之其餘部分之光學性質分離,且因此提供設計佈局模型35。
可使用抗蝕劑模型37以根據空中影像計算抗蝕劑影像,其實例可在美國專利第8,200,468號中找到,該美國專利特此以全文引用之方式併入。抗蝕劑模型通常僅與抗蝕劑層之性質(例如,在曝光、曝光後烘烤及/或顯影期間發生的化學程序之效應)相關。
模擬之目標係準確地預測(例如)邊緣置放、空中影像強度斜率及/或CD,可接著比較該等邊緣置放、空中影像強度斜率及CD與預期設計。預期設計通常被定義為可以諸如GDSII、OASIS或其他檔案格式之標準化數位檔案格式而提供之預OPC設計佈局。
自該此設計佈局,可識別被稱作「剪輯(clip)」之一或多個部分。在實施例中,提取剪輯集合,其表示設計佈局中之複雜圖案(通常為約50個至1000個剪輯,但可使用任何數目個剪輯)。如熟習此項技術者將瞭解,此等圖案或剪輯表示設計之小部分(亦即,電路、胞元等等),且該等剪輯尤其表示需要特定關注及/或驗證之小部分。換言之,剪輯可為設計佈局之部分,或可類似或具有臨界特徵係藉由體驗而識別(包括由客戶提供之剪輯)、藉由試誤法而識別或藉由執行全晶片模擬而識別的設計佈局之部分的類似行為。剪輯常常含有一或多個測試圖案或量規圖案。可由客戶基於設計佈局中要求特定影像最佳化之已知臨界特徵區域而先驗地提供初始較大剪輯集合。替代地,在另一實施例中,可藉由使用識別臨界特徵區域之某種自動化(諸如,機器視覺)或手動演算法而自整個設計佈局提取初始較大剪輯集合。
在一些實例中,可使用模擬及模型化來組態圖案化裝置圖案之一或多個特徵(例如,執行光學近接校正)、照明之一或多個特徵(例如,改變照明之空間/角度強度分佈之一或多個特性,諸如改變形狀),及/ 或投影光學件之一或多個特徵(例如,數值孔徑等等)。此類組態通常可分別被稱作光罩最佳化、源最佳化及投影最佳化。可獨立地執行或以不同組合形式組合此類最佳化。一個此類實例為源-光罩最佳化(source-mask optimization,SMO),其涉及組態圖案化裝置圖案之一或多個特徵連同照明之一或多個特徵。最佳化技術可聚焦於剪輯中之一或多者。最佳化可使用本文中所描述之模擬以產生各種參數之值。
在一系統之最佳化程序中,可將該系統之優值(figure of merit)表示為成本函數。最佳化程序歸結為找到最小化成本函數的系統之一組參數(設計變數)的程序。成本函數可取決於最佳化之目標而具有任何適合的形式。舉例而言,成本函數可為系統之某些特性(評估點)相對於此等特性之預期值(例如,理想值)之偏差的加權均方根(RMS);成本函數亦可為此等偏差之最大值(亦即,最差偏差)。本文中之術語「評估點」應被廣泛地解譯為包括系統之任何特性。歸因於系統之實施的實務性,系統之設計變數可限於有限範圍及/或可相互相依。在微影投影設備之狀況下,約束常常與硬體之實體屬性及特性(諸如,可調諧範圍,及/或圖案化裝置可製造性設計規則)相關聯,且評估點可包括基板上之抗蝕劑影像上的實體點,以及諸如劑量及焦點之非物理特性。
如上文所提及,基板上之層可具有轉印至其之圖案。此層通常將被稱作抗蝕劑層且可具有各種化學組成。在一實施例中,抗蝕劑層為輻射敏感材料層。抗蝕劑層通常具有小但有限之厚度,該厚度在大小上可與成像至抗蝕劑上的圖案相當。抗蝕劑層可經歷微影程序中之各種處理。舉例而言,抗蝕劑可曝光於諸如EUV或DUV之輻射,輻射在抗蝕劑中引發化學反應。抗蝕劑可經歷曝光後烘烤(PEB)、顯影(例如,正型色調 顯影或負型色調顯影)及/或硬烘烤。此等處理中之每一者可使得抗蝕劑在一個、兩個或三個維度上發生變形,且變形可為部位相關的(例如,三維部位相關的)。抗蝕劑之變形可影響下游處理,諸如材料沈積及蝕刻。在使用負型色調顯影之微影程序中,抗蝕劑變形對抗蝕劑頂部損耗及臨界尺寸的影響可能尤其顯著。因此,能夠預測抗蝕劑之變形的抗蝕劑模型37有益於實現較準確微影及較高產量良率。抗蝕劑模型37亦可能夠預測抗蝕劑層之對對微影程序中之各種其他物理及化學處理的反應。隨後描述根據本發明之態樣的例示性抗蝕劑模型。
圖3A、圖3B、圖3C及圖3D示意性地展示基板320上之抗蝕劑層310的例示性變形。圖案例如藉由經由圖案化裝置340將抗蝕劑層310曝光至輻射330而形成於抗蝕劑層中。抗蝕劑層310可經歷諸如PEB之曝光後處理且變形成經變形抗蝕劑層350。在此實例中,抗蝕劑層310之變形使得經變形抗蝕劑層350之曝光於輻射的部分具有小於經變形抗蝕劑層350之其餘部分的厚度。經變形抗蝕劑層350之部分355在曝光期間接收足夠高劑量,以在負型色調顯影之後保留在基板320上,且經變形抗蝕劑層350之其餘部分(例如,部分356)在負型色調顯影之後溶解。替代地,經變形抗蝕劑層350之部分355在曝光期間接收足夠高劑量,以在正型色調顯影期間溶解,且經變形抗蝕劑層350之其餘部分在正型色調顯影之後保留在基板320上。部分355保留還是溶解取決於抗蝕劑之化學組成及顯影劑之化學組成。
在顯影期間移除抗蝕劑層之對顯影劑可溶的部分。除了如關於圖3所描述之抗蝕劑層350之變形以外或替代該變形,此等部分之移除可造成抗蝕劑層之剩餘部分變形。圖4A、圖4B、圖4C及圖4D示意性地 展示此類例示性額外變形。圖4A展示圖3之經曝光抗蝕劑層350(具有圖3C中展示之視情況存在之變形),其中部分355在曝光期間接收足夠高劑量且因此可溶於正型色調顯影劑,且部分356並不接收足夠高劑量且因此不可溶於正型色調顯影劑。圖4B展示在顯影期間,部分355溶解且部分356保留。作為此顯影之部分或在此後之週期期間,部分356可變形成部分357。此可在部分355之移除期間發生及/或在顯影完成之後的時段發生。點線表示部分356之輪廓。在實例中,部分356收縮且變成部分357。圖4C展示圖3之經曝光抗蝕劑層350(具有圖3C中展示之視情況存在之變形),其中部分355在曝光期間接收足夠高劑量且因此不可溶於負型色調顯影劑,且部分356並不接收足夠高劑量且因此可溶於負型色調顯影劑。圖4D展示在顯影期間,部分355保留且部分356溶解。作為此顯影之部分或在此後之週期期間,部分355可變形成部分354。此可在部分356之移除期間發生及/或在顯影完成之後的時段內發生。點線表示部分355之輪廓。在實例中,部分355收縮且變成部分354。
在一實施例中,提供一種用以在預測抗蝕劑層之輪廓時減少抗蝕劑模型誤差之方法。該方法可藉由併入空中影像在抗蝕劑厚度上之改變作為抗蝕劑模型中之額外變數來改進預測抗蝕劑輪廓,尤其是針對焦點相依特徵之準確度。
典型抗蝕劑模型(例如,超光速粒子抗蝕劑模型)包括在抗蝕劑厚度上對空中影像求平均值,或選擇抗蝕劑內合適高度處之空中影像。此途徑導致具有緊密接近安置之子解析度輔助特徵(SRAF)的特徵之不準確預測。因此,在下文中藉由一個實施例描述在特徵邊緣處之在本文中稱為垂直強度範圍(VIR)的變數,且該變數被定義為空中影像在抗蝕劑 厚度上之改變的度量。VIR運算如下:VIR(x,y)=AI(x,y,AIlocation=15nm)-AI(x,y,AIlocation=75nm) (1)
其中,x及y對應於抗蝕劑層內之座標,且AIlocation對應於抗蝕劑厚度內之深度。應注意,可相對於抗蝕劑層之頂表面量測深度。
在一實施例中,空中影像在厚度上之改變更改抗蝕劑側壁之形狀,此又導致SEM波形之改變。對於線空間圖案,VIR之變化對於離焦且與主要特徵緊密接近安置之SRAF的圖案係最大的。此外,空中影像在抗蝕劑厚度上之改變係與空中影像模型中可歸因於臨界尺寸掃描電子顯微鏡(CDSEM)中之度量衡效應的偏置相關。
在一實施例中,空中影像之斜率及VIR影響抗蝕劑之形狀。依據位置變化的空中影像強度之斜率量測自亮至暗之轉變中影像之陡度。藉由將斜率除以影像之強度來獲得空中影像之正規化斜率(在本文中被稱作影像對數斜率(ILS))。圖5說明描繪垂直強度範圍對具有類似影像對數斜率的特徵之特徵形狀的影響之例示性曲線圖。具體言之,圖5描繪抗蝕劑層之側壁之輪廓如何隨VIR的變化而改變。
圖6A及圖6B示意性地說明垂直強度範圍對特徵形狀之影響。圖6A描繪在抗蝕劑厚度之頂表面與底表面之間具有大的正VIR之情境。線601及603分別表示抗蝕劑層之底部及抗蝕劑層之頂部處具有相同空中影像強度之點。必須瞭解,在VIR具有大的正值(即,抗蝕劑之頂部層與底部層之間的空中影像強度差大)時,等強度曲線630實質上突出。此導致形成於基板620上之垂直抗蝕劑形狀610。
相比之下,圖6B描繪在抗蝕劑厚度之頂表面與底表面之間 具有小的正VIR之情境。線651及653分別表示抗蝕劑層之底部及抗蝕劑層之頂部處具有相同空中影像強度之點。在抗蝕劑厚度之頂表面與底表面之間的VIR小(即,抗蝕劑之頂部層與底部層之間的空中影像強度差小)時,等強度曲線680實質上垂直。在此狀況下,形成於基板670上之抗蝕劑660具有顯著側壁。因此,為了準確地預測抗蝕劑之形狀,將VIR參數併入於如下文所描述之抗蝕劑模型內。
藉由一個實施例,將VIR作為額外參數併入抗蝕劑模型中以減少抗蝕劑預測誤差且改進焦點敏感圖案之模型準確度。對於一般藉由參數R界定之抗蝕劑模型(例如,超光速粒子擴散及顯影抗蝕劑模型),模型更新如下:R → R+c.VIR * VIR (2)
其中c.VIR為與垂直強度範圍相關聯之擬合參數,其值可藉由校準抗蝕劑模型而獲得。
如先前參考方程式(1)所陳述,VIR經運算為抗蝕劑內之第一部位處之第一空中影像之第一強度與抗蝕劑層內之第二部位處之第二空中影像之第二強度之間的差。在一特定實施例中,第一部位處於抗蝕劑層之頂表面下方15nm,且第二部位處於抗蝕劑層之頂表面下方75nm。然而,必須瞭解,15nm及75nm之上述值為特定實例且不意欲限制本發明之範疇。具體言之,第一部位可選自抗蝕劑層之頂部第三部分,且第二部位可選自抗蝕劑層之底部第三部分。應注意,第一部位(以及第二部位)處於抗蝕劑層內實質上/基本上平行於抗蝕劑層之頂表面的平面中。
另外,在一實施例中,第一部位可為對應於在抗蝕劑層之第一部分中運算的空中影像之第一加權平均強度的部位,且第二部位可為 對應於在抗蝕劑層之第二部分中運算的空中影像之第二加權平均強度的部位。舉例而言,可基於以15nm為中心且具有2.2nm之標準偏差的高斯分佈而運算第一加權平均強度及第二加權平均強度。第一部分可為抗蝕劑層之具有第一高度的頂部部分,且第二部分為抗蝕劑層之具有第二高度的底部部分,其中第一高度及第二高度為抗蝕劑層之高度之15%。替代地,第一高度及第二高度可為抗蝕劑層之高度之10%。在一個實施例中,第一高度及第二高度可在抗蝕劑層之高度之10%至15%範圍內。應注意,在上述實施例中,抗蝕劑層之高度對應於抗蝕劑層之厚度。
此外,在一實施例中,代替執行抗蝕劑層之模擬以基於第一強度與第二強度之間的差而獲得抗蝕劑層特徵之參數之值,該模型可併入針對第一強度之抗蝕劑模型結果與針對第二強度之抗蝕劑模型結果之間的差,以準確地預測抗蝕劑層之形狀。
圖7描繪說明經執行以改進抗蝕劑模型誤差之步驟的例示性流程圖。如圖7中所概述之方法可由包括於本發明之微影系統中的處理器(接下來參考圖8所描述)執行。
程序在步驟710中開始,其中運算第一空中影像之第一強度。此後程序繼續進行至步驟720,其中運算第二空中影像之第二強度。應注意,第一空中影像對應於抗蝕劑層內之第一部位,且第二空中影像對應於抗蝕劑層內之第二部位。
此外,程序在步驟730中執行抗蝕劑層之電腦模擬以基於第一強度與第二強度之間的差而獲得抗蝕劑層特徵之參數之值。必須瞭解,在步驟730處,程序可替代地運算針對第一強度之抗蝕劑模型結果與針對第二強度之抗蝕劑模型結果之間的差。在執行步驟730中之運算後, 如圖7中所概述之程序終止。
圖8為說明執行本文中所揭示之方法及流程之一或多個態樣之電腦系統100的方塊圖。電腦系統100包括用於傳達資訊之匯流排102或其他通信機構,及與匯流排102耦接以用於處理資訊之處理器104(或多個處理器104及105)。電腦系統100亦包括主記憶體106,諸如隨機存取記憶體(RAM)或其他動態儲存裝置,其耦接至匯流排102以用於儲存待由處理器104執行之資訊及指令。主記憶體106在執行待由處理器104執行之指令期間亦可用於儲存暫時變數或其他中間資訊。電腦系統100進一步包括耦接至匯流排102以用於儲存用於處理器104之靜態資訊及指令之唯讀記憶體(ROM)108或其他靜態儲存裝置。提供儲存裝置110(諸如,磁碟或光碟)且將其耦接至匯流排102以用於儲存資訊及指令。
電腦系統100可經由匯流排102耦接至用於向電腦使用者顯示資訊之顯示器112,諸如,陰極射線管(CRT)或平板顯示器或觸控面板顯示器。包括文數字按鍵及其他按鍵之輸入裝置114耦接至匯流排102以用於將資訊及命令選擇傳達至處理器104。另一類型之使用者輸入裝置為用於將方向資訊及命令選擇傳達至處理器104且用於控制顯示器112上之游標移動的游標控制件116,諸如,滑鼠、軌跡球或游標方向按鍵。此輸入裝置通常具有在兩個軸線(第一軸線(例如,x)及第二軸線(例如,y))中之兩個自由度,其允許裝置指定在平面中之位置。觸控面板(螢幕)顯示器亦可被用作輸入裝置。
根據一個實施例,本文中所描述之程序之部分可回應於處理器104執行含於主記憶體106中之一或多個指令的一或多個序列而由電腦系統100執行。可自諸如儲存裝置110之另一電腦可讀媒體將此類指令 讀取至主記憶體106中。含於主記憶體106中之指令序列的執行造成處理器104執行本文中所描述之程序步驟。亦可採用多處理配置中之一或多個處理器,以執行含於主記憶體106中的指令序列。在一替代實施例中,可取代或結合軟體指令來使用硬佈線電路系統。因此,本文中之描述不限於硬體電路系統與軟體之任何特定組合。
如本文中所使用之術語「電腦可讀媒體」係指參與將指令提供至處理器104以供執行之任何媒體。此媒體可呈許多形式,包括但不限於非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括(例如)光碟或磁碟,諸如儲存裝置110。揮發性媒體包括動態記憶體,諸如主記憶體106。傳輸媒體包括同軸電纜、銅線及光纖,包括包含匯流排102的線。傳輸媒體亦可呈聲波或光波之形式,諸如在射頻(RF)及紅外(IR)資料通信期間所產生之聲波或光波。電腦可讀媒體之常見形式包括(例如)軟性磁碟、可撓性磁碟、硬碟、磁帶、任何其他磁媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或卡匣、如下文所描述之載波,或可供電腦讀取之任何其他媒體。
各種形式之電腦可讀媒體可涉及將一或多個指令之一或多個序列攜載至處理器104以供執行。舉例而言,初始地可將該等指令承載於遠端電腦之磁碟上。遠端電腦可將指令載入至其動態記憶體中,且使用數據機經由電話線而發送指令。在電腦系統100本端之數據機可接收電話線上之資料,且使用紅外線傳輸器以將資料轉換成紅外線信號。耦接至匯流排102之紅外線偵測器可接收紅外線信號中攜載之資料且將該資料置放於匯流排102上。匯流排102將資料攜載至主記憶體106,處理器104自主 記憶體106擷取且執行指令。由主記憶體106接收之指令可視情況在由處理器104執行之前或之後儲存於儲存裝置110上。
電腦系統100亦較佳地包括耦接至匯流排102的通信介面118。通信介面118提供耦接至連接至區域網路122之網路鏈路120的雙向資料通信。舉例而言,通信介面118可為整合式服務數位網路(ISDN)卡或數據機以將資料通信連接提供至對應類型之電話線。作為另一實例,通信介面118可為區域網路(LAN)卡以提供對相容LAN之資料通信連接。亦可實施無線連結。在任何此實施中,通信介面118發送且接收攜載表示各種類型之資訊之數位資料流的電信號、電磁信號或光學信號。
網路鏈路120通常經由一或多個網路而將資料通信提供至其他資料裝置。舉例而言,網路鏈路120可經由區域網路122向主機電腦124或向由網際網路服務提供者(ISP)126操作之資料裝備提供連接。ISP 126又經由全球封包資料通信網路(現通常被稱作「網際網路」128)而提供資料通信服務。區域網路122及網際網路128皆使用攜載數位資料串流之電、電磁或光學信號。經由各種網路之信號及在網路鏈路120上且經由通信介面118之信號為輸送資訊的例示性形式之載波,該等信號將數位資料攜載至電腦系統100且自電腦系統100攜載數位資料。
電腦系統100可經由網路、網路鏈路120及通信介面118發送訊息及接收資料,包括程式碼。在網際網路實例中,伺服器130可經由網際網路128、ISP 126、區域網路122及通信介面118而傳輸用於應用程式之經請求程式碼。舉例而言,一個此類經下載應用程式可提供如本文中所描述之程序。經接收程式碼可在其被接收時由處理器104執行,及/或儲存於儲存裝置110或其他非揮發性儲存器中以供稍後執行。以此方式,電 腦系統100可獲得呈載波形式之應用程式碼。
圖9示意性地描繪用於與本文中所描述之方法一起使用的例示性微影投影設備。該設備包含:-照明系統IL,其用以調節輻射光束B。在此特定狀況下,照明系統亦包含輻射源SO;-一第一物件台(例如,光罩台)MT,其配備有用以固持圖案化裝置MA(例如,倍縮光罩)之圖案化裝置固持器,且連接至用以相對於項目PS來準確地定位該圖案化裝置的第一定位器;-第二物件台(基板台)WT,其具備用以固持基板W(例如抗蝕劑塗佈矽晶圓)之基板固持器,且連接至用以相對於項目PS來準確地定位該基板之第二定位器;-投影系統(「透鏡」)PS(例如折射、反射或反射折射光學系統),其用以將圖案化裝置MA之經輻照部分成像至基板W之目標部分C(例如包含一或多個晶粒)上。
如本文中所描繪,設備屬於透射類型(亦即,具有透射光罩)。然而,一般而言,其亦可屬於(例如)反射類型(具有反射光罩)。替代地,該設備可採用另一種類之圖案化裝置作為經典光罩之使用的替代例;實例包括可程式化鏡面陣列或LCD矩陣。
源SO(例如,水銀燈或準分子雷射)產生輻射光束。舉例而言,此光束係直接地抑或在已橫穿諸如光束擴展器Ex之調節構件之後饋入至照明系統(照明器)IL中。照明器IL可包含調整構件AD以用於設定光束中之強度分佈之外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。另外,照明器IL通常將包含各種其他組件,諸如,積光器 IN及聚光器CO。以此方式,照射於圖案化裝置MA上之光束B在其橫截面中具有所要均一性及強度分佈。
關於圖9應注意,源SO可在微影投影設備之外殼內(如常常為源SO為例如水銀燈時之狀況),但其亦可遠離微影投影設備,其產生之輻射光束被引導至該設備中(例如,憑藉合適導向鏡面);此後一情境常常為源SO為準分子雷射時之狀況(例如,基於KrF、ArF或F2雷射作用)。
光束PB隨後截取被固持於圖案化裝置台MT上之圖案化裝置MA。在已橫穿圖案化裝置MA的情況下,光束B穿過透鏡PL,透鏡PL將光束B聚焦至基板W之目標部分C上。憑藉第二定位構件(及干涉量測構件IF),可準確地移動基板台WT,例如以便使不同目標部分C定位於光束PB之路徑中。類似地,第一定位構件可用以(例如)在自圖案化裝置庫對圖案化裝置MA之機械擷取之後或在掃描期間相對於光束B之路徑來準確地定位圖案化裝置MA。一般而言,將憑藉未在圖9中明確地描繪之長衝程模組(粗略定位)及短衝程模組(精細定位)來實現物件台MT、WT之移動。然而,在晶圓步進器(相對於步進掃描工具)之狀況下,圖案化裝置台MT可僅連接至短衝程致動器,或可固定。
所描繪工具可用於兩個不同模式中:-在步進模式中,圖案化裝置台MT保持基本上靜止,且將整個圖案化裝置影像一次性投影(亦即,單次「快閃」)至目標部分C上。接著使基板台WT在x方向及/或y方向上移位,使得可由光束PB輻照不同目標部分C;-在掃描模式中,基本上相同情境適用,惟單次「閃光」中不曝光給定目標部分C除外。替代地,圖案化裝置台MT可以速度v在給定 方向(所謂「掃描方向」,例如,y方向)上移動,使得投影光束B遍及圖案化裝置影像進行掃描;同時,基板台WT以速度V=Mv同時在相同或相反方向上移動,其中M為透鏡PL之放大率(通常M=1/4或1/5)。以此方式,可在不必損害解析度的情況下曝光相對大目標部分C。
圖10示意性地描繪可用於本文中所描述之方法的另一例示性微影投影設備1000。
微影投影設備1000包括:
-源收集器模組SO
-照明系統(照明器)IL,其經組態以調節輻射光束B(例如,EUV輻射)。
-支撐結構(例如,光罩台)MT,其經建構以支撐圖案化裝置(例如,光罩或倍縮光罩)MA,且連接至經組態以準確地定位該圖案化裝置之第一定位器PM;
-基板台(例如,晶圓台)WT,其經建構以固持基板(例如,抗蝕劑塗佈晶圓)W,且連接至經組態以準確地定位該基板之第二定位器PW;及
-投影系統(例如,反射投影系統)PS,其經組態以將由圖案化裝置MA賦予至輻射光束B之圖案投影至基板W之目標部分C(例如,包含一或多個晶粒)上。
如此處所描繪,設備1000屬於反射類型(例如,採用反射光罩)。應注意,因為大多數材料在EUV波長範圍內具吸收性,所以光罩可具有包含(例如)鉬與矽之多堆疊的多層反射器。在一個實例中,多堆疊反射器具有鉬與矽之40個層對,其中每一層之厚度為四分之一波長。可運 用X射線微影來產生更小波長。由於大多數材料在EUV及x射線波長下具吸收性,故圖案化裝置構形上之經圖案化吸收材料之薄件(例如多層反射器之頂部上之TaN吸收體)界定特徵將印刷(正型抗蝕劑)或不印刷(負型抗蝕劑)之處。
參考圖10,照明器IL自源收集器模組SO接收極紫外線輻射光束。用以產生EUV輻射之方法包括但未必限於運用在EUV範圍內之一或多個發射譜線將具有至少一種元素(例如,氙、鋰或錫)之材料轉換成電漿狀態。在一種此類方法中,可藉由運用雷射光束來輻照燃料(諸如,具有譜線發射元素之材料小滴、串流或叢集)而產生電漿(常常被稱為雷射產生電漿(「LPP」))。源收集器模組SO可為包括雷射(圖10中未展示)的EUV輻射系統之部件,該雷射用於提供激發燃料之雷射光束。所得電漿發射輸出輻射,例如EUV輻射,該輻射係使用安置於源收集器模組中之輻射收集器予以收集。舉例而言,當使用CO2雷射以提供用於燃料激發之雷射光束時,雷射及源收集器模組可為分離實體。
在此等狀況下,雷射不被視為形成微影設備之部件,且輻射光束係憑藉包含(例如)適合引導鏡面及/或光束擴展器之光束遞送系統而自雷射傳遞至源收集器模組。在其他狀況下,舉例而言,當源為放電產生電漿EUV產生器(常常被稱為DPP源)時,源可為源收集器模組之整體部件。
照明器IL可包含用於調整輻射光束之角強度分佈的調整器。一般而言,可調整照明器之光瞳平面中之強度分佈的至少外部及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。另外,照明器IL可包含各種其他組件,諸如琢面化場鏡面裝置及琢面化光瞳鏡面裝置。照明器可 用以調節輻射光束,以在其橫截面中具有所要均一性及強度分佈。
輻射光束B入射於被固持於支撐結構(例如,光罩台)MT上之圖案化裝置(例如,光罩)MA上,且係由該圖案化裝置圖案化。在自圖案化裝置(例如,光罩)MA反射之情況下,輻射光束B穿過投影系統PS,投影系統PS將該光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置感測器PS2(例如,干涉裝置、線性編碼器或電容式感測器),可準確地移動基板台WT,例如,以便使不同目標部分C定位於輻射光束B之路徑中。類似地,第一定位器PM及另一位置感測器PS1可用以相對於輻射光束B之路徑來準確地定位圖案化裝置(例如,光罩)MA。可使用圖案化裝置對準標記M1、M2及基板對準標記P1、P2來對準圖案化裝置(例如,光罩)MA及基板W。
所描繪設備1000可用於以下模式中之至少一者中:
1.在步進模式中,當將賦予至輻射光束之整個圖案一次性投影至目標部分C上時,支撐結構(例如,光罩台)MT及基板台WT保持基本上靜止(亦即,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上移位,使得可曝光不同目標部分C。
2.在掃描模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,同步地掃描支撐結構(例如,光罩台)MT及基板台WT(亦即,單次動態曝光)。可藉由投影系統PS之放大率(縮小率)及影像反轉特性來判定基板台WT相對於支撐結構(例如,光罩台)MT之速度及方向。
3.在另一模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,使支撐結構(例如,光罩台)MT保持基本上靜止,從而固持可程式化圖案化裝置,且移動或掃描基板台WT。在此模式中,通常採 用脈衝式輻射源,且在基板台WT之每次移動之後或在掃描期間之順次輻射脈衝之間根據需要而更新可程式化圖案化裝置。此操作模式可易於應用於利用可程式化圖案化裝置(諸如,上文所提及之類型之可程式化鏡面陣列)之無遮罩微影。
圖11更詳細地展示設備1000,其包括源收集器模組SO、照明系統IL及投影系統PS。源收集器模組SO經建構及配置以使得可將真空環境維持於源收集器模組SO之圍封結構220中。可藉由放電產生電漿源形成EUV輻射發射電漿210。可藉由氣體或蒸汽(例如,Xe氣體、Li蒸汽或Sn蒸汽)而產生EUV輻射,其中產生極熱電漿210以發射在電磁光譜的EUV範圍內之輻射。舉例而言,藉由造成至少部分離子化電漿之放電來產生極熱電漿210。為了輻射之有效率產生,可要求為(例如)10Pa之分壓之Xe、Li、Sn蒸汽或任何其他適合氣體或蒸汽。在一實施例中,提供經激發錫(Sn)電漿以產生EUV輻射。
由熱電漿210發射之輻射係經由定位於源腔室211中之開口中或後方的視情況選用之氣體障壁或污染物截留器230(在一些狀況下,亦被稱作污染物障壁或箔片截留器)而自源腔室211傳遞至收集器腔室212中。污染物截留器230可包括通道結構。污染物截留器230亦可包括氣體障壁,或氣體障壁與通道結構之組合。如在此項技術中已知,本文中進一步所指示之污染物截留器或污染物障壁230至少包括通道結構。
收集器腔室211可包括可為所謂的掠入射收集器之輻射收集器CO。輻射收集器CO具有上游輻射收集器側251及下游輻射收集器側252。橫穿收集器CO之輻射可由光柵光譜濾光器240反射,光柵光譜濾光器240待沿著由點虛線「O」指示之光軸而聚焦在虛擬源點IF中。虛擬源 點IF通常被稱作中間焦點,且源收集器模組經配置成使得中間焦點IF位於圍封結構220中之開口221處或附近。虛擬源點IF為輻射發射電漿210之影像。
隨後,輻射橫穿照明系統IL,照明系統IL可包括琢面化場鏡面裝置22及琢面化光瞳鏡面裝置24,琢面化場鏡面裝置22及琢面化光瞳鏡面裝置24經配置以提供在圖案化裝置MA處的輻射光束21之所要角分佈,以及在圖案化裝置MA處的輻射強度之所要均一性。在由支撐結構MT固持之圖案化裝置MA處反射輻射光束21後,隨即形成經圖案化光束26,且由投影系統PS將經圖案化光束26經由反射元件28、30而成像至由基板台WT固持之基板W上。
比所展示之元件更多的元件通常可存在於照明光學件單元IL及投影系統PS中。取決於微影設備之類型,可視情況存在光柵光譜濾光器240。另外,可存在比諸圖所展示之鏡面多的鏡面,例如,在投影系統PS中可存在比圖11所展示之反射元件多1至6個的額外反射元件。
如圖11中所說明之收集器光學件CO被描繪為具有掠入射反射器253、254及255之巢套式收集器,僅僅作為收集器(或收集器鏡面)之實例。掠入射反射器253、254及255經安置成圍繞光軸O軸向地對稱,且此類型之收集器光學件CO係較佳結合放電產生電漿源(常常被稱為DPP源)予以使用。
替代地,源收集器模組SO可為如圖12所展示之LPP輻射系統之部件。雷射LA經配置以將雷射能量沈積至諸如氙(Xe)、錫(Sn)或鋰(Li)之燃料中,從而產生具有數十eV之電子溫度之高度離子化電漿210。在此等離子之去激發及再結合期間產生之高能輻射係自電漿發射、由近正 入射收集器光學件CO收集,且聚焦至圍封結構220中之開口221上。
儘管在本文中可特定參考諸如IC之裝置之製造,但應明確地理解,本文中之描述具有許多其他可能的應用。舉例而言,該等實施例可用於製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、液晶顯示面板、薄膜磁頭等等。熟習此項技術者將瞭解,在此類替代應用之內容背景中,本文中對術語「倍縮光罩」、「晶圓」或「晶粒」之任何使用應被視為可分別與更一般之術語「光罩」、「基板」及「目標部分」互換。
應注意,術語「光罩」、「倍縮光罩」及「圖案化裝置」在本文中可被互換地利用。又,熟習此項技術者應認識到,特別是在微影模擬/最佳化之內容背景中,術語「光罩」/「圖案化裝置」及「設計佈局」可被互換地使用,此係因為在微影模擬/最佳化中,未必使用實體圖案化裝置,而可使用設計佈局以表示實體圖案化裝置。
在本發明文件中,術語「輻射」及「光束」係用以涵蓋所有類型之電磁輻射,包括紫外線輻射(例如,具有365、248、193、157或126nm之波長)及極紫外線輻射(EUV),例如具有在5至20nm範圍內之波長)。
如本文中所使用之術語「最佳化(optimizing/optimization)」意指調整微影投影設備及/或圖案化程序,使得圖案化程序(諸如微影)之結果及/或程序具有更合乎需要的特性,諸如設計佈局在基板上之投影之較高準確度、較大程序窗等等。術語「最佳化(optimizing/optimization)」未必需要微影之結果及/或程序具有最合乎需要的特性,諸如設計佈局在基板上之投影之最高準確度、最大程序窗等等。
上文所提及之圖案化裝置包含或可形成設計佈局。可利用電腦輔助設計(CAD)程式來產生設計佈局,此程序常常被稱作電子設計自動化(EDA)。大多數CAD程式遵循預定設計規則之集合,以便產生功能設計佈局/圖案化裝置。藉由處理及設計限制來設定此等規則。舉例而言,設計規則定義電路裝置(諸如,閘、電容器等等)或互連線之間的空間容許度,以便確保該等電路裝置或線彼此不會以不理想方式相互作用。設計規則限制通常被稱作「臨界尺寸」(CD)。可將電路之臨界尺寸定義為線或孔之最小寬度,或兩條線或兩個孔之間的最小空間。因此,CD判定經設計電路之總大小及密度。當然,積體電路製造中之目標中之一者係在基板上如實地再生原始電路設計(經由圖案化裝置)。
如本文中所使用之術語「光罩」或「圖案化裝置」可被廣泛地解譯為係指可用以向入射輻射光束賦予經圖案化橫截面之通用圖案化裝置,經圖案化橫截面對應於待在基板之目標部分中產生之圖案;術語「光閥」亦可用於此內容背景中。除經典光罩(透射或反射;二元、相移、混合式等等)以外,其他此類圖案化裝置之實例包括可程式化鏡面陣列及/或可程式化LCD陣列。
本文中所揭示之概念可模擬或在數學上模型化任何圖案化程序,且可尤其適用於能夠產生愈來愈短波長之成像技術。已經在使用中之此類成像技術之實例包括極紫外線(EUV)、DUV微影,其能夠藉由使用ArF雷射產生193nm波長及/或藉由使用氟雷射產生157nm波長。此外,EUV微影能夠藉由使用(例如)同步加速器或藉由運用高能電子撞擊材料(固體或電漿)而產生在約5nm至約20nm範圍內之波長,以便產生在此範圍內之光子。
雖然本文中所揭示之概念可用於涉及在諸如矽晶圓之基板上成像的圖案化程序,但應理解,所揭示之概念可與任何類型之微影系統一起使用,例如,用於在不同於矽晶圓之基板上成像的微影系統。
在方塊圖中,所說明之組件被描繪為離散功能區塊,但實施例不限於本文中所描述之功能性如所說明而組織之系統。由組件中之每一者提供之功能性可由軟體或硬體模組提供,該等模組係以與目前所描繪之方式不同的方式組織,例如,可摻和、結合、複寫、解散、分配(例如,在資料中心內或按地區),或另外以不同方式組織此軟體或硬體。本文中所描述之功能性可由執行儲存於有形的、非暫時性機器可讀媒體上之程式碼之一或多個電腦的一或多個處理器提供。在一些狀況下,第三方內容遞送網路可主控經由網路傳送之資訊中的一些或全部,在此狀況下,在據稱供應或以另外方式提供資訊(例如,內容)之情況下,可藉由發送指令以自內容遞送網路擷取彼資訊提供該資訊。
除非另外具體地陳述,否則如自論述顯而易見,應瞭解,貫穿本說明書,利用諸如「處理」、「運算」、「計算」、「判定」或其類似者之術語的論述係指諸如專用電腦或相似專用電子處理/運算裝置之特定設備的動作或程序。
讀者應瞭解,本申請案描述若干發明。申請人已將此等發明分組至單個文獻中而非將彼等發明分開至多個經分離專利申請案中,此係因為其相關標的物可在應用程序中適用於經濟。但不應歸併此等發明之相異優點及態樣。在一些狀況下,實施例解決本文中所提到之所有不足,但應理解,該等發明係獨立地有用,且一些實施例僅解決此等問題之子集或供應其他未經提及之益處,該等益處對於檢閱本發明之熟習此項技術者 將顯而易見。歸因於成本約束,目前可不主張本文中所揭示之一些發明,且可在稍後申請案(諸如接續申請案或藉由修正本技術方案)中主張該等發明。相似地,歸因於空間限制,本發明文件之發明摘要及發明內容章節皆不應被視為含有所有此等發明之全面清單或此等發明之所有態樣。
可以使用以下條項進一步描述實施例:
1.一種方法,其包含:運算一第一空中影像之一第一強度及一第二空中影像之一第二強度,該第一空中影像對應於一抗蝕劑層內之一第一部位且該第二空中影像對應於該抗蝕劑層內之一第二部位;及藉由一硬體電腦系統使用一抗蝕劑模型來執行該抗蝕劑層之一電腦模擬,以基於該第一強度與該第二強度之間的一差或基於針對該第一強度之一抗蝕劑模型結果與針對該第二強度之一抗蝕劑模型結果之間的一差而獲得一抗蝕劑層特徵之一參數之一值。
2.如條項1之方法,其進一步包含:基於該第一強度與該第二強度之間的該差而獲得該抗蝕劑層之該參數之該值。
3.如條項1之方法,其進一步包含:基於針對該第一強度之該抗蝕劑模型結果與針對該第二強度之該抗蝕劑模型結果之間的該差而獲得該參數之該值。
4.如條項1之方法,其中該第一部位處於該抗蝕劑層內之一第一深度處,且該第二部位處於該抗蝕劑層內之一第二深度處,該第二深度不同於該第一深度,該第一深度及該第二深度係相對於該抗蝕劑層之一頂表面而量測。
5.如條項1至4中任一項之方法,其中該第一部位處於該抗蝕劑層之一頂部第三部分中,且該第二部位處於該抗蝕劑層之一底部第三部分中。
6.如條項1或條項5中任一項之方法,其中該第一深度處於該抗蝕劑層之該頂表面下方15奈米,且該第二深度處於該抗蝕劑層之該頂表面下方75奈米。
7.如條項1至6之方法,其中該抗蝕劑模型係用與所運算差相關聯之一擬合參數更新。
8.如條項1至6之方法,其進一步包含:校準該抗蝕劑模型以獲得一擬合參數之一值。
9.如條項1至8中任一項之方法,其中該抗蝕劑模型包含一擬合參數同該第一強度與該第二強度之間的該差之一乘積。
10.如條項1至9中任一項之方法,其中該第一部位為對應於在該抗蝕劑層之一第一部分中運算的空中影像之一第一加權平均強度的一部位,且該第二部位為對應於在該抗蝕劑層之一第二部分中運算的空中影像之一第二加權平均強度的一部位。
11.如條項10之方法,其中該第一部分為該抗蝕劑層之具有一第一高度的一頂部部分,且該第二部分為該抗蝕劑層之具有一第二高度的一底部部分。
12.如條項11之方法,其中該第一高度及該第二高度為該抗蝕劑層之一高度之15%。
13.如條項11之方法,其中該第一高度及該第二高度為該抗蝕劑層之一高度之10%。
14.如條項1之方法,其中該抗蝕劑特徵包含一經顯影抗蝕劑壁。
15.如條項1之方法,其進一步包含:基於該參數之所獲得值而使上面具有一抗蝕劑層之一基板成像。
16.一種裝置,其包含:一處理器,其經組態以運算一第一空中影像之一第一強度及一第二空中影像之一第二強度,該第一空中影像對應於一抗蝕劑層內之一第一部位且該第二空中影像對應於該抗蝕劑層內之一第二部位;且執行該抗蝕劑層之一電腦模擬,以基於該第一強度與該第二強度之間的一差或基於針對該第一強度之一抗蝕劑模型結果與針對該第二強度之一抗蝕劑模型結果之間的一差而獲得一抗蝕劑層特徵之一參數之一值。
17.如條項16之裝置,其中該處理器經組態以基於該第一強度與該第二強度之間的該差而獲得該抗蝕劑層之該參數之該值。
18.如條項16之裝置,其中該處理器經組態以基於針對該第一強度之該抗蝕劑模型結果與針對該第二強度之該抗蝕劑模型結果之間的該差而獲得該參數之該值。
19.如條項16之裝置,其中該第一部位處於該抗蝕劑層內之一第一深度處,且該第二部位處於該抗蝕劑層內之一第二深度處,該第一深度及該第二深度係相對於該抗蝕劑層之一頂表面而量測。
20.如條項16至19之裝置,其中該第一部位處於該抗蝕劑層之一頂部第三部分中且該第二部位處於該抗蝕劑層之一底部第三部分中。
21.如條項16或20中任一項之裝置,其中該第一深度處於該抗蝕劑層之該頂表面下方15奈米,且該第二深度處於該抗蝕劑層之該頂表面下方 75奈米。
22.如條項16至21之裝置,其中該抗蝕劑模型係用與所運算差相關聯之一擬合參數更新。
23.如條項16至21之裝置,其中該處理器經組態以校準該抗蝕劑模型以獲得一擬合參數之一值。
24.如條項16至23中任一項之裝置,其中該抗蝕劑模型包含一擬合參數同該第一強度與該第二強度之間的該差之一乘積。
25.如條項16至24中任一項之裝置,其中該第一部位為對應於在該抗蝕劑層之一第一部分中運算的空中影像之一第一加權平均強度的一部位,且該第二部位為對應於在該抗蝕劑層之一第二部分中運算的空中影像之一第二加權平均強度的一部位。
26.如條項25之裝置,其中該第一部分為該抗蝕劑層之具有一第一高度的一頂部部分,且該第二部分為該抗蝕劑層之具有一第二高度的一底部部分。
27.如條項26之裝置,其中該第一高度及該第二高度為該抗蝕劑層之一高度之15%。
28.如條項26之裝置,其中該第一高度及該第二高度為該抗蝕劑層之一高度之10%。
29.如條項16之裝置,其中該抗蝕劑特徵包含一經顯影抗蝕劑壁。
30.如條項16之裝置,其中該參數包含在基本上平行於該抗蝕劑層之一平面中的一部位。
31.一種包含機器可讀指令之非暫時性電腦程式產品,該等機器可讀指令用於致使一處理器執行如條項1至15中任一項之方法。
32.一種系統,其包含一硬體處理器;及如條項31之非暫時性電腦程式產品。
應理解,本說明書及圖式不意欲將本發明限制於所揭示之特定形式,而相反,意欲涵蓋屬於如由所附申請專利範圍所界定的本發明之精神及範疇內之所有修改、等效者及替代例。
鑒於本說明書,本發明之各種態樣之修改及替代實施例對於熟習此項技術者而言將顯而易見。因此,本說明書及圖式應被揭示為僅為說明性的且係出於教示熟習此項技術者進行本發明之一般方式之目的。應理解,本文中所展示且描述之本發明之形式應被視為實施例之實例。元件及材料可替代本文中所說明及描述之元件及材料,可反轉或省略部分及程序,可獨立利用某些特徵,且可組合實施例或實施例之特徵,此皆如熟習此項技術者在獲得本說明書之益處之後將顯而易見。可在不脫離如在以下申請專利範圍中所描述之本發明之精神及範疇的情況下對本文中所描述之元件作出改變。本文中所使用之標題僅為達成組織性目的,且不意欲用以限制本說明書之範疇。
如貫穿本申請案所使用,詞「可」係在許可之意義(亦即,意謂有可能)而非強制性之意義(亦即,意謂必須)下予以使用。詞「包括(include/including/includes)」及其類似者意謂包括但不限於。如貫穿本申請案所使用,單數形式「a/an/the」包括複數個參照物,除非內容另有明確地指示。因此,舉例而言,對「元件(an element/a element)」之參考包括兩個或多於兩個元件之組合,儘管會針對一或多個元件使用其他術語及短語,諸如「一或多個」。除非另有指示,否則術語「或」係非排他性 的,亦即,涵蓋「及」與「或」兩者。描述條件關係之術語,例如,「回應於X,而Y」、「在X後,即Y」、「若X,則Y」、「當X時,Y」及其類似者涵蓋因果關係,其中前提為必要的因果條件,前期為充分的因果條件,或前提為結果的貢獻因果條件,例如,「在條件Y獲得後,即出現狀態X」對於「僅在Y後,才出現X」及「在Y及Z後,即出現X」係通用的。此等條件關係不限於即刻遵循前提而獲得之結果,此係由於可延遲一些結果,且在條件陳述中,前提連接至其結果,例如,前提係與出現結果之可能性相關。除非另有指示,否則複數個特質或功能經映射至複數個物件(例如,執行步驟A、B、C及D之一或多個處理器)之陳述涵蓋所有此等特質或功能經映射至所有此等物件及特質或功能之子集經映射至特質或功能之子集兩者(例如,所有處理器各自執行步驟A至D,及其中處理器1執行步驟A,處理器2執行步驟B及步驟C之一部分,且處理器3執行步驟C之一部分及步驟D之狀況)。另外,除非另外指示,否則一個值或動作係「基於」另一條件或值之陳述涵蓋條件或值為單獨因數之情況及條件或值為複數個因數當中之一個因數之情況兩者。除非另有指示,否則某一集合之「每一」例項具有某一性質之陳述不應理解為排除較大集合之一些另外相同或類似成員不具有該性質,亦即,每一未必意謂每一個。對自範圍選擇之參考包括範圍之端點。
在以上描述中,流程圖中之任何程序、描述或區塊應理解為表示程式碼之模組、區段或部分,其包括用於實施該程序中之特定邏輯功能或步驟之一或多個可執行指令,且替代實施包括於本發明之例示性實施例之範疇內,其中功能可取決於所涉及之功能性而不按照所展示或論述之次序執行,包括實質上同時或以相反次序執行,如熟習此項技術者將理 解。
雖然已描述某些實施例,但此等實施例僅作為實例來呈現,且並不意欲限制本發明之範疇。實際上,本文中所描述之新穎方法、設備及系統可以多種其他形式體現;此外,在不背離本發明精神之情況下,可對本文中所描述之方法、設備及系統的形式進行各種省略、替代及改變。隨附申請專利範圍及其等效者意欲涵蓋將落入本發明之範疇及精神內的此類形式或修改。
601:線
603:線
610:垂直抗蝕劑形狀
620:基板
630:等強度曲線
651:線
653:線
660:抗蝕劑
670:基板
680:等強度曲線

Claims (15)

  1. 一種用於改進抗蝕劑模型預測的方法,其包含:運算一第一空中影像(aerial image)之一第一強度(intensity)及一第二空中影像之一第二強度,該第一空中影像對應於一抗蝕劑層(resist layer)內之一第一部位(location)且該第二空中影像對應於該抗蝕劑層內之一第二部位;及藉由一硬體電腦系統使用一抗蝕劑模型來執行該抗蝕劑層之一電腦模擬,以基於該第一強度與該第二強度之間的一差或基於針對該第一強度之一抗蝕劑模型結果與針對該第二強度之一抗蝕劑模型結果之間的一差而獲得一抗蝕劑層特徵之一參數之一值。
  2. 如請求項1之方法,其進一步包含:基於該第一強度與該第二強度之間的該差而獲得該抗蝕劑層之該參數之該值。
  3. 如請求項1之方法,其進一步包含:基於針對該第一強度之該抗蝕劑模型結果與針對該第二強度之該抗蝕劑模型結果之間的該差而獲得該參數之該值。
  4. 如請求項1之方法,其中該第一部位處於該抗蝕劑層內之一第一深度處,且該第二部位處於該抗蝕劑層內之一第二深度處,該第二深度不同於該第一深度,該第一深度及該第二深度係相對於該抗蝕劑層之一頂表面而 量測。
  5. 如請求項1之方法,其中該第一部位處於該抗蝕劑層之一頂部第三部分中且該第二部位處於該抗蝕劑層之一底部第三部分中。
  6. 如請求項1之方法,其中該抗蝕劑模型係用與所運算差相關聯之一擬合參數更新。
  7. 如請求項1之方法,其進一步包含:校準該抗蝕劑模型以獲得一擬合參數之一值。
  8. 如請求項1之方法,其中該抗蝕劑模型包含一擬合參數同該第一強度與該第二強度之間的該差之一乘積。
  9. 如請求項1之方法,其中該第一部位為對應於在該抗蝕劑層之一第一部分中運算的空中影像之一第一加權平均強度的一部位,且該第二部位為對應於在該抗蝕劑層之一第二部分中運算的空中影像之一第二加權平均強度的一部位。
  10. 如請求項9之方法,其中該第一部分為該抗蝕劑層之具有一第一高度的一頂部部分,且該第二部分為該抗蝕劑層之具有一第二高度的一底部部分。
  11. 如請求項10之方法,其中該第一高度及該第二高度為該抗蝕劑層之一高度之15%,或其中該第一高度及該第二高度為該抗蝕劑層之一高度之10%。
  12. 如請求項1之方法,其中該抗蝕劑特徵包含一經顯影抗蝕劑壁。
  13. 如請求項1之方法,其進一步包含:基於該參數之所獲得值而使上面具有一抗蝕劑層之一基板成像。
  14. 一種用於改進抗蝕劑模型預測的非暫時性電腦程式產品,該非暫時性電腦程式產品包含機器可讀指令,該等機器可讀指令用於致使一處理器執行如請求項1之方法。
  15. 一種用於改進抗蝕劑模型預測的系統,其包含:一硬體處理器;及如請求項14之非暫時性電腦程式產品。
TW108105947A 2018-02-23 2019-02-22 用於改進抗蝕劑模型預測的系統、方法及電腦程式產品 TWI702467B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP18158448.3A EP3531206A1 (en) 2018-02-23 2018-02-23 Systems and methods for improving resist model predictions
EPEP18158448 2018-02-23

Publications (2)

Publication Number Publication Date
TW201945834A TW201945834A (zh) 2019-12-01
TWI702467B true TWI702467B (zh) 2020-08-21

Family

ID=61274202

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108105947A TWI702467B (zh) 2018-02-23 2019-02-22 用於改進抗蝕劑模型預測的系統、方法及電腦程式產品

Country Status (6)

Country Link
US (1) US20210033978A1 (zh)
EP (1) EP3531206A1 (zh)
KR (1) KR20200109372A (zh)
CN (1) CN111742264A (zh)
TW (1) TWI702467B (zh)
WO (1) WO2019162275A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12032298B2 (en) * 2021-09-23 2024-07-09 Intel Corporation Measurement tool and method for lithography masks
WO2024088666A1 (en) * 2022-10-26 2024-05-02 Asml Netherlands B.V. Simulation-assisted methods and software to guide selection of patterns or gauges for lithographic processes

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100218160A1 (en) * 2007-05-07 2010-08-26 Synopsys, Inc. Method and apparatus for determining a process model that models the impact of a car/peb on the resist profile
US20110177457A1 (en) * 2010-01-15 2011-07-21 Shimon Maeda Mask pattern generating method, manufacturing method of semiconductor device, and computer program product
CN104040428A (zh) * 2012-02-15 2014-09-10 大日本印刷株式会社 相移掩模及使用该相移掩模的抗蚀图案形成方法
US20160231654A1 (en) * 2013-10-01 2016-08-11 Asml Netherlands B.V. Profile aware source-mask optimization
TW201729005A (zh) * 2015-11-20 2017-08-16 Asml荷蘭公司 微影裝置及操作微影裝置之方法
CN107278279A (zh) * 2015-02-23 2017-10-20 株式会社尼康 基板处理系统及基板处理方法、以及组件制造方法
TW201741777A (zh) * 2016-02-26 2017-12-01 Asml荷蘭公司 量測結構的方法、檢測裝置、微影系統及器件製造方法
TW201800875A (zh) * 2016-05-25 2018-01-01 Asml荷蘭公司 微影裝置
JP2018010192A (ja) * 2016-07-14 2018-01-18 凸版印刷株式会社 反射型マスク用ブランク、反射型マスク及び反射型マスクの製造方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3884371B2 (ja) * 2002-11-26 2007-02-21 株式会社東芝 レチクル、露光モニタ方法、露光方法、及び半導体装置の製造方法
KR100982135B1 (ko) 2005-09-09 2010-09-14 에이에스엠엘 네델란즈 비.브이. 개별 마스크 오차 모델을 사용하는 마스크 검증 방법 및시스템
NL1036189A1 (nl) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
KR102182005B1 (ko) * 2015-03-16 2020-11-24 에이에스엠엘 네델란즈 비.브이. 레지스트 변형을 결정하는 방법들

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100218160A1 (en) * 2007-05-07 2010-08-26 Synopsys, Inc. Method and apparatus for determining a process model that models the impact of a car/peb on the resist profile
US20110177457A1 (en) * 2010-01-15 2011-07-21 Shimon Maeda Mask pattern generating method, manufacturing method of semiconductor device, and computer program product
CN104040428A (zh) * 2012-02-15 2014-09-10 大日本印刷株式会社 相移掩模及使用该相移掩模的抗蚀图案形成方法
US20160231654A1 (en) * 2013-10-01 2016-08-11 Asml Netherlands B.V. Profile aware source-mask optimization
CN107278279A (zh) * 2015-02-23 2017-10-20 株式会社尼康 基板处理系统及基板处理方法、以及组件制造方法
TW201729005A (zh) * 2015-11-20 2017-08-16 Asml荷蘭公司 微影裝置及操作微影裝置之方法
TW201741777A (zh) * 2016-02-26 2017-12-01 Asml荷蘭公司 量測結構的方法、檢測裝置、微影系統及器件製造方法
TW201800875A (zh) * 2016-05-25 2018-01-01 Asml荷蘭公司 微影裝置
JP2018010192A (ja) * 2016-07-14 2018-01-18 凸版印刷株式会社 反射型マスク用ブランク、反射型マスク及び反射型マスクの製造方法

Also Published As

Publication number Publication date
KR20200109372A (ko) 2020-09-22
US20210033978A1 (en) 2021-02-04
TW201945834A (zh) 2019-12-01
CN111742264A (zh) 2020-10-02
WO2019162275A1 (en) 2019-08-29
EP3531206A1 (en) 2019-08-28

Similar Documents

Publication Publication Date Title
CN117724300A (zh) 基于机器学习的逆光学邻近效应校正和过程模型校准
TWI791357B (zh) 用於選擇與圖案化程序相關聯之資料之方法及相關的非暫時性電腦可讀媒體
TWI687761B (zh) 判定層變形之方法及非暫時性電腦程式產品
TWI828011B (zh) 判定光罩圖案之方法及相關非暫時性電腦程式產品
TWI706439B (zh) 用於評估抗蝕劑顯影的方法
TWI714165B (zh) 用於改善製程模型之方法
TWI725325B (zh) 缺陷預測
TWI702467B (zh) 用於改進抗蝕劑模型預測的系統、方法及電腦程式產品
TWI687781B (zh) 用於減少光阻模型預測錯誤之系統及方法
TWI667553B (zh) 判定圖案之特性之方法
TWI672556B (zh) 判定輻射之散射的方法及電腦程式產品
TWI661264B (zh) 調諧製程模型之方法
US20240319581A1 (en) Match the aberration sensitivity of the metrology mark and the device pattern
TWI654497B (zh) 在製程中導引程序模型及檢測之方法
WO2023016752A1 (en) Match the aberration sensitivity of the metrology mark and the device pattern

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees