TWI695405B - Methods of inspecting samples - Google Patents

Methods of inspecting samples Download PDF

Info

Publication number
TWI695405B
TWI695405B TW108106178A TW108106178A TWI695405B TW I695405 B TWI695405 B TW I695405B TW 108106178 A TW108106178 A TW 108106178A TW 108106178 A TW108106178 A TW 108106178A TW I695405 B TWI695405 B TW I695405B
Authority
TW
Taiwan
Prior art keywords
pixel
electron
sample
electrons
detector
Prior art date
Application number
TW108106178A
Other languages
Chinese (zh)
Other versions
TW201921408A (en
Inventor
大衛 L 布朗
勇和 艾力克斯 莊
約翰 費爾登
馬歇爾 崔伯
張璟璟
迪維斯 康塔多
凡卡特曼 伊爾
Original Assignee
美商克萊譚克公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商克萊譚克公司 filed Critical 美商克萊譚克公司
Publication of TW201921408A publication Critical patent/TW201921408A/en
Application granted granted Critical
Publication of TWI695405B publication Critical patent/TWI695405B/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/244Detectors; Associated components or circuits therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01TMEASUREMENT OF NUCLEAR OR X-RADIATION
    • G01T1/00Measuring X-radiation, gamma radiation, corpuscular radiation, or cosmic radiation
    • G01T1/16Measuring radiation intensity
    • G01T1/24Measuring radiation intensity with semiconductor detectors
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N30/00Investigating or analysing materials by separation into components using adsorption, absorption or similar phenomena or using ion-exchange, e.g. chromatography or field flow fractionation
    • G01N30/02Column chromatography
    • G01N30/62Detectors specially adapted therefor
    • G01N30/72Mass spectrometers
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T1/00General purpose image data processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J49/00Particle spectrometers or separator tubes
    • H01J49/02Details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1464Back illuminated imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • H01L27/14658X-ray, gamma-ray or corpuscular radiation imagers
    • H01L27/14659Direct radiation imagers structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • H01L27/14658X-ray, gamma-ray or corpuscular radiation imagers
    • H01L27/14661X-ray, gamma-ray or corpuscular radiation imagers of the hybrid type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/244Detection characterized by the detecting means
    • H01J2237/2441Semiconductor detectors, e.g. diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/244Detection characterized by the detecting means
    • H01J2237/2446Position sensitive detectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/244Detection characterized by the detecting means
    • H01J2237/24475Scattered electron detectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/244Detection characterized by the detecting means
    • H01J2237/24495Signal processing, e.g. mixing of two or more signals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24592Inspection and quality control of devices

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Molecular Biology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Biochemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

A scanning electron microscope incorporates a multi-pixel electron detector. The multi-pixel detector may detect back-scattered and/or secondary electrons. The multi-pixel detector may incorporate analog-to-digital converters and other circuits. The multi-pixel electron detector may be capable of approximately determining the energy of incident electrons and/or may contain circuits for processing or analyzing the electron signals. The multi-pixel electron detector is suitable for high-speed operation such as at a speed of about 100 MHz or higher. The scanning electron microscope may be used for reviewing, inspecting or measuring a sample such as an unpatterned semiconductor wafer, a patterned semiconductor wafer, a reticle or a photomask. A method of reviewing or inspecting a sample is also described.

Description

檢查樣本之方法 How to check the sample

本申請案係關於掃描式電子顯微鏡、適合用於掃描式電子顯微鏡中之電子及X射線偵測器、及用於審查及檢查樣本之系統及方法。該等電子顯微鏡、偵測器、系統及方法尤其適合用於審查及檢查系統中,該等審查及檢查系統包含用於審查及/或檢查光罩、主光罩及半導體晶圓之該等電子顯微鏡、偵測器、系統及方法。This application is about a scanning electron microscope, an electron and X-ray detector suitable for use in a scanning electron microscope, and a system and method for examining and inspecting samples. These electron microscopes, detectors, systems and methods are particularly suitable for use in inspection and inspection systems, which include such electronics for inspection and/or inspection of photomasks, main photomasks and semiconductor wafers Microscope, detector, system and method.

積體電路工業需要具有越來越高敏感度之檢查工具來偵測不斷變小之缺陷及其大小可為數十奈米(nm)或更小之粒子。此等檢查工具必須高速操作以在用於生產期間之檢查的一短時段(例如1小時或更少)或用於R&D或故障追查之至多數小時內檢查光罩、主光罩或晶圓之區域之一大部分或甚至100%。為快速地檢查,檢查工具使用大於所關注之缺陷或粒子之尺寸的像素或光點大小,且甚至偵測由一缺陷或粒子引起之信號之一小變化。最常見地,在生產中使用檢查工具(其使用UV光來操作)來執行高速檢查。可使用UV光或使用電子來執行R&D之檢查。 一旦已藉由高速檢查而發現一缺陷或粒子,則通常需要產生一較高解析度影像及/或執行材料分析以判定該粒子或缺陷之起源或類型。此程序通常被稱為審查。通常使用一掃描式電子顯微鏡(SEM)來執行審查。通常需要用於半導體製程中之審查SEM來每天審查成千上萬個潛在缺陷或粒子,因此,可使至多數秒用於每目標審查。用於半導體及相關工業之審查SEM由KLA-Tencor公司製造(例如eDR-7110),由Applied Materials公司製造(例如SEMVision G6),及由其他公司製造。 最常見地,一審查SEM偵測自樣本發射之二次電子以形成一影像。Masnaghetti等人之名稱為「Apparatus and method for e-beam dark-field imaging」之美國專利第7,141,791號中描述用於一審查SEM之一例示性二次電子偵測器。此例示性二次電子偵測器包含用於收集二次電子且將該等二次電子導引至一閃爍器之電子光學器件。使該等電子加速朝向該閃爍器,使得照射該閃爍器之各電子引起多個光子被發射。該等光子之部分由一光導管捕獲且被導引至一或多個光倍增管。此方法之一缺點係:該偵測器係相對較慢的。來自閃爍器之光發射具有數十奈秒之一衰減時間常數。此外,閃爍器具有多個時間常數。初始回應可具有數十奈秒之一時間常數,但光發射將依具有一更長很多之時間常數的一低位準繼續。光倍增管亦具有含多個時間常數之回應。發射光電子之光陰極具有一或多個時間常數。該等電子花費大量時間來自一倍增器電極行進至另一倍增器電極且最終行進至陽極,其產生一額外時間常數。可藉由減少倍增器電極之數目而減少該電子行進時間,但此減小光倍增管之增益且因此並非係一所要權衡,因為其減小SEM之敏感度來改良速率。 經設計用於審查之SEM可包含用於材料識別之電子微探(X射線)分析。為使一SEM具有數奈米或更佳之影像解析度來提供奈米級缺陷及粒子之高品質影像,將被檢查之樣本放置成靠近最終物鏡,使得該樣本浸沒於該透鏡之磁場中,因此使成像像差最小化。將樣本放置成靠近物鏡防止將大型偵測器放置成靠近樣本。特定言之,用於微探或類似分析之X射線偵測器可僅收集一小立體角度中之X射線以使此等系統非常慢。每目標需要數十秒或數十分鐘之資料獲取時間來捕獲足夠X射線以判定目標之材料組成。 一審查SEM之最終物鏡亦限制可放置二次電子偵測器及回散射電子偵測器之位置及可施加至該等偵測器之收集電壓。一電子偵測器與樣本之間的一小電位差(諸如,小於約2 kV)減小一電子偵測器自樣本收集及偵測低能量電子之效率及敏感度。 因此,需要克服上述缺點之部分或全部的一高速高解析度審查SEM。特定言之,需要具有快速識別至少一些常用材料之能力的一高速高解析度自動化SEM。可進一步期望快速識別材料及/或提供改良影像對比度之能力包含於一高速檢查SEM中。The integrated circuit industry needs inspection tools with higher and higher sensitivity to detect increasingly smaller defects and particles whose size can be tens of nanometers (nm) or smaller. These inspection tools must be operated at high speed to inspect the reticle, main reticle or wafer within a short period of time (e.g. 1 hour or less) for inspection during production or for R&D or fault tracing to most hours One of the regions is mostly or even 100%. For quick inspection, inspection tools use pixels or light spot sizes larger than the size of the defect or particle of interest, and even detect a small change in the signal caused by a defect or particle. Most commonly, inspection tools (which operate using UV light) are used in production to perform high-speed inspections. R&D inspection can be performed using UV light or using electronics. Once a defect or particle has been discovered through high-speed inspection, it is usually necessary to generate a higher resolution image and/or perform material analysis to determine the origin or type of the particle or defect. This procedure is often called a review. A scanning electron microscope (SEM) is usually used to perform the review. A review SEM, which is used in semiconductor manufacturing processes, is usually required to review thousands of potential defects or particles every day, so it can be used for every target review for most seconds. The review SEM for the semiconductor and related industries is manufactured by KLA-Tencor (e.g. eDR-7110), manufactured by Applied Materials (e.g. SEMVision G6), and manufactured by other companies. Most commonly, a review SEM detects secondary electrons emitted from the sample to form an image. An exemplary secondary electron detector used in a review SEM is described in US Patent No. 7,141,791, which is named "Apparatus and method for e-beam dark-field imaging" by Masnaghetti et al. This exemplary secondary electron detector includes an electro-optic device for collecting secondary electrons and directing the secondary electrons to a scintillator. The electrons are accelerated toward the scintillator, so that each electron irradiating the scintillator causes multiple photons to be emitted. The part of the photons is captured by a light pipe and guided to one or more photomultiplier tubes. One disadvantage of this method is that the detector is relatively slow. The light emission from the scintillator has a decay time constant of tens of nanoseconds. In addition, the scintillator has multiple time constants. The initial response may have a time constant of tens of nanoseconds, but light emission will continue at a lower level with a much longer time constant. The photomultiplier tube also has a response with multiple time constants. The photocathode that emits photoelectrons has one or more time constants. These electrons take a lot of time to travel from one multiplier electrode to another multiplier electrode and eventually to the anode, which produces an additional time constant. The electron travel time can be reduced by reducing the number of multiplier electrodes, but this reduces the gain of the photomultiplier tube and therefore is not a trade-off because it reduces the sensitivity of the SEM to improve the rate. SEMs designed for review can include electronic micro-probe (X-ray) analysis for material identification. In order for an SEM to have an image resolution of several nanometers or better to provide high-quality images of nano-level defects and particles, the inspected sample is placed close to the final objective lens, so that the sample is immersed in the magnetic field of the lens, so Minimize imaging aberrations. Placing the sample close to the objective prevents the large detector from being placed close to the sample. In particular, X-ray detectors used for microprobing or similar analysis can only collect X-rays in a small solid angle to make these systems very slow. Each target requires tens of seconds or tens of minutes of data acquisition time to capture enough X-rays to determine the target's material composition. A review of the final objective of the SEM also limits the position where the secondary electron detector and backscattered electron detector can be placed and the collection voltage that can be applied to these detectors. A small potential difference (such as less than about 2 kV) between an electron detector and the sample reduces the efficiency and sensitivity of an electron detector to collect and detect low-energy electrons from the sample. Therefore, it is necessary to overcome some or all of the above shortcomings with a high-speed high-resolution review SEM. In particular, a high-speed high-resolution automated SEM with the ability to quickly identify at least some commonly used materials is needed. It may further be expected that the ability to quickly identify materials and/or provide improved image contrast is included in a high-speed inspection SEM.

本發明係針對一種SEM,其利用一或多個固態電子偵測器以藉由將入射電子轉換成完全位於一單一整合半導體結構內之可量測電荷而達成自一樣本發射之回散射或二次電子之高速偵測。明確言之,各固態電子偵測器包含一感測器,其利用一p型電子敏感層以回應於各入射(經偵測)電子而產生多個電子,利用一n型埋入式通道層以將該等產生電子之至少部分轉移至一n+浮動擴散區,且利用由該浮動擴散區上所收集之一電荷(電壓)控制之一放大器以產生一輸出信號,其中該p型電子敏感層、該n型埋入式通道層、該n+浮動擴散區及該放大器包括該單一整合半導體(例如磊晶矽)結構之各自摻雜區域。依此方式將入射電子轉換成完全位於一整合半導體結構內之可量測電荷實質上快於基於光子之習知閃爍器方法,藉此本發明提供一SEM,其實現實質上比可使用基於閃爍器之習知SEM來實現之處理速率高之處理速率(例如100 MHz或更高)。該等固態電子偵測器亦具有較小大小且產生較小偵測器與樣本之電位差,其促進產生包含一回散射電子偵測器之SEM,該回散射電子偵測器安置成靠近依相同於二次電子偵測器之高操作速率操作之電子源(例如,在最終(浸沒)物鏡與樣本之間,或在最終物鏡上方),藉此二次電子信號及回散射電子信號兩者可經組合使用以提供比可自任一信號自身獲得之與樣本之表面構形有關之解析度資訊高之解析度資訊。再者,藉由使用已知半導體處理技術來在一單一半導體結構上製造各電子感測器,該等固態電子偵測器可具有比基於閃爍器之習知感測器低之總成本且需要比其低之操作電壓,藉此促進SEM之生產,相較於基於閃爍器之習知SEM系統,該等SEM具有更便宜之生產成本,且展現實質上更高效率且因此具有更便宜之操作成本。 本發明描述利用上文所提及類型之一或多個固態電子偵測器的例示性檢查及審查SEM。該SEM包含一電子源、一電子光學系統(電子光學器件)、至少一固態電子偵測器及一電腦。該電子源產生被導引朝向一樣本之一原電子束。該電子光學器件包含透鏡及偏轉器,其等經組態以縮小該原電子束,使該原電子束聚焦,且使該原電子束掃描待檢查之該樣本之整個區域。當該原電子束照射該樣本時,該樣本自該原電子束吸收諸多電子,但散射部分電子(回散射電子)。該吸收能量引起二次電子與一些X射線及歐傑(Auger)電子一起自該樣本發射。將一回散射電子(第一)電子偵測器定位成靠近該樣本,藉此將具有與所偵測之回散射電子之數目及能量成比例之電壓位準的類比輸出信號轉換成對應數位值且將該等類比輸出信號傳輸至該電腦作為對應(第一)影像資料信號。該等二次電子由一選用(第二)固態電子偵測器偵測,該(第二)固態電子偵測器之感測器產生與所偵測之二次電子之數目及能量成比例之類比輸出信號,將該等類比輸出信號轉換成對應數位值且將該等類比輸出信號傳輸至該電腦作為對應(第二)影像資料信號。該電腦自該第一固態偵測器及該第二固態偵測器接收該第一影像資料信號及該第二影像資料信號,且接著處理該等所接收之影像資料信號以建構使該原電子束在其上掃描之該樣本之該區域之一影像。 在一較佳實施例中,該二次電子偵測器及該回散射電子偵測器兩者包括固態偵測器。在一較佳實施例中,該回散射電子偵測器在偵測電子之表面(即,面向該樣本或其他電子源之向前表面)上具有一純硼塗層。在另一實施例中,該回散射電子偵測器及該二次電子偵測器兩者包含純硼塗層。 本發明描述檢查或審查一樣本之一例示性方法。該方法包含:產生一主時脈信號;產生與該主時脈同步之一偏轉掃描,該偏轉掃描引起一原電子束掃描一樣本之一區域;及產生與該主時脈同步之一第一像素時脈以收集及數位化回散射電子信號。該方法進一步包含:自各像素中所產生之電荷大致判定各回散射電子之能量。所收集之回散射電子之數目及能量可用於判定是否存在一缺陷或缺陷類型,將一缺陷類型分類,或判定該樣本之該掃描區域中之一位置處之一材料類型或材料類別。 在該方法之較佳實施例中,與該主時脈同步之該第一像素時脈或一第二像素時脈用於收集及數位化二次電子。該等二次電子可用於形成該樣本之該掃描區域之一影像。該影像可由該組合之回散射電子信號及二次電子信號形成。該等二次電子信號可與該等回散射電子信號組合使用以判定是否存在一缺陷或缺陷類型,將一缺陷類型分類,或判定該樣本之該掃描區域中之一位置處之一材料類型或材料類別。該等組合信號亦可提供比可自任一信號自身獲得之與該樣本之表面構形有關之資訊多之資訊。 根據本發明另一實施例,一種電子偵測器包括一陣列之像素及多個類比轉數位轉換器,其中各像素依上文所描述之方式運行以產生一類比輸出信號,且各類比轉數位轉換器經連接以轉換來自唯一相關聯像素之該類比輸出信號以促進高速及高解析度偵測/讀出操作。該像素陣列包含配置成列及行之多個像素(例如16×16、32×32、64×64或更多),藉此促進一大區域上之入射電子之偵測。類似於上文所提及之通用電子感測器,各像素包含一p型電子敏感區域、一n型埋入式通道層、一浮動擴散區及一放大器電路,該放大器電路經組態以產生一類比輸出信號,該類比輸出信號之位準大致對應於一入射電子之能量或進入該像素之電子(即,一回散射或二次電子)之數目。藉由利用多個類比轉數位轉換器(其等各經組態以處理來自一像素之輸出信號),本發明提供一多像素(即,4×4或更大)電子偵測器,其實現實質上比可使用習知偵測器配置來實現之操作速率高之操作速率(例如,各像素之100 MHz或更高取樣速率)。再者,因為來自安置成一矩陣(陣列)之多個像素的輸出信號經同時轉換處理,所以本發明之該多像素電子偵測器促進量測一給定偵測/讀出操作期間所接收之一個以上入射電子之能量,且亦促進藉由該矩陣中之偵測像素之位置而判定入射電子之路徑。 在一實施例中,將該等像素製造於與該等類比轉數位轉換器分離之一半導體結構上,且藉由一對應焊料球而將輸出信號自各像素傳輸至其相關聯之類比轉數位轉換器。在一較佳實施例中,將該等像素製造於一層輕微p摻雜磊晶矽上作為一感測器電路之部分,且將該等類比轉數位轉換器與其他數位電路一起製造於一第二半導體(例如矽)基板上作為一信號處理電路之部分(例如,作為一ASIC (專用積體電路)之部分)。較佳地,用於形成該等像素之該磊晶矽之厚度係在約40 μm至約100 μm之間以使電子自該電子敏感區域漂移至該n型埋入式通道層所花費之時間保持限於小於10 ns,同時提供良好機械強度。根據由矽附接至其之基板提供之機械支撐,可接受薄於40 μm (諸如,在約10 μm至約40 μm之間)之矽。在一實施例中,一純硼塗層安置於該磊晶矽之電子敏感表面上。在一實施例中,除該類比轉數位轉換器陣列之外,該信號處理電路亦包含處理電路,其經組態以(例如)基於自該感測器電路之一相關聯像素接收之數位化輸出信號(影像資料)而計算一入射電子之近似能量。在另一實施例中,該信號處理電路亦包含用於將一影像資料信號傳輸至一外部處理系統(例如一電腦)之高速資料傳輸電路。在製造該感測器電路及該信號處理電路之後,該感測器電路及該信號處理電路依一堆疊配置與連接於各像素與一相關聯類比轉數位轉換器之間的焊料球連接。明確言之,自各像素傳輸之輸出信號連接至安置於該感測器電路之一表面上之一第一墊,且自該第一墊藉由一相關聯焊料球/焊料凸塊而連接至安置於該信號處理電路上之一第二墊,將該輸出信號自該第二墊傳輸至一相關聯類比轉數位轉換器之輸入端子。此連接亦可對該感測器電路提供機械支撐。可藉由共用金屬互連件(信號線)而自一控制電路傳輸由該等像素利用之各種控制及電力信號,該控制電路繼而可藉由焊料凸塊或接線而連接至該信號處理電路或另一基板。 在一較佳實施例中,各該像素之該浮動擴散區位於該像素之中央區域中,且各像素之橫向尺寸經限制以促進在高速資料收集中有足夠時間來將電子自該埋入式通道層轉移至該浮動擴散區。藉由將該浮動擴散區定位於各像素之中央中,任何電子行進穿過該埋入式通道層而至該浮動擴散區所需之路徑等於一像素之最大橫向(例如,對角)尺寸之一半。將各像素之標稱橫向尺寸限制為約250 μm或更小促成高達100 MHz之操作速率。 在一實施例中,一單獨(第三)基板電及/或機械連接至該感測器電路及該信號處理電路之一或多者。該單獨基板可包括矽或一陶瓷材料,且可包含一積體電路,其包括用於處理來自各像素之類比或數位信號及用於提供影像資料至一外部電腦或其他系統之高速資料轉移的電路。由該積體電路執行之處理功能可包含定限、加總、方格化及/或計數來自個別像素之資料。該積體電路較佳地包含用於將數位化資料傳輸至一電腦之一高速(諸如,每秒約10十億位元)串列傳輸器。該積體電路可包含用於自該電腦接收命令之一串列接收器。該串列接收器可依低於該串列傳輸器之一速率操作。 本發明進一步係針對一種新穎電子感測器像素,其中一電阻閘極及一或多個選用額外閘極用於驅動電子朝向位於中央之浮動擴散區。該電阻閘極由安置於大部分像素表面上之一非晶矽或多晶矽結構實施。施加於該電阻閘極之一外周邊與一內周邊之間的一電位差產生驅動埋入式通道中之電子朝向一浮動擴散區(其較佳地位於該像素之中央附近以加速電荷轉移)之一電場。將各種額外閘極製造於該像素之前表面上之該電阻閘極與該浮動擴散區之間以指導及控制電荷自該埋入式通道轉移至該浮動擴散區且允許重設該浮動擴散區。將該像素之放大器製造於該像素之該前表面上之一p井區域中以緩衝該浮動擴散區中所收集之信號。The present invention is directed to an SEM that utilizes one or more solid-state electron detectors to achieve backscatter or two from the same emission by converting incident electrons into measurable charges completely within a single integrated semiconductor structure High-speed detection of secondary electronics. Specifically, each solid-state electron detector includes a sensor that uses a p-type electron sensitive layer to generate multiple electrons in response to each incident (detected) electron, and uses an n-type buried channel layer To transfer at least part of the generated electrons to an n+ floating diffusion region, and use an electric charge (voltage) collected on the floating diffusion region to control an amplifier to generate an output signal, wherein the p-type electron sensitive layer , The n-type buried channel layer, the n+ floating diffusion region and the amplifier include respective doped regions of the single integrated semiconductor (e.g. epitaxial silicon) structure. In this way, the incident electrons are converted into measurable charges that are completely within an integrated semiconductor structure, which is substantially faster than the conventional photon-based scintillator method, whereby the present invention provides an SEM that is substantially more efficient than scintillation-based The conventional processing speed of the SEM is to achieve a high processing speed (for example, 100 MHz or higher). These solid-state electron detectors also have a smaller size and produce a smaller potential difference between the detector and the sample, which facilitates the generation of an SEM including a backscattered electron detector that is placed close to the same An electron source operating at the high operating rate of the secondary electron detector (eg, between the final (immersion) objective and the sample, or above the final objective), whereby both the secondary electron signal and the backscattered electron signal can be Used in combination to provide higher resolution information than can be obtained from any signal itself with respect to the surface configuration of the sample. Furthermore, by using known semiconductor processing techniques to fabricate electronic sensors on a single semiconductor structure, these solid-state electronic detectors can have a lower total cost and need than conventional sensors based on scintillators A lower operating voltage than this, thereby facilitating the production of SEMs, which have cheaper production costs and exhibit substantially higher efficiency and therefore cheaper operation than conventional scintillator-based SEM systems cost. The present invention describes an exemplary inspection and review SEM using one or more solid-state electronic detectors of the type mentioned above. The SEM includes an electron source, an electron optical system (electro-optical device), at least a solid-state electronic detector, and a computer. The electron source generates an original electron beam directed toward one of the samples. The electron optical device includes a lens and a deflector, which are configured to reduce the original electron beam, focus the original electron beam, and cause the original electron beam to scan the entire area of the sample to be inspected. When the original electron beam irradiates the sample, the sample absorbs many electrons from the original electron beam, but scatters some electrons (backscattered electrons). This absorbed energy causes secondary electrons to be emitted from the sample along with some X-rays and Auger electrons. Position a backscattered electron (first) electron detector close to the sample, thereby converting an analog output signal having a voltage level proportional to the number and energy of the detected backscattered electrons to a corresponding digital value And the analog output signals are transmitted to the computer as corresponding (first) image data signals. The secondary electrons are detected by an optional (second) solid-state electron detector, the sensor of the (second) solid-state electron detector is proportional to the number and energy of the detected secondary electrons Analog output signals, convert the analog output signals into corresponding digital values and transmit the analog output signals to the computer as corresponding (second) image data signals. The computer receives the first image data signal and the second image data signal from the first solid-state detector and the second solid-state detector, and then processes the received image data signals to construct the original electronic An image of the area of the sample on which the beam is scanned. In a preferred embodiment, both the secondary electron detector and the backscattered electron detector include solid-state detectors. In a preferred embodiment, the backscattered electron detector has a pure boron coating on the surface that detects electrons (ie, the forward surface facing the sample or other electron source). In another embodiment, both the backscattered electron detector and the secondary electron detector include a pure boron coating. The present invention describes one exemplary method of checking or reviewing a sample. The method includes: generating a main clock signal; generating a deflection scan synchronized with the main clock, the deflection scan causing an original electron beam to scan a region of the sample; and generating a first synchronized with the main clock The pixel clock is used to collect and digitize backscattered electronic signals. The method further includes: roughly determining the energy of each backscattered electron from the charge generated in each pixel. The number and energy of the backscattered electrons collected can be used to determine whether a defect or defect type exists, classify a defect type, or determine a material type or material type at a location in the scanning area of the sample. In a preferred embodiment of the method, the first pixel clock or a second pixel clock synchronized with the main clock is used to collect and digitize secondary electrons. The secondary electrons can be used to form an image of the scanning area of the sample. The image can be formed by the combined backscattered electronic signal and secondary electronic signal. The secondary electron signals can be used in combination with the backscattered electron signals to determine whether a defect or defect type exists, classify a defect type, or determine a material type at a location in the scanning area of the sample or Material category. These combined signals may also provide more information than can be obtained from any signal itself regarding the surface configuration of the sample. According to another embodiment of the invention, an electronic detector includes an array of pixels and a plurality of analog-to-digital converters, wherein each pixel operates in the manner described above to generate an analog output signal, and various types of analog-to-digital converters The digital converter is connected to convert the analog output signal from the only associated pixel to facilitate high-speed and high-resolution detection/readout operations. The pixel array includes a plurality of pixels arranged in columns and rows (for example, 16×16, 32×32, 64×64 or more), thereby facilitating the detection of incident electrons on a large area. Similar to the general electronic sensor mentioned above, each pixel includes a p-type electron sensitive area, an n-type buried channel layer, a floating diffusion area, and an amplifier circuit, which is configured to generate An analog output signal whose level roughly corresponds to the energy of an incident electron or the number of electrons that enter the pixel (ie, a backscatter or secondary electron). By using multiple analog-to-digital converters (which are each configured to process the output signal from one pixel), the present invention provides a multi-pixel (ie, 4×4 or larger) electronic detector, which implements Operation rates that are substantially higher than those that can be achieved using conventional detector configurations (eg, 100 MHz or higher sampling rate for each pixel). Furthermore, because the output signals from multiple pixels arranged in a matrix (array) are simultaneously converted, the multi-pixel electronic detector of the present invention facilitates the measurement of the received signal during a given detection/readout operation The energy of more than one incident electron, and also facilitates the determination of the path of incident electrons by the position of the detection pixels in the matrix. In one embodiment, the pixels are fabricated on a semiconductor structure separate from the analog-to-digital converters, and the output signal is transmitted from each pixel to its associated analog-to-digital conversion by a corresponding solder ball Device. In a preferred embodiment, the pixels are fabricated on a layer of slightly p-doped epitaxial silicon as part of a sensor circuit, and the analog-to-digital converter and other digital circuits are fabricated together in a first Two semiconductor (for example, silicon) substrates are used as part of a signal processing circuit (for example, as part of an ASIC (dedicated integrated circuit)). Preferably, the thickness of the epitaxial silicon used to form the pixels is between about 40 μm and about 100 μm to allow electrons to drift from the electron sensitive region to the n-type buried channel layer Retention is limited to less than 10 ns while providing good mechanical strength. Depending on the mechanical support provided by the substrate to which silicon is attached, silicon thinner than 40 μm (such as between about 10 μm and about 40 μm) can be accepted. In one embodiment, a pure boron coating is disposed on the electron sensitive surface of the epitaxial silicon. In one embodiment, in addition to the analog-to-digital converter array, the signal processing circuit also includes a processing circuit that is configured, for example, based on digitization received from an associated pixel of one of the sensor circuits The output signal (image data) is used to calculate the approximate energy of an incident electron. In another embodiment, the signal processing circuit also includes a high-speed data transmission circuit for transmitting an image data signal to an external processing system (such as a computer). After manufacturing the sensor circuit and the signal processing circuit, the sensor circuit and the signal processing circuit are connected in a stacked configuration to solder balls connected between each pixel and an associated analog-to-digital converter. In particular, the output signal transmitted from each pixel is connected to a first pad disposed on a surface of the sensor circuit, and from the first pad is connected to the placement by an associated solder ball/solder bump A second pad on the signal processing circuit transmits the output signal from the second pad to the input terminal of an associated analog-to-digital converter. This connection can also provide mechanical support for the sensor circuit. Various control and power signals utilized by the pixels can be transmitted from a control circuit by sharing metal interconnections (signal lines). The control circuit can then be connected to the signal processing circuit by solder bumps or wiring or Another substrate. In a preferred embodiment, the floating diffusion area of each pixel is located in the central area of the pixel, and the lateral size of each pixel is limited to promote sufficient time to collect electrons from the buried type in high-speed data collection The channel layer is transferred to the floating diffusion. By positioning the floating diffusion in the center of each pixel, the path required for any electron to travel through the buried channel layer to the floating diffusion is equal to the maximum lateral (eg, diagonal) size of a pixel half. Limiting the nominal lateral size of each pixel to about 250 μm or less results in an operating rate of up to 100 MHz. In one embodiment, a separate (third) substrate is electrically and/or mechanically connected to one or more of the sensor circuit and the signal processing circuit. The separate substrate may include silicon or a ceramic material, and may include an integrated circuit including high-speed data transfer for processing analog or digital signals from each pixel and for providing image data to an external computer or other system Circuit. The processing functions performed by the integrated circuit may include limiting, summing, gridding, and/or counting data from individual pixels. The integrated circuit preferably includes a high-speed (such as approximately 10 billion bits per second) serial transmitter for transmitting digitized data to a computer. The integrated circuit may include a serial receiver for receiving commands from the computer. The serial receiver can operate at a lower rate than the serial transmitter. The present invention is further directed to a novel electronic sensor pixel, in which a resistive gate and one or more additional gates are used to drive electrons toward the floating diffusion located in the center. The resistive gate is implemented by an amorphous silicon or polysilicon structure disposed on the surface of most pixels. A potential difference applied between an outer periphery and an inner periphery of the resistor gate generates electrons driving the buried channel toward a floating diffusion region (which is preferably located near the center of the pixel to accelerate charge transfer) An electric field. Various additional gates are fabricated between the resistive gate on the front surface of the pixel and the floating diffusion region to guide and control the transfer of charge from the buried channel to the floating diffusion region and allow the floating diffusion region to be reset. The amplifier of the pixel is fabricated in a p-well region on the front surface of the pixel to buffer the signal collected in the floating diffusion region.

[ 相關申請案 ] 本申請案主張2014年8月29日申請且以引用的方式併入本文中之名稱為「Scanning Electron Microscope And Methods Of Inspecting」之美國臨時專利申請案62/043,410之優先權。 本發明係關於用於半導體檢查及審查系統之感測器之一改良方案。下列描述經呈現以使一般技術者能夠製造及使用本發明,如一特定應用及其要求之內文中所提供。如本文中所使用,方向術語(諸如,「頂部」、「底部」、「在…上方」、「在…下方」、「上」、「向上」、「下」、「在…下面」及「向下」)意欲提供相對位置(為了描述),且不意欲指定一絕對參考系。另外,片語「整合半導體結構」在本文中用於描述完全在一單一製程(例如丘克拉斯基(Czochralski)晶體生長、濺鍍沈積、電漿氣相沈積或化學氣相沈積)期間形成之一連續半導體材料(例如矽)基板,其區別於已藉由黏著劑、焊料或其他互連件而連接之兩個單獨半導體結構(例如來自相同矽晶圓之兩個「晶片」)。熟悉技術者將明白較佳實施例之各種修改方案,且可將本文中所界定之一般原理應用於其他實施例。因此,本發明不意欲受限於所展示及所描述之特定實施例,而是應被給予與本文中所揭示之原理及新穎特徵一致之最廣範疇。 圖1繪示一例示性掃描式電子顯微鏡(SEM) 100,亦指稱一檢查或審查系統,其經組態以檢查或審查一樣本131,諸如一半導體晶圓、一主光罩或一光罩。SEM 100大體上包括:一電子槍(源) 140;電子光學器件,其包含一上行141及一下行142;一平台130,其用於支撐及定位一樣本131;及一系統電腦160。 在一實施例中,電子槍140包括:一陰極101,諸如一熱場發射或肖特基(Schottky)陰極、一單晶鎢陰極或一LaB6 陰極;及引出及聚焦電極102。電子槍140可進一步包括一磁性透鏡(圖中未展示)。電子槍140產生具有一所要電子束能量及電子束電流之一原電子束150。 電子光學器件之上行141包含一或多個聚光透鏡107,其等縮小原電子束以在樣本131上產生一小光點。一般而言,為產生用於樣本審查之高解析度影像,光點大小較佳為約1奈米或數奈米。一樣本之檢查可使用較大光點大小以更快地掃描樣本131。當光點大小係約100 nm或更大時,一單一聚光透鏡107可足夠用,但數十奈米或更小之光點大小通常需要兩個或兩個以上聚光透鏡。聚光透鏡107可包括一磁性透鏡、一靜電透鏡或兩者。上行141亦可包含一或多個偏轉器105,其等使原電子束掃描樣本131之整個區域。可將偏轉器105放置於聚光透鏡107之兩側上(如圖中所展示),或將偏轉器105放置於聚光透鏡107內(圖中未展示),或將偏轉器105放置於聚光透鏡107之後。偏轉器105可包括靜電偏轉器或磁性偏轉器及靜電偏轉器之一組合。在一實施例中,上行141中可不存在偏轉器。所有偏轉器可代以包含於下行142中。 下行142包含用於將原電子束聚焦至樣本131上之一小光點的一最終(浸沒)透鏡110。最終透鏡110可包括一磁性透鏡(如圖中所展示)或一磁性透鏡及一靜電透鏡之一組合(圖中未展示)。為在樣本131處達成一小光點大小,將最終透鏡110放置成靠近樣本131,使得樣本浸沒於透鏡之磁場中。此可減小樣本131上之電子光點之像差。下行142亦包含偏轉器109,其與偏轉器105 (若存在)一起工作以使原電子束掃描樣本131之整個區域。 將樣本131放置於一平台130上以促進在電子柱下方移動樣本131之不同區域。平台130可包括一X-Y平台或一R-θ平台,且在一實施例中,經組態以支撐及定位通常由積體電路工業審查之諸多樣本類型(例如一未經圖案化半導體晶圓、一經圖案化半導體晶圓、一主光罩或一光罩)。在較佳實施例中,平台130可在檢查期間調整樣本131之高度以維持聚焦。在其他實施例中,最終透鏡110可經調整以維持聚焦。在一些實施例中,一焦點或高度感測器(圖中未展示)可安裝於最終透鏡110上或最終透鏡110接近處以提供一信號來調整樣本131之高度或調整最終透鏡110之焦點。在一實施例中,該焦點感測器或高度感測器可為一光學感測器。 當由電子光學器件使原電子束150掃描樣本131之整個區域時,自該區域發射二次電子及回散射電子。二次電子可由電極120收集及加速且被導引至二次電子偵測器121。Masnaghetti等人之名稱為「Apparatus and method for e-beam dark-field imaging」之美國專利第7,141,791號中描述用於收集、加速及/或聚焦二次電子之電子光學器件。此專利以引用的方式併入本文中。如'791專利中所描述,用於二次電子偵測器之電子光學器件可包含用於至少部分抵消偏轉器109對二次電子之軌跡之效應的解掃描光學器件。在本發明之一些實施例中,無需且可省略解掃描電子光學器件,因為可由包含於二次電子偵測器內之一ASIC (如本文中所描述)大致達成解掃描。二次電子偵測器121較佳地係一固態電子偵測器(諸如本文中所描述之固態電子偵測器之一者),且經組態以根據所偵測之二次電子而產生一影像資料信號ID2,其中將影像資料信號ID2轉移至電腦160且利用影像資料信號ID2來產生相關聯掃描樣本區域之一影像,藉此促進一缺陷D之視覺檢查。Lent等人之名稱為「Apparatus and method for e-beam dark imaging with perspective control」之美國專利第7,838,833號及James等人之名稱為「Apparatus and method for obtaining topographical dark-field images in a scanning electron microscope」之美國專利第7,714,287號中描述可與本文中所描述之系統及方法組合使用之用於偵測及分析二次電子之其他電子光學器件及偵測器組態及方法。此兩個專利以引用的方式併入本文中。 回散射電子可由一回散射電子偵測器(諸如122a及122b處所展示之回散射電子偵測器)偵測,該回散射電子偵測器由本文中所描述之固態電子偵測器之一者實施且經組態以根據所偵測之回散射電子而產生一影像資料信號ID1,其中將資料信號ID1轉移至電腦160且亦利用資料信號ID1來產生相關聯掃描樣本區域之影像。較佳地,將該回散射電子偵測器放置成儘可能靠近樣本131,諸如,放置於位置122a處(即,在最終透鏡110與樣本131之間)。然而,樣本131與最終透鏡110之間的間隙可較小(諸如約2 mm或更小),且(例如)一焦點或高度感測器需要空隙,因此,實際上無法將該回散射電子偵測器放置於位置122a處。替代地,可將該回散射電子偵測器放置於諸如122b之位置處,在相對於樣本131之最終透鏡110之磁極片之另一側上。應注意,該回散射電子偵測器絕不能阻斷原電子束150。該回散射電子偵測器可在中間具有一孔或可包括多個偵測器(諸如兩個、三個或四個單獨偵測器),該等偵測器安置於原電子束150之路徑周圍以便不阻斷該路徑,同時有效率地捕獲回散射電子。 原電子束150在樣本131上之著陸能量取決於陰極101與樣本131之間的電位差。在一實施例中,可使平台130及樣本131保持接近接地電位,且藉由改變陰極101之電位而調整著陸能量。在另一實施例中,可藉由改變平台130及樣本131相對於接地之電位而調整樣本131上之著陸能量。在任一實施例中,最終透鏡110及回散射電子偵測器122a及/或122b必須全部具有彼此接近且接近樣本131及平台130之電位的電位(諸如,比樣本131及平台130小之約1000 V)以避免對樣本131之電弧效應。由於此小電位差,來自樣本131之回散射電子將在自樣本行進至回散射電子偵測器122a及/或122b時僅被小量加速或完全不被加速。由於對於一些半導體樣本而言,樣本131上之著陸能量可相當低(諸如,在約500 eV至約2 keV之間)以避免損壞該等樣本,所以當回散射電子著陸於回散射電子偵測器122a及/或122b上時,該等回散射電子之能量將相當低。因此,對於SEM之敏感度而言,重要的是:回散射電子偵測器122a及122b自一單一低能量回散射電子(諸如具有約2 keV或更小之一能量的一電子)產生諸多電子-電洞對。習知矽偵測器不可避免地在矽之表面上具有一薄氧化物(諸如一原生氧化物)塗層(其阻止具有低於約2 keV之能量的大多數電子達到矽),或替代地,在表面上具有一薄金屬(諸如Al)塗層(其散射及吸收相當大部分之入射低能量電子)。在一較佳實施例中,本文中所描述之固態電子偵測器在其表面上具有一無針孔純硼塗層。一無針孔純硼塗層防止矽之氧化且允許低能量電子(其包含具有小於1 keV之能量的電子)之有效率偵測。名稱為「Back-illuminated Sensor With Boron Layer」且由Chern等人於2013年3月10日申請之美國公開專利申請案2013/0264481中描述用於製造具有無針孔純硼塗層之矽偵測器的方法及此等偵測器之設計。此專利申請案以引用的方式併入本文中。 位於圖1之左下部分中之泡狀物繪示一簡化固態感測器123,其由電子偵測器121、122a及122b之一或多者用於將入射回散射或二次電子eINCIDENT 轉換成完全位於一單一整合半導體(例如磊晶矽)結構124內之可量測電荷。感測器123包含:一p型電子敏感層127,其經組態以回應於透過一前側表面127-F進入之各入射電子eINCIDENT 而產生多個電子e127 ;一n型埋入式通道層125,其經組態以將電子e125 (其表示所產生電子e125 之至少部分)轉移至一n+浮動擴散區FD;一放大器129,其根據浮動擴散區FD上所收集之一電荷(電壓) VFD 而產生一輸出信號OS。埋入式通道層125安置於電子敏感層127之一頂面127-B上以促進由電子敏感層127產生之電子e127 之有效率收集,且浮動擴散區FD安置於埋入式通道層125中以促進接收電子e125 ,藉此使量測電荷(電壓) VFD 與由浮動擴散區FD捕獲之電子eFD 之數目成比例。根據本發明之一態樣,p型電子敏感層127、n型埋入式通道層125、n+浮動擴散區FD及放大器129藉由擴散摻雜劑而共同製造於整合半導體結構124上,藉此整個入射電子至讀出轉換完全發生於半導體結構124內。一選用純硼層128形成於電子敏感層127之底面127-F上,使得入射電子eINCIDENT 在進入電子敏感層127之前穿過純硼層128。如下文所另外詳細討論,除感測器123之外,各固態電子偵測器亦包含至少一類比轉數位轉換器126,其將輸出信號OS轉換成作為數位影像資料信號IDx (即,回散射電子偵測器122a或122b之情況中之信號ID1、或二次電子偵測器121之情況中之信號ID2)傳輸至電腦160之一數位形式。 為簡潔起見,上文以一簡化形式描述SEM 100之各種電路及系統,且應瞭解,此等電路及系統包含額外特徵且執行額外功能。例如,雖然上文將SEM 100之回散射電子偵測器122a/122b及二次電子偵測器121簡潔地描述為包含簡化感測器123以引入本發明之某些關鍵特徵,但應瞭解,較佳地使用下文所描述之多像素電子偵測器來實施回散射電子偵測器122a/122b及二次電子偵測器121。再者,除產生掃描樣本區域之影像之外,電腦160可經組態以執行額外功能,諸如,使用下文所描述之方法基於由影像資料信號指示之入射電子能量值而判定存在一缺陷及/或該缺陷之類型。 圖2繪示檢查或審查一樣本(諸如一半導體晶圓、一主光罩或一光罩)之一例示性方法200。可對待檢查或審查之樣本上之各區域重複圖2中所繪示之方法。在一審查SEM中,待審查之區域已預先藉由一光學或SEM檢查而識別為可能含有一缺陷或粒子。 對於待檢查或審查之樣本上之各區域,例示性方法200開始於步驟201。在步驟202中,產生用於控制原電子束之掃描及影像資料之獲取之時序的一主時脈信號。 在步驟204中,產生一電子束偏轉掃描圖案。此電子束偏轉掃描圖案產生轉至電子束偏轉器(諸如圖1中之105及109處所展示之偏轉器)之電壓及/或電流。該圖案可為覆蓋樣本之區域的一光柵掃描、一蛇形圖案、一方形螺旋或其他圖案。一掃描圖案亦可含有(例如)延遲及虛設掃描,其中未收集資料來控制樣本表面之充電。 在步驟206中,產生一第一像素時脈信號。該第一像素時脈信號與主時脈信號同步。該第一像素時脈信號可具有相同於主時脈信號之頻率、為主時脈信號之倍數的一頻率、為主時脈信號之分數的一頻率(即,主時脈信號頻率除以一整數)、或為主時脈信號頻率之有理倍數的一頻率。 在步驟208中,在第一像素時脈信號之各週期上,讀出及數位化回散射電子偵測器中所收集之信號。 在步驟210中,產生與主時脈信號同步之一第二像素時脈信號。該第二像素時脈信號可具有相同於主時脈信號之頻率、為主時脈信號之倍數的一頻率、為主時脈信號之分數的一頻率(即,主時脈信號頻率除以一整數)、或為主時脈信號頻率之有理倍數的一頻率。該第二像素時脈信號可具有相同於第一像素時脈信號之頻率。在一實施例中,第一像素時脈信號用於第一像素時脈信號及第二像素時脈信號兩者,且不產生單獨第二像素時脈信號。 在步驟212中,在第二像素時脈信號(或第一像素時脈信號(若未使用第二像素時脈信號))之各週期上,讀出及數位化二次電子偵測器中所收集之信號。 在步驟214中,使用數位化之回散射及二次電子信號來判定掃描區域中存在一或多個缺陷。一缺陷可包括存在不應在該處之材料(諸如一粒子)、缺乏本應在該處之材料(諸如,可能發生一過蝕刻條件)、或一畸形圖案。 在一選用步驟216中,可根據步驟214中所發現之各缺陷而判定缺陷類型或缺陷之材料類型。例如,高原子序數元素一般散射比低原子序數元素大之入射電子之一分率。回散射電子信號可用於推斷是否存在一高原子序數元素(諸如一金屬)。在步驟216中,當審查預先已檢查之一區域時,先前檢查資料(光學及/或電子束)可與數位化之回散射及二次電子信號組合使用以更佳地判定缺陷或材料類型。在一實施例中,可將步驟214及216組合成同時判定一缺陷之存在及類型的一單一步驟。 可對待審查或檢查之樣本上之各區域自開頭重複方法200。 圖3a繪示用於一審查SEM或其他SEM系統(諸如圖1中所展示之SEM 100)中之一例示性簡化多像素電子偵測器300。電子偵測器300大體上包含一感測器電路310及一信號處理電路320。在圖3a所繪示之較佳實施例中,由於將在下文中明白之原因,將感測器電路310製造於一矽結構(晶片) 311上,且將信號處理電路320製造於一單獨矽結構(晶片) 321上。在一替代實施例(圖中未展示)中,將感測器電路及信號處理電路兩者製造於相同矽晶片上。 參考圖3a之下部分,感測器310包含安置成一四列×四行(4×4)陣列之16個像素315-11至315-44。為描述目的,在圖3a中使像素之「列」在任意指定之X軸方向上對準,藉此像素315-11至315-14形成一第一列,像素315-21至315-24形成一第二列,像素315-31至315-34形成一第三列,且像素315-41至315-44形成一第四列。類似地,使像素之「行」在圖3a中所展示之Y軸方向上對準,藉此像素315-11至315-41形成一第一行,像素315-12至315-42形成一第二行,像素315-13至315-43形成一第三行,且像素315-14至315-44形成一第四行。在實際應用中,預期感測器電路包含16×16、32×32、64×64或更多像素之陣列,其中此等較大陣列之像素包含類似於下文所描述之簡化4×4陣列之特徵的特徵。再者,陣列之各列/行中之像素之數目無需為2之冪,各列中之像素之數目亦無需等於各行中之像素之數目。在一實施例中(例如,在圖1中所展示之回散射電子偵測器122a或122b之情況下),感測器310在感測器之中間包含一孔(圖中未展示)以允許原電子束穿過感測器。雖然將像素315-11至315-44描繪為具有方形形狀,但像素亦可呈矩形或六邊形。 根據本發明之一態樣,感測器電路310之各像素包含類似於上文參考圖1所描述之電子敏感結構、埋入式通道結構、浮動擴散區結構及放大器電路結構的電子敏感結構、埋入式通道結構、浮動擴散區結構及放大器電路結構。例如,參考圖3a中之像素315-41,各像素大體上包含一p型電子敏感區域312A、一n型埋入式通道層316、一浮動擴散區FD及一放大器317。p型電子敏感區域312A由位於像素315-41下方之磊晶層312之部分形成,且依上文參考圖1所描述之方式運行以回應於入射電子而產生多個電子。埋入式通道層316由擴散至電子敏感區域312A上方之磊晶層312中之一n型摻雜劑形成,且用於將由電子敏感區域312A產生之電子傳輸至浮動擴散區FD。浮動擴散區FD (為描述目的,使用一示意性電容器信號來繪示浮動擴散區FD)由擴散至埋入式通道層316中之一n+摻雜劑形成,且用於收集由電子敏感區域312A產生之該多個電子之至少部分,藉此依上文參考圖1所描述之方式產生一對應電荷(電壓)。放大器317包含電晶體M1、M2及M3,且用於產生相關聯之輸出信號OS41,輸出信號OS41之電壓位準由在任何給定讀出操作中於浮動擴散區FD上所收集之電子之一數目判定。各像素亦包含一重設電晶體RT,其用於在各讀出操作之後重設像素浮動擴散區FD之電壓位準。 圖3a中依一剖視方式描繪感測器電路310以繪示一較佳實施例,其中將像素315-11至315-44製造於包含磊晶層312及硼層313之一薄膜結構上。在一實施例中,基板311係一p+ (即,高度p摻雜)基板,且磊晶層312係一p-磊晶層(即,具有一低濃度之p摻雜劑的一層)。較佳地,磊晶層312之一厚度T係在約40 μm至約100 μm之間以使電子自電子敏感區域漂移至埋入式通道層所花費之時間保持受限於小於約10 ns,同時提供良好機械強度。根據由基板311提供之機械支撐,可使磊晶層312薄於40 μm,諸如,在約10 μm至約40 μm之間。在形成磊晶層312之後,使一或多個額外層(圖中未展示)形成於磊晶層312上(例如閘極氧化層、氮化矽閘極層及一或多個介電層),且使一或多個摻雜區域形成於磊晶層312中(例如n型埋入式通道部分316、n+浮動擴散區FD、與一重設電晶體RT相關聯之通道區域、及一放大器317、以及與形成控制電路318 (其安置於像素陣列之周邊區域中)之前側電路元件(圖中未展示)相關聯之摻雜區域)。形成各種像素電晶體及前側電路元件包含植入或摻雜磊晶層之前側之部分,且可涉及圖案化閘極層。接著,安置於像素315-11至315-44下方之基板311之部分經移除(經薄化)以暴露電子敏感(前側)表面312-ES,且接著使硼層313形成於電子敏感表面312-ES上。例如,名稱為「Back-illuminated Sensor With Boron Layer」且由Chern等人於2013年3月10日申請之共同擁有且共同待審之美國公開專利申請案2013-0264481中提供與圖3a中所描繪之薄膜結構之形成相關之額外細節,該案之全文以引用的方式併入本文中。 圖3b係展示圖3a之一例示性像素315-41之額外詳細的一簡圖。明確言之,放大器317包含一第一NMOS電晶體M1,其具有:一汲極端子,其連接至一電壓源VOD;一閘極端子,其連接至浮動擴散區FD且由儲存於浮動擴散區FD上之電荷控制;及一源極端子,其連接至一第二NMOS電晶體M2之汲極端子及一第三NMOS電晶體M3之閘極端子。電晶體M2之閘極端子及源極端子連接至接地,且電晶體M3之汲極端子連接至電壓源VOD,藉此放大器317之輸出端子由電晶體M3之源極端子形成。像素315-41亦包含一NMOS重設電晶體RT,其具有:一源極端子,其連接至浮動擴散區FD;一閘極端子,其由一重設控制信號RG控制;及一汲極端子,其連接至一重設電壓RD。在像素315-41之操作期間,各偵測/讀出循環開始於藉由觸發重設電晶體RT而將浮動擴散區FD重設至電壓RD,接著等待一預定偵測週期,接著對輸出信號OS41進行取樣。若0個入射(即,回散射或二次)電子在偵測週期期間進入像素315-41之電子敏感區域,則浮動擴散區FD及輸出信號OS41上之電壓位準在讀出時與重設值無顯著變化。若一或多個入射(即,回散射或二次)電子在偵測週期期間進入像素315-41之電子敏感區域,則浮動擴散區FD上之電壓位準改變達(變得更負)與入射電子之數目及能量成比例之量(其由累積於浮動擴散區FD中之電子之數目指示),藉此輸出信號OS41在讀出時之電壓位準提供該偵測/讀出循環期間所偵測之入射電子之近似能量位準(或能量之總和(若多個電子在該偵測/讀出循環期間入射))。當依一100 MHz操作速率操作時,每秒對各像素執行100百萬次偵測/讀出循環。 根據圖3b中所描繪之本發明之一較佳實施例,各像素之浮動擴散區位於其像素之一中央區域中,且各像素之標稱橫向大小尺寸係約250 μm或更小以促進在各偵測/讀出循環期間將電子轉移至浮動擴散區。暫時參考圖3a,在與矽結構311共面之X-Y平面中量測橫向大小尺寸,且橫向大小尺寸表示由各像素佔據之區域。參考圖3b,浮動擴散區FD位於由像素315-41佔據之區域之一中央區域C (圖4a)中,其中像素315-41之寬度由寬度尺寸X1指示,且像素315-41之長度由尺寸Y1指示。根據目前較佳實施例,尺寸X1及Y1兩者係約250 μm或更小以促進高速讀出操作。因為矽中之電子之漂移速度,所以當期望依約100 MHz或更高之一資料速率讀出像素315-41時,各像素之橫向尺寸較佳地不超過約250 μm,使得可在約10 ns或更小內將電子驅動至位於中央之浮動擴散區FD。對於較低速率操作,可接受大於250 μm之像素。對於依顯著高於100 MHz之速率之操作,小於250 μm之像素尺寸係較佳的。 參考圖3a之上部分,根據已知技術,將類比轉數位轉換器325-11至325-44與選用之信號處理電路328-1及選用之信號傳輸電路328-2一起製造於半導體基板321上。在一實施例中,為促進像素315-11至315-44與下文所討論之類比轉數位轉換器325-11至325-44之間的一對一信號連接,將類比轉數位轉換器325-11至325-44配置成大體上與由像素315-11至315-44形成之陣列圖案(矩陣)成鏡像之一圖案。由導體329將由類比轉數位轉換器325-11至325-44產生之數位值傳輸至處理電路328-1,處理電路328-1經組態以(例如)基於自感測器電路之一相關聯像素接收之數位化輸出信號(影像資料)而計算一入射電子之近似能量。例如,利用選用之高速資料傳輸電路328-2來將影像資料信號ID傳輸至一外部處理系統(例如一電腦)。 在一實施例中,除類比轉數位轉換器325-xx之陣列之外,信號處理電路320包含處理電路328-1,其經組態以(例如)基於自感測器電路之一相關聯像素接收之數位化輸出信號(影像資料)而計算一入射電子之近似能量。在另一實施例中,信號處理電路320亦包含高速資料傳輸電路328-2,其用於將一影像資料信號ID傳輸至一外部處理系統(例如一電腦)。 再次參考圖3a之下部分,由一相關聯傳導路徑(由虛線指示)將分別由像素315-11至315-44產生之各輸出信號OS11至OS44傳輸至安置於信號處理電路320上之一相關聯類比轉數位轉換器325-11至325-44。例如,像素315-11藉由一專用傳導路徑而將輸出信號OS11傳輸至類比轉數位轉換器325-11,像素315-12將輸出信號OS12直接傳輸至類比轉數位轉換器325-12,等等。在下文參考圖3c所描述之較佳實施例中,輸出信號OS11至OS44可由金屬墊、焊料球/焊料凸塊或類似結構(其等提供各像素與其相關聯類比轉數位轉換器之間的個別信號路徑)傳輸。 如本文中所解釋,各像素具有多個信號或電連接,諸如閘極、控制信號、電力供應器及接地。對於實際且具成本效益之總成而言,互連密度過以致無法將此等信號之各者個別地連接至各像素。較佳地,此等信號之大多數或全部一起連接於鄰近像素之間且被帶至一方便位置,諸如其中可形成一外部電連接之感測器之邊緣附近。例如,如圖3a中所指示,由金屬導體(信號線) 319將信號RD、RG及VOD自一控制電路區域318傳輸至各列中之像素。在一實際裝置中,可存在一起連接於像素之間的三個以上信號,但此處展示三個信號來繪示原理。可使用接線、焊料球或焊料凸塊(如下文參考圖3c所描述)或其他技術來形成至信號(諸如RD、RG及VOD)之外部連接。如圖3a中所展示,可在一方向(諸如所展示之水平方向)上主要地或排他地形成信號之間的連接以簡化互連且允許僅使用一單一金屬層。可使用(例如)感測器之作用區域外之一足夠大區域或使用兩個或兩個以上金屬層來在兩個維度上容易地形成互連(若可調整額外成本)。 與感測器電路310之共用信號線相比,如圖3a之上部分處所指示,信號處理電路320之各類比轉數位轉換器325-11至325-44藉由一個別導體(信號線) 329而耦合至處理電路328-1以使資料轉移及處理最大化。 圖3c繪示包括電子感測器310A、一ASIC (信號處理電路) 320A及一基板301之例示性電子偵測器300A。基板301對電子偵測器300A提供機械支撐且允許至電子偵測器300A之外部電連接(圖中未展示)。基板301可包括矽或一陶瓷材料。將電子感測器310A及ASIC 320A製造於單獨矽基板(晶粒或晶片)上,接著,使該等單獨矽基板堆疊於彼此之頂部上,如圖中所展示。替代地,可將電子感測器310A及ASIC 320A放置於基板301之相對側上或並排放置於基板301上(圖中未展示)。電子感測器310A較佳地係類似於圖3a及圖3b中所繪示之多像素電子感測器的一多像素電子感測器,且甚至更佳地包含諸如下文(例如)參考圖4a及圖4b所描述之像素的像素。在操作期間,電子偵測器300A經定位使得電子敏感表面312-ES面向一樣本或其他電子源,藉此所偵測之電子入射於電子敏感表面312-ES上且如本文中所描述般被偵測。 電子感測器310A藉由焊料球或焊料凸塊306而電連接至ASIC 320A。在一較佳實施例中,由一相關聯焊料球/焊料凸塊306將由電子感測器310A之各像素315產生之輸出信號傳輸至ASIC 320A之一相關聯類比轉數位轉換器325。例如,由一相關聯導體將由像素315-11產生之輸出信號OS11傳輸至安置於感測器310A之下表面上之一第一墊309,且由相關聯焊料球/焊料凸塊306-11將輸出信號OS11自第一墊309傳輸至安置於ASIC 320A上之一第二墊,將輸出信號OS11自該第二墊傳輸至相關聯類比轉數位轉換器325-11之輸入端子。亦可使用一或多個焊料球/焊料凸塊306來將信號自ASIC 320A (例如,自電路328)傳輸至感測器310A之控制電路318。此等球或凸塊亦對電子感測器310A提供機械支撐且提供至電子感測器310A之熱傳導性。焊料球或焊料凸塊可代以用於將電子感測器310A直接安裝至基板301 (圖中未展示)。亦可將金屬墊提供於電子感測器310A上以使接線能夠提供至電子感測器310A (例如,至電子感測器310A之表面312-ES)之電連接。 ASIC 320A可直接安裝至基板301 (如圖中所展示),或可藉由焊料球或焊料凸塊而安裝及電連接至基板301 (圖中未展示)。若ASIC 320A包含矽通孔,則可將焊料球或焊料凸塊用於ASIC 320A之兩側上。金屬墊307及327及/或接線339可用於形成ASIC 320A與基板301之間的電連接。可在感測器310A與基板301之間形成類似接線連接,或可透過ASIC 320A而形成基板301與感測器310A之間的所有連接。ASIC 320A可包括一單一ASIC或兩個或兩個以上ASIC。例如,在一實施例中,ASIC 320A可包括兩個ASIC,一ASIC主要含有類比功能且另一ASIC主要含有數位功能。額外積體電路(諸如一光纖傳輸器或一光纖接收器(圖中未展示))亦可安裝於基板301上。 ASIC 320A較佳地包含類比轉數位轉換器325,其經組態以使來自電子感測器310A之像素315的輸出信號數位化。在一實施例中,ASIC 320A包含用於各像素315之一類比轉數位轉換器325,使得可使所有像素315高速地(諸如,依100 MHz或更高之一速率)並行數位化。各像素315可使用一高數位化速率(諸如100 MHz或更高)來每時脈週期至多偵測若干個電子,因此,各類比轉數位轉換器325可僅需要8個、6個或更少個位元。設計具有較小數目個位元之一轉換器來高速操作係較容易的。具有較小數目個位元之一類比轉數位轉換器可佔據矽之一小區域使一ASIC上實際上可擁有較大數目,諸如1024或更大。 ASIC 320A較佳地實施圖2中所展示之方法之部分。例如,當將電子偵測器320A用作為一回散射電子偵測器時,ASIC 320A可實施步驟208,或當將該電子偵測器用作為二次電子偵測器時,ASIC 320A可實施步驟212。ASIC 320A可進一步併入電路來產生圖2中所描述之第一像素時脈信號或第二像素時脈信號,或可自一外部電路接收一像素時脈信號。 當將電子偵測器300A用作為二次電子偵測器時,ASIC 320A可實施結果類似於由上文所引用之'791專利中之電子光學器件實施之二次電子解掃描的二次電子解掃描。ASIC 320A可加總來自一群組之像素(其對應於自樣本發射至一角度範圍之二次電子)的信號且將該總和輸出為一信號。隨著電子束偏轉改變,ASIC 320A可在大致對應於相同角度範圍之改變偏轉下加總一不同群組之像素。由於使用相同主時脈來產生或同步化電子束偏轉且產生或同步化第一像素時脈及第二像素時脈,所以ASIC 320A具有調整將何種群組之像素加總在一起以與電子束偏轉掃描同步所需之時序資訊。 當電子電流較低且像素時脈速率足夠高使得每像素之平均電子數顯著小於1時,可使用在像素時脈週期之一單一週期中於一單一像素中所收集之電荷來判定是否在該時脈週期中於該像素中偵測到一電子,且若已偵測到,則判定該電子之一近似能量。電子感測器表面上之硼塗層需要實現此能力。若無硼塗層,則當入射電子能量小於約1 keV時,每入射電子產生少量電子或不產生電子。若具有一約5 nm厚硼塗層,則每入射1 keV電子產生約100個電子。若浮動擴散區電容足夠小以每電子產生大於約10 μV,則可偵測到此一信號高於雜訊位準。在一實施例中,浮動擴散區電容足夠小,使得浮動擴散區每電子產生大於約20 μV。對於此等低位準信號而言,重要的是由儘可能短之一路徑將各像素耦合至對應類比轉數位轉換器以使雜訊位準保持較低且使雜散電容保持較低。將電子感測器直接附接至ASIC允許自各像素至對應類比轉數位轉換器之一非常短路徑。 當可偵測到個別電子時,ASIC 320A可使用信號位準來判定該電子之一近似能量。ASIC 320A可根據入射電子之能量而進一步定限、計數或方格化入射電子以偵測或分類樣本上之缺陷或材料之一或多個類型。 圖4a及圖4b分別係展示根據本發明之另一例示性特定實施例之一電子感測器(例如上文參考圖3a所描述之感測器310)之一簡化像素400的分解透視圖及組合透視圖。類似於上文所描述之像素,像素400較佳地具有約200 μm至約250 μm之間的一大小(標稱橫向尺寸)。 參考圖4a,類似於上文所提及之該等像素特徵,像素400包含:一p型電子敏感層457A;一n型埋入式通道層455,其安置於p型電子敏感層457A上方;一n+浮動擴散區FD,其形成於n型埋入式通道層455中;一放大器410;及一選用純硼層460,其安置於p型電子敏感層457A下方。 埋入式通道層455及電子敏感層457A安置於一磊晶矽層457中,使得埋入式通道層455之一上層面與磊晶矽層457之一頂部(第一)表面457-S1重合(形成磊晶矽層457之頂部(第一)表面457-S1),且電子敏感層457A包括安置於埋入式通道層455與磊晶矽層457之一底部(電子敏感)表面457-S2之間的磊晶矽層457之一部分。磊晶矽層457具有較佳地在約10 μm至約100 μm之間的一厚度,且輕微p摻雜使得在一實施例中,電阻率在約10 Ω·cm至約2000 Ω·cm之間。一較厚磊晶層提供更大機械強度,但可產生更大暗電流。厚於約20 μm或約30 μm之一層需要一較低摻雜位準(較高電阻率)來在矽之塊體中維持一全空乏狀態。過低之一摻雜位準並非較佳的,因為其將導致一較高暗電流。 藉由使用已知技術之n型摻雜擴散而在磊晶矽層457之頂面457-S1下方產生埋入式通道層455。埋入式通道層455之摻雜濃度必須為大於磊晶矽層457中之摻雜濃度的數量級,使得磊晶矽層457在操作期間係全空乏的。在一較佳實施例中,埋入式通道層455中之n型摻雜劑之濃度係在約1016 cm-3 至約5×1016 cm-3 之間。 浮動擴散區FD包括安置於埋入式通道層455中之一相對較小n+摻雜區域,其經組態以回應於入射回散射或二次電子而收集像素400中所產生之電子。在一較佳實施例中,浮動擴散區FD具有約1 μm至約5 μm之間的一標稱橫向大小,且浮動擴散區FD中之n型摻雜劑之濃度係在約1019 cm-3 至約1021 cm-3 之間。使用已知技術來形成至浮動擴散區FD之一連接以將儲存電荷傳輸至放大器410。 純硼層460較佳地沈積於磊晶矽層457之背面或底面457-S2上。硼層460較佳地在約2 nm至約10 nm之間厚,諸如約5 nm之一厚度。如美國專利申請案13/792,166 (如上文所引用)中所解釋,在硼沈積程序期間,一些硼擴散數奈米至磊晶矽層457中以形成相鄰於純硼層460之一薄的非常高摻雜p+層。此p+層對感測器之最佳操作而言很重要。此p+層產生驅動電子朝向埋入式通道455之一電場,減小來自磊晶矽層457之背面的暗電流,且增加矽表面之傳導性以允許感測器依高入射電子電流以及低電流運行。在一實施例中,在純硼層460之沈積期間,允許額外硼擴散至矽中。此可藉由若干方法之一者而完成。在一例示性方法中,沈積比最終所要厚度厚之硼層(例如,當需要一5 nm最終厚度時,可沈積一6 nm至8 nm層),且接著藉由使感測器保持於沈積溫度或一更高溫度(諸如,在約800°C至約950°C之間)處達數分鐘而允許硼擴散至矽磊晶層457中。在另一例示性實施例中,可將數奈米厚之硼層沈積於矽上,接著,可在沈積溫度或一更高溫度處驅入硼,且接著可沈積硼之最終所要厚度(諸如5 nm)。 根據本實施例之一態樣,放大器410形成於一長形p井區域459中及長形p井區域459上方,p井區域459自頂面457-S1垂直延伸至電子敏感層457A中,且自相鄰於像素之中央區域C之一點向外延伸(即,朝向n型埋入式通道層455之外周邊邊緣455-OPE)。應注意,為描述目的,圖4a中將p井區域459展示為與矽磊晶層457分離,但事實上,p井區域459包括矽磊晶層457之一p型摻雜區域。在替代實施例中,p井459完全含於像素400之方形周邊邊界內,或延伸越過周邊邊界(例如,至一相鄰像素中)。在一實施例中,藉由植入具有實質上高於矽磊晶層457中之摻雜劑濃度之一濃度的硼而形成p井459,且接著使放大器410之各種電晶體之n型通道區域412形成於p井459中,藉此p井459用於防止電子自磊晶矽層直接遷移至通道區域412中。在一實施例中,p井在浮動擴散區及像素重設電晶體之通道區域下方延伸(如下文參考圖7所討論)以防止電子自磊晶矽層直接遷移至浮動擴散區中。 如圖4a中所指示,一或多個介電層454覆蓋於埋入式通道上。介電層454可包括一單個二氧化矽層、二氧化矽層之頂部上之氮化矽層、或二氧化矽層之頂部上之氮化矽層之頂部上之氧化矽層。個別層厚度可在約20 nm至約50 nm之間。 根據另一態樣,像素400進一步包含一電阻閘極451,其包括安置於(若干)介電層454上且經組態以覆蓋上表面457-S1之大部分的一或多個多晶矽或非晶矽閘極結構470。如圖4a中所指示,電阻閘極451包含一外周邊邊緣451-OPE,其實質上與像素400之周邊對準(即,大體上與埋入式通道層455之外周邊邊緣455-OPE對準),且界定一中央開口451-CO,使得電阻閘極451之一內周邊邊緣451-IPE (即,閘極結構470之內邊緣)實質上包圍中央像素區域C且與中央像素區域C橫向間隔開(例如圖4b中所指示)。在一實施例中,閘極結構470包括多晶矽,其具有一相對較輕之摻雜位準(例如,具有高於約30 Ω/cm之一電阻率),使得當在內周邊邊緣451-IPE與外周邊邊緣451-OPE之間施加一減小電位差時,電阻閘極451產生一相關聯電場,該電場依下文參考圖5a及圖5b中所描述之方式使埋入式通道層455中之電子偏壓朝向像素之中央區域C。為促進操作電阻閘極451使得電子自像素400之所有周邊橫向區域偏壓朝向中央區域C以由浮動擴散區FD收集,電阻閘極451亦包含分別沿著且相鄰於外周邊邊緣451-OPE及內周邊邊緣451-IPE安置於閘極結構470上之長形導體(例如金屬導線) 471及472。如下文所描述,將相對於長形導體472之一負電位施加至長形導體471,諸如-5 V之一電壓。導體471與472之間的所得電位差在閘極結構470中之一實質徑向方向上產生一減小電位(即,在內周邊邊緣451-IPE與外周邊邊緣451-OPE之間),該減小電位驅動埋入式通道中之電子(參閱圖4b)朝向浮動擴散區FD。至閘極結構470之額外連接可提供於導體471與472之間且保持具有介於施加至導體471及472之電位中間之電位以便修改電阻閘極451中之電位梯度。可在名稱為「Inspection System Using BackSide Illuminated Linear Sensor」且由Armstrong等人於2007年5月25日申請之美國專利申請案11/805,907中找到關於電阻閘極451之組成的額外細節。此專利申請案之全文以引用的方式併入本文中。 根據另一態樣,像素400進一步包含一或多個選用之額外閘極結構,其等安置於電阻閘極451與浮動擴散區FD之間以將電子進一步驅動至浮動擴散區FD上或控制何時將該等電子收集/累積於浮動擴散區FD上。例如,像素400包含一C形高度摻雜多晶閘極結構453,其安置於介電層454上及電阻閘極451之內周邊邊緣451-IPE內。可將恆定或切換電壓施加至閘極結構453以控制及確保電荷自電阻閘極451下方之埋入式通道層455之部分有效率地轉移至浮動擴散區FD。在下文參考圖5a及圖5b所描述之一實施例中,利用閘極結構453作為一加總閘極,在重設期間將一低電壓(諸如0 V (相對於底面457-S2或硼層460)施加至該加總閘極,且在讀出期間將一高電壓(諸如10 V)施加至該加總閘極。除加總閘極453之外,一或多個額外閘極(諸如一緩衝閘極、一轉移閘極及一輸出閘極)亦可由放置於電阻閘極451與浮動擴散區FD之間的相關聯額外閘極結構形成。此等閘極在CCD技術中已為吾人所熟知且可在此電子感測器中依一類似方式操作。參閱(例如) J.R. Janesick之「Scientific Charge-Coupled Devices」,SPIE Press,2001年,第156頁至第165頁。 圖4b展示一部分組合狀態中之簡化像素400。如圖中所指示,像素400之大部分(即,頂面457-S1之大部分)由形成電阻閘極451之非晶矽或多晶矽閘極結構470覆蓋。為繪示目的,將p井區域459上方之暴露區域(由虛線框指示)指示為空的,但事實上,該暴露區域包含與形成放大器410及一重設電晶體RT之電晶體相關聯之各種連接結構及閘極。下文參考圖7來提供此等結構及閘極之一例示性佈局。在一實施例(圖中未展示)中,加總閘極453與電阻閘極451之內周邊邊緣451-IPE重疊(即,在電阻閘極451之內周邊邊緣451-IPE上延伸且由一適合絕緣體分離以使加總閘極453及電阻閘極451之內周邊邊緣451-IPE保持電隔離)。此重疊配置防止兩個閘極結構之間的間隙下方之矽中之邊緣電場。此等邊緣場可使電子困於埋入式通道中或引起電子在非預期方向上移動。 圖5a及圖5b係展示一例示性偵測/讀出循環(操作)期間之像素400的簡化橫截面圖,其中圖5a描繪將浮動擴散區重設至一重設電壓(即,OS400 等於一重設電壓位準VRST )期間之一時間T0處或將浮動擴散區重設至該重設電壓之後之一即時時間T0處之像素400,且圖5b描繪依上文所描述之方式讀出輸出信號OS400 時(即,OS400 等於由在時間T0與T1之間累積於浮動擴散區FD上之電子之數目判定之一電壓位準VFD 時)之一隨後時間T1處之像素400。應注意,圖5a及圖5b中所展示之個別層未按比例繪製,而是經放大以更清楚地展示該等個別層。 參考圖5a,較佳地使由純硼層460塗覆之背面保持具有類似於電阻閘極之外邊緣的一電位(諸如,在該實例中為0 V)。由於硼係導電的且由於直接位於純硼層460下方之矽高度摻雜有硼,所以背面可為充分導電的,使得連接至其之一或若干位置處提供一足夠低阻抗之路徑以依高入射電流(諸如,約10 nA至約50 nA之一電流)操作感測器。由此等電位差形成之電場驅動由透過純硼層460入射於感測器上之回散射或二次電子產生於磊晶矽(電子敏感)區域457A中之電子(諸如E460)朝向埋入式通道455,如由電子上之箭頭所繪示。由導體471及472將一電位差施加至像素400之外邊緣與閘極結構470之內邊緣之間的電阻閘極451。在一實例中,由導體471將0 V施加至外邊緣,且由導體472將5 V施加至內邊緣,如圖中所展示。閘極結構470中之所得電位差產生一電場,該電場驅動安置於埋入式通道455中之電子(諸如E451)朝向像素400之中央(即,引起此等電子朝向浮動擴散區FD移動)。 在圖5a及圖5b所描繪之實例中,使用加總閘極453來控制電子且將該等電子驅動至浮動擴散區FD中。例如,如圖5a中所指示,當阻斷電子至浮動擴散區FD之轉移(例如,在重設期間)時,施加至加總閘極之電壓顯著低於施加至導體472之電壓,藉此電場防止電子容易地流動至浮動擴散區FD且引起該等電子累積於472下方之埋入式通道455中(如由電子E451所指示)。相反地,如圖5b中所指示,當將電子轉移至浮動擴散區FD (例如,正好在讀出之前)時,加總閘極453接收一相對較高之正電位(相對於施加至導體472之電壓,例如10V,其相對於施加至導體472之5 V,如圖中所展示)以引起導體472下方之電子(諸如E453)朝向浮動擴散區FD移動。由於浮動擴散區FD充當一電容器,所以在讀出時浮動擴散區FD上之電壓VFD 隨著更多電荷(電子)累積而變得更負。對於小信號,電壓VFD 之變化與累積電荷成比例(即,浮動擴散區FD之電容實質上係恆定的),但隨著電荷量增加,電容變化及電壓增大不再係線性的。雖然線性體系中之操作通常係較佳的,但在一實施例中,可使用一非線性體系中之操作來壓縮一高動態範圍信號。由於敏感度(電荷轉電壓轉換率)及速率取決於較小之浮動擴散區FD之電容,所以一般較佳地使浮動擴散區FD保持與實際一樣小且使連接至浮動擴散區FD之結構(其包含重設電晶體之通道及至電晶體M1之閘極的連接)之大小(及因此電容)最小化。 應注意,上文實例中所引用之電壓值僅為實例。可使用不同值,且最佳值取決於包含感測器之操作之所要速率、一或多個閘極之幾何形狀、摻雜分佈及(若干)介電層454之厚度的諸多因數。亦應注意,通常便於將一感測器之背側(即,電子敏感側)界定為0 V (應注意,若使電子偵測器在除接地之外之某一電位處浮動,則此電壓可遠離接地電位),且導體471將較佳地連接至一類似電位。 在一替代實施例中,不是切換重設電晶體及各像素之各種閘極上之電壓,而是使重設電晶體及各種閘極保持具有固定電位,使得磊晶矽(電子敏感)區域457A中所產生之電子可連續流動至浮動擴散區FD。在此模式中,必須使重設閘極RG (圖3b)上之電壓保持為引起重設電晶體RT處於一高電阻、部分導電狀態(諸如,約500 kΩ至數個MΩ之間的一通道電阻)中而非「切斷」(其對應於數百個MΩ或更高之一通道電阻)或「接通」(其對應於數個kΩ或更低之一通道電阻)中之一電壓。 在此實施例中,必須使電阻閘極451之內周邊邊緣與浮動擴散區FD之間的一或若干閘極各保持具有依次變高電壓(全部高於導體472之電壓),使得埋入式通道455中之電子將被驅動朝向浮動擴散區FD。例如,若導體472具有5 V之一電位,則可使加總閘極453保持具有6 V之一電壓。若電阻閘極451之內周邊邊緣與加總閘極453之間存在另一閘極(圖中未展示),則可使該另一閘極(例如)保持具有6 V且使加總閘極453保持具有7 V。必須使重設汲極RD保持具有顯著大於最內閘極(諸如加總閘極453)之一正電壓以便使浮動擴散區FD保持具有相對於所有閘極之一顯著高電位以吸引埋入式通道中之電子。例如,可使重設汲極RD保持具有15 V。 應易於瞭解,重設電晶體RT之通道及浮動擴散區FD之電容形成一RC時間常數,其判定在電子到達浮動擴散區FD之後浮動擴散區FD上之一電壓如何快速地衰減回至重設汲極RD之電壓。例如,若類比轉數位轉換器依100 MHz (即,每10 ns一次)對各像素進行取樣,則約20 ns或約30 ns之一RC時間常數可為適當的。在此實例中,若浮動擴散區之電容係約10 fF,則重設閘極RG之電壓應經設定使得重設電晶體RT之通道之電阻係約2.5 MΩ以便給出約25 ns之一時間常數。 可由本文中所揭示之感測器進行此實施例,因為各像素連接至其自身類比轉數位轉換器。在一習知二維CCD或CMOS影像感測器中,需要儲存電荷且在類比轉數位轉換器之數目小於像素之數目時連續讀出電荷。此外,習知CMOS影像感測器使電晶體及閘極與表面通道而非埋入式通道一起使用。與埋入式通道相比,表面通道產生雜訊且無法無損失地轉移小電荷。 圖6係展示一部分像素400A的一簡化平面圖,且特定言之,展示根據本發明之一例示性特定實施例之包含由像素400A利用之一浮動擴散區FD、一放大器410A及一重設電晶體RT之一例示性佈局。在一實施例中,像素400A實質上相同於上文所描述之像素400 (即,其中浮動擴散區FD位於像素400A之一中央區域中),因此,為簡潔起見,省略像素400A之未繪示部分。在圖6中,摻雜區域(例如浮動擴散區FD)由點型陰影區域指示,導電結構(例如多晶矽或金屬)由斜線區域指示,且垂直金屬通孔由包含「X」符號之方框指示。應注意,各種放大器多晶矽或金屬結構係分離的(即,不鄰接),且使用標準技術來圖案化及互連各種放大器多晶矽或金屬結構。在此實例中,重設電晶體RT直接安置於浮動擴散區FD下方,且放大器410A包含經連接且依類似於上文參考圖3b所描述之方式的一方式運行之電晶體M1、M2及M3。為清楚起見,省略與圖6中所展示之結構相關聯之額外連接及通孔。 參考圖6之上部分,將浮動擴散區FD安置成相鄰於p井區域459A,p井區域459A依上文所描述之方式形成且包含與重設電晶體RT及放大器410A之電晶體M1至M3相關聯之各種n型通道區域。例如,重設電晶體RT包含一N型通道區域412ART ,其安置於直接位於浮動擴散區FD下方且連接至浮動擴散區FD之p井區域459A中且接收重設電壓RD,且包含由重設閘極信號RG控制之一閘極結構。電晶體M1包含安置於p井區域459A中之重設電晶體RT下方緊接處之一N型通道區域412AM1 ,且包含:一閘極結構,其連接至浮動擴散區FD;一汲極結構,其連接至系統電壓VOD;及一源極結構,其連接至電晶體M2之一汲極結構及電晶體M3之一閘極結構。電晶體M2包含安置於p井區域459A中之電晶體M1下方緊接處之一N型通道區域412AM2 ,且包含連接至接地之閘極結構及源極結構。電晶體M3包含安置於p井區域459A中之電晶體M2下方緊接處之一N型通道區域412AM3 ,且包含:一汲極結構,其連接至系統電壓VOD;及一源極結構,其用於在類似於參考圖3c所展示及所描述之配置的一配置中藉由一金屬墊或焊料球/焊料凸塊406而將像素400A之一輸出信號OS400A 傳輸至一相關聯類比轉數位轉換器。應注意,用於OS之金屬墊可位於遠離像素400A之中央的一位置處,且在一實施例中,可覆蓋於一或多個相鄰像素之部分上。 在一實施例中,重設電晶體RT經控制以使用具有足夠大之正值的一重設閘極電壓RG來使浮動擴散區FD放電至重設電壓RD以接通重設電晶體RT。RD應具有比施加至各種像素閘極(例如上文參考圖4a及圖4b所描述之電阻閘極451及加總閘極453)之電壓大之正值。例如,參考圖5b中所展示之實例(其中使用10 V來控制加總閘極453),重設汲極電壓RD可具有約15 V至約20 V之間的一電壓值。需要週期性地接通重設電晶體RT以使已累積於浮動擴散區FD中之電子放電。當撞擊像素之入射電子電流較小時,可無需在每次讀出像素時使浮動擴散區放電(重設浮動擴散區)。當入射電流較高時,需要在每一像素時脈週期重設浮動擴散區FD。 圖7展示根據本發明之另一實施例而配置之一部分簡化例示性感測器700,且繪示一替代佈局圖案,其中像素740-1之p井區域759-1延伸至否則由一相鄰像素740-2佔據之空間中,且由像素740-1利用之至少一控制信號連接至越過相鄰像素740-2之一信號線719-21。此實例中所討論之p井區域及信號線依類似於上文分別參考圖4a及圖3a所額外詳細討論之p井區域459及信號線319之一方式形成及運行。應注意,金屬線束719-1及719-2在所有其他結構上延伸,藉由硼磷矽酸鹽玻璃層或其他介電材料而與下伏多晶矽結構(例如電阻閘極770-1)分離,且藉由金屬通孔(圖中未展示)而連接至下伏結構。亦應注意,為清楚及簡潔起見,圖7中省略上文所描述之像素740-1及740-2之若干結構。 如上文所提及,除中央區域(即,用於允許存取浮動擴散區)及其中形成一p井之區域之外,用於在各像素中產生電阻閘極(及上文所討論之任何額外閘極,諸如加總閘極453)之非晶或多晶閘極結構基本上完全覆蓋像素區域。在上文參考圖4a及圖4b所描述之實例中,p井區域459完全安置於各像素之方形邊界內,因此,電阻閘極及加總閘極完全圍繞像素400之剩餘周邊延伸。然而,在一些情況中,M3放大器電晶體需要引起其延伸超過下像素邊界之一寬度。 為容納延伸之M3電晶體形狀,感測器700之像素經組態以與一相鄰像素共用其空間之一部分。明確言之,為提供空間用於其自身長形p井區域759-1及自上方像素(圖中未展示)向下延伸之p井區域759-0之部分兩者,像素740-1之電阻閘極結構770-1形成為一大體上呈「H」形之圖案。類似地,像素740-2之電阻閘極結構770-2形成為相同「H」形圖案以容納p井759-1之下部分及p井區域759-1之上部分。 亦如上文所討論,感測器700之各列中之像素共用沿整列延伸至一周邊定位控制電路(圖中未展示)之共同信號線。在圖7所展示之情況中,信號線束719-1在包含像素740-1之列上延伸,且信號線束719-2在包含像素740-2之列上延伸。歸因於p井區域延伸至相鄰像素中,在一些情況中,有效率地提供來自在一相鄰像素上延伸之信號線束的信號連接。例如,信號線719-21藉由導體719-21A而連接至安置於p井區域759-1中之一電晶體結構(圖中未展示),藉此將一信號(例如0 V/接地)自越過相鄰像素740-2之信號線束719-2提供至像素740-1。類似地,信號線束719-1之信號線719-11將一信號提供至安置於p井區域759-0中之一電晶體結構(圖中未展示)。 圖7亦描繪像素740-1及740-2中之焊料凸塊/焊料球706-1及706-2之一較佳位置(即,位於各像素區域之左下四分之一中)。應注意,像素740-1及740-2中之焊料凸塊/焊料球706-1及706-2之所描繪大小大體上準確用於一250 μm標稱橫向(例如對角)像素大小及一標準焊料凸塊/焊料球。在具有不同大小像素或不同大小焊料球或焊料凸塊之替代實施例中,墊及像素之相對大小可顯著不同於圖7中所繪示之相對大小。 在一實施例中,本文中所描述之電子偵測器亦可偵測X射線。若由樣本發射之一X射線具有足夠能量(諸如約1 keV或更高之一能量),則其可在被吸收於待偵測之電子感測器中時產生足夠電子。 本文中所描述之系統及方法可與下列各者中所描述之系統及方法之任何者一起使用:名稱為「Tilt-Imaging Scanning Electron Microscope」且由Jiang等人於2013年3月18日申請之美國公開專利申請案2014/0151552;名稱為「Auger Elemental Identification Algorithm」且由Neill等人於2013年6月7日申請之美國公開專利申請案2013/0341504;名稱為「Charged-particle energy analyzer」且由Shadman等人於2011年3月17日申請之美國公開專利申請案2011/0168886;及名稱為「Use of design information and defect image information in defect classification」且由Abbott等人於2009年2月16日申請之美國公開專利申請案2010/0208979。所有此等申請案以引用的方式併入本文中。 上文所描述之本發明之結構及方法之各種實施例僅繪示本發明之原理且不意欲將本發明之範疇限制於所描述之特定實施例。例如,一像素內之結構之大小、形狀及佈局可顯著不同於本文中所展示之大小、形狀及佈局。例如,一單一像素中之放大器可包括一個、兩個或三個級。可使用更多或更少閘極來控制電荷至浮動擴散區之轉移。電子偵測器內之ASIC可進一步包括一FPGA或一數位信號處理器以實施用於處理或分析來自偵測器之信號的演算法。ASIC亦可包含串列傳輸器電路及/或串列接收器電路以將資料發送至一影像處理電腦及/或接收命令。 因此,本文中所描述之掃描式電子顯微鏡、感測器及方法不意欲受限於所展示及所描述之特定實施例,而是應被給予與本文中所揭示之原理及新穎特徵一致之最廣範疇。 [ Related application ] This application claims the priority of US Provisional Patent Application 62/043,410, entitled "Scanning Electron Microscope And Methods Of Inspecting", which was filed on August 29, 2014 and incorporated herein by reference. The invention relates to an improved solution of a sensor used in a semiconductor inspection and inspection system. The following description is presented to enable a person of ordinary skill to make and use the invention, as provided in the context of a specific application and its requirements. As used herein, directional terms (such as "top", "bottom", "above", "below", "up", "up", "down", "below" and "below" "Downward") is intended to provide a relative position (for description) and is not intended to specify an absolute frame of reference. In addition, the phrase "integrated semiconductor structure" is used herein to describe the formation of a single process (such as Czochralski crystal growth, sputtering deposition, plasma vapor deposition or chemical vapor deposition) A continuous semiconductor material (e.g. silicon) substrate that is distinguished from two separate semiconductor structures (e.g. two "wafers" from the same silicon wafer) that have been connected by adhesives, solder or other interconnects. Those skilled in the art will understand the various modifications of the preferred embodiment and can apply the general principles defined herein to other embodiments. Therefore, the present invention is not intended to be limited to the specific embodiments shown and described, but should be given the broadest scope consistent with the principles and novel features disclosed herein. FIG. 1 shows an exemplary scanning electron microscope (SEM) 100, also referred to as an inspection or inspection system, which is configured to inspect or inspect a sample 131, such as a semiconductor wafer, a main mask or a mask . The SEM 100 generally includes: an electron gun (source) 140; an electronic optical device, which includes an upper row 141 and a lower row 142; a platform 130, which is used to support and position a sample 131; and a system computer 160. In one embodiment, the electron gun 140 includes a cathode 101, such as a thermal field emission or Schottky cathode, a single crystal tungsten cathode, or a LaB6 Cathode; and extraction and focusing electrode 102. The electron gun 140 may further include a magnetic lens (not shown). The electron gun 140 generates a primary electron beam 150 having a desired electron beam energy and electron beam current. The upper row 141 of the electro-optic device includes one or more condenser lenses 107, which reduce the original electron beam to produce a small spot on the sample 131. In general, in order to generate high-resolution images for sample review, the spot size is preferably about 1 nanometer or several nanometers. A sample inspection can use a larger spot size to scan the sample 131 faster. When the spot size is about 100 nm or more, a single condenser lens 107 may be sufficient, but a spot size of tens of nanometers or less usually requires two or more condenser lenses. The condenser lens 107 may include a magnetic lens, an electrostatic lens, or both. The upper row 141 may also include one or more deflectors 105, which allow the original electron beam to scan the entire area of the sample 131. The deflector 105 can be placed on both sides of the condenser lens 107 (as shown in the figure), or the deflector 105 can be placed in the condenser lens 107 (not shown in the figure), or the deflector 105 can be placed on the condenser After the optical lens 107. The deflector 105 may include an electrostatic deflector or a combination of a magnetic deflector and an electrostatic deflector. In an embodiment, there may be no deflector in the uplink 141. All deflectors may be included in the downstream 142 instead. The lower row 142 includes a final (immersion) lens 110 for focusing the original electron beam to a small spot on the sample 131. The final lens 110 may include a magnetic lens (as shown in the figure) or a combination of a magnetic lens and an electrostatic lens (not shown in the figure). To achieve a small spot size at the sample 131, the final lens 110 is placed close to the sample 131 so that the sample is immersed in the magnetic field of the lens. This can reduce the aberration of the electron spot on the sample 131. Downstream 142 also includes deflector 109, which works with deflector 105 (if present) to cause the original electron beam to scan the entire area of sample 131. The sample 131 is placed on a platform 130 to facilitate moving different areas of the sample 131 under the electron column. The platform 130 may include an XY platform or an R-θ platform, and in one embodiment, is configured to support and locate many sample types (such as an unpatterned semiconductor wafer, Once patterned semiconductor wafer, a main mask or a mask). In a preferred embodiment, the platform 130 can adjust the height of the sample 131 during the examination to maintain focus. In other embodiments, the final lens 110 may be adjusted to maintain focus. In some embodiments, a focus or height sensor (not shown) may be mounted on the final lens 110 or close to the final lens 110 to provide a signal to adjust the height of the sample 131 or adjust the focus of the final lens 110. In an embodiment, the focus sensor or height sensor may be an optical sensor. When the original electron beam 150 scans the entire area of the sample 131 by the electron optical device, secondary electrons and backscattered electrons are emitted from the area. The secondary electrons can be collected and accelerated by the electrode 120 and guided to the secondary electron detector 121. An electronic optical device for collecting, accelerating, and/or focusing secondary electrons is described in US Patent No. 7,141,791, entitled "Apparatus and method for e-beam dark-field imaging" by Masnaghetti et al. This patent is incorporated herein by reference. As described in the '791 patent, the electron optics for the secondary electron detector may include descanning optics for at least partially counteracting the effect of the deflector 109 on the trajectory of the secondary electrons. In some embodiments of the present invention, descanning electron optics is unnecessary and can be omitted, because descanning can be substantially achieved by an ASIC (as described herein) included in the secondary electron detector. The secondary electron detector 121 is preferably a solid-state electron detector (such as one of the solid-state electron detectors described herein) and is configured to generate a Image data signal ID2, wherein the image data signal ID2 is transferred to the computer 160 and the image data signal ID2 is used to generate an image of the associated scan sample area, thereby facilitating the visual inspection of a defect D. U.S. Patent No. 7,838,833 for Lent et al. "Apparatus and method for e-beam dark imaging with perspective control" and James et al. "Apparatus and method for obtaining topographical dark-field images in a scanning electron microscope" U.S. Patent No. 7,714,287 describes other electron optical devices and detector configurations and methods for detecting and analyzing secondary electrons that can be used in combination with the systems and methods described herein. These two patents are incorporated herein by reference. The backscattered electrons can be detected by a backscattered electron detector (such as the backscattered electron detectors shown at 122a and 122b), which is one of the solid-state electron detectors described herein Implemented and configured to generate an image data signal ID1 based on the detected backscattered electrons, wherein the data signal ID1 is transferred to the computer 160 and the data signal ID1 is also used to generate an image of the associated scanned sample area. Preferably, the backscattered electron detector is placed as close as possible to the sample 131, such as at position 122a (ie, between the final lens 110 and the sample 131). However, the gap between the sample 131 and the final lens 110 may be small (such as about 2 mm or less), and (for example) a focal point or height sensor requires a gap, so it is practically impossible to detect the backscattered electrons The detector is placed at position 122a. Alternatively, the backscattered electron detector may be placed at a location such as 122b, on the other side of the pole piece of the final lens 110 relative to the sample 131. It should be noted that the backscattered electron detector must never block the original electron beam 150. The backscattered electron detector may have a hole in the middle or may include multiple detectors (such as two, three, or four separate detectors) that are placed in the path of the original electron beam 150 Around so as not to block the path, while efficiently capturing backscattered electrons. The landing energy of the original electron beam 150 on the sample 131 depends on the potential difference between the cathode 101 and the sample 131. In one embodiment, the platform 130 and the sample 131 can be kept close to the ground potential, and the landing energy can be adjusted by changing the potential of the cathode 101. In another embodiment, the landing energy on the sample 131 can be adjusted by changing the potential of the platform 130 and the sample 131 relative to ground. In either embodiment, the final lens 110 and the backscatter electron detectors 122a and/or 122b must all have potentials that are close to each other and close to the potential of the sample 131 and platform 130 (such as about 1000 less than the sample 131 and platform 130 V) To avoid the arc effect on the sample 131. Due to this small potential difference, the backscattered electrons from the sample 131 will only be accelerated by a small amount or not at all as they travel from the sample to the backscattered electron detectors 122a and/or 122b. For some semiconductor samples, the landing energy on sample 131 can be quite low (such as between about 500 eV and about 2 keV) to avoid damage to these samples, so when backscattered electrons land on backscattered electron detection On the devices 122a and/or 122b, the energy of the backscattered electrons will be quite low. Therefore, for the sensitivity of the SEM, it is important that the backscattered electron detectors 122a and 122b generate many electrons from a single low-energy backscattered electron (such as an electron with an energy of about 2 keV or less) -Electric hole pair. Conventional silicon detectors inevitably have a thin oxide (such as a native oxide) coating on the surface of silicon (which prevents most electrons with energies below about 2 keV from reaching silicon), or alternatively , With a thin metal (such as Al) coating on the surface (which scatters and absorbs a significant portion of incident low-energy electrons). In a preferred embodiment, the solid-state electronic detector described herein has a pinhole-free pure boron coating on its surface. A pinhole-free pure boron coating prevents oxidation of silicon and allows efficient detection of low energy electrons (which include electrons with energy less than 1 keV). U.S. Published Patent Application 2013/0264481, entitled "Back-illuminated Sensor With Boron Layer" and applied by Chern et al. on March 10, 2013, is used to manufacture silicon detection with pinhole-free pure boron coating The method of the detector and the design of these detectors. This patent application is incorporated herein by reference. The bubble located in the lower left part of FIG. 1 illustrates a simplified solid-state sensor 123 which is used by one or more of the electron detectors 121, 122a, and 122b to scatter incident back or secondary electrons eINCIDENT It is converted into a measurable charge completely within a single integrated semiconductor (e.g., epitaxial silicon) structure 124. The sensor 123 includes: a p-type electron sensitive layer 127 configured to respond to each incident electron e entering through a front side surface 127-FINCIDENT While generating multiple electrons e127 ; An n-type buried channel layer 125, which is configured to e125 (It means the generated electron e125 At least part of it) is transferred to an n+ floating diffusion FD; an amplifier 129 according to a charge (voltage) V collected on the floating diffusion FDFD An output signal OS is generated. The buried channel layer 125 is disposed on the top surface 127-B of one of the electron sensitive layers 127 to promote electrons generated by the electron sensitive layer 127127 Efficient collection, and the floating diffusion FD is disposed in the buried channel layer 125 to facilitate the reception of electrons e125 , So that the measured charge (voltage) VFD And electrons captured by the floating diffusion FDFD The number is proportional. According to one aspect of the invention, the p-type electron sensitive layer 127, the n-type buried channel layer 125, the n+ floating diffusion region FD and the amplifier 129 are co-manufactured on the integrated semiconductor structure 124 by diffusion dopants, thereby The entire incident electron-to-readout conversion occurs completely within the semiconductor structure 124. A pure boron layer 128 is formed on the bottom surface 127-F of the electron sensitive layer 127, so that the incident electrons eINCIDENT It passes through the pure boron layer 128 before entering the electron sensitive layer 127. As discussed in detail below, in addition to the sensor 123, each solid-state electronic detector also includes at least one analog-to-digital converter 126, which converts the output signal OS into a digital image data signal IDx (ie, backscatter The signal ID1 in the case of the electronic detector 122a or 122b or the signal ID2 in the case of the secondary electron detector 121 is transmitted to a digital form of the computer 160. For simplicity, the various circuits and systems of SEM 100 are described above in a simplified form, and it should be understood that these circuits and systems include additional features and perform additional functions. For example, although the backscattered electron detectors 122a/122b and secondary electron detector 121 of the SEM 100 are briefly described as including simplified sensors 123 to introduce certain key features of the present invention, it should be understood that The multi-pixel electron detector described below is preferably used to implement the backscattered electron detectors 122a/122b and the secondary electron detector 121. Furthermore, in addition to generating an image of the scanned sample area, the computer 160 may be configured to perform additional functions, such as using the method described below to determine the existence of a defect based on the incident electron energy value indicated by the image data signal and/or Or the type of defect. FIG. 2 illustrates an exemplary method 200 of inspecting or reviewing a sample (such as a semiconductor wafer, a main reticle, or a reticle). The method shown in Figure 2 can be repeated for each area on the sample to be inspected or reviewed. In a review SEM, the area to be reviewed has been previously identified by an optical or SEM inspection as likely to contain a defect or particle. For each area on the sample to be inspected or reviewed, the exemplary method 200 begins at step 201. In step 202, a main clock signal for controlling the timing of the scanning of the original electron beam and the acquisition of image data is generated. In step 204, an electron beam deflection scanning pattern is generated. This electron beam deflection scan pattern generates a voltage and/or current that is transferred to an electron beam deflector (such as the deflectors shown at 105 and 109 in FIG. 1). The pattern may be a raster scan, a serpentine pattern, a square spiral or other patterns covering the area of the sample. A scan pattern may also contain, for example, delayed and dummy scans, where no data is collected to control the charging of the sample surface. In step 206, a first pixel clock signal is generated. The first pixel clock signal is synchronized with the main clock signal. The first pixel clock signal may have the same frequency as the main clock signal, a frequency that is a multiple of the main clock signal, and a frequency that is a fraction of the main clock signal (ie, the frequency of the main clock signal divided by one Integer), or a frequency that is a rational multiple of the frequency of the main clock signal. In step 208, at each period of the first pixel clock signal, the signal collected in the scattered electron detector is read out and digitized back. In step 210, a second pixel clock signal synchronized with the main clock signal is generated. The second pixel clock signal may have the same frequency as the main clock signal, a frequency that is a multiple of the main clock signal, and a frequency that is a fraction of the main clock signal (ie, the main clock signal frequency divided by one Integer), or a frequency that is a rational multiple of the frequency of the main clock signal. The second pixel clock signal may have the same frequency as the first pixel clock signal. In one embodiment, the first pixel clock signal is used for both the first pixel clock signal and the second pixel clock signal, and no separate second pixel clock signal is generated. In step 212, at each cycle of the second pixel clock signal (or the first pixel clock signal (if the second pixel clock signal is not used)), the readout and digitization in the secondary electron detector Collected signals. In step 214, the digitized backscatter and secondary electron signals are used to determine the presence of one or more defects in the scan area. A defect may include the presence of material that should not be there (such as a particle), lack of material that should be there (such as an over-etching condition may occur), or a deformed pattern. In an optional step 216, the defect type or the material type of the defect can be determined according to the defects found in step 214. For example, high atomic number elements generally scatter a fraction of the incident electrons that are larger than low atomic number elements. The backscattered electron signal can be used to infer the presence of a high atomic number element (such as a metal). In step 216, when reviewing an area that has previously been inspected, the previous inspection data (optical and/or electron beam) can be used in combination with the digitized backscatter and secondary electron signals to better determine the defect or material type. In one embodiment, steps 214 and 216 may be combined into a single step that simultaneously determines the existence and type of a defect. Repeat method 200 from the beginning for each area on the sample that can be examined or inspected. FIG. 3a illustrates an exemplary simplified multi-pixel electronic detector 300 used in a review SEM or other SEM system (such as SEM 100 shown in FIG. 1). The electronic detector 300 generally includes a sensor circuit 310 and a signal processing circuit 320. In the preferred embodiment shown in FIG. 3a, the sensor circuit 310 is fabricated on a silicon structure (wafer) 311, and the signal processing circuit 320 is fabricated on a separate silicon structure for reasons that will be understood below. (Wafer) 321. In an alternative embodiment (not shown in the figure), both the sensor circuit and the signal processing circuit are fabricated on the same silicon wafer. Referring to the lower part of FIG. 3a, the sensor 310 includes 16 pixels 315-11 to 315-44 arranged in a four column×four row (4×4) array. For the purpose of description, in FIG. 3a, the "rows" of pixels are aligned in an arbitrary designated X-axis direction, whereby pixels 315-11 to 315-14 form a first column, and pixels 315-21 to 315-24 form In a second column, pixels 315-31 to 315-34 form a third column, and pixels 315-41 to 315-44 form a fourth column. Similarly, the "rows" of pixels are aligned in the Y-axis direction shown in FIG. 3a, whereby pixels 315-11 to 315-41 form a first row and pixels 315-12 to 315-42 form a first row In two rows, pixels 315-13 to 315-43 form a third row, and pixels 315-14 to 315-44 form a fourth row. In practical applications, it is expected that the sensor circuit includes an array of 16×16, 32×32, 64×64 or more pixels, where the pixels of these larger arrays include a simplified 4×4 array similar to that described below Characteristics of characteristics. Furthermore, the number of pixels in each column/row of the array need not be a power of 2, and the number of pixels in each column need not be equal to the number of pixels in each row. In one embodiment (for example, in the case of the backscattered electron detector 122a or 122b shown in FIG. 1), the sensor 310 includes a hole (not shown) in the middle of the sensor to allow The original electron beam passes through the sensor. Although the pixels 315-11 to 315-44 are depicted as having a square shape, the pixels may also be rectangular or hexagonal. According to one aspect of the present invention, each pixel of the sensor circuit 310 includes an electronic sensitive structure similar to the electronic sensitive structure, the buried channel structure, the floating diffusion structure, and the amplifier circuit structure described above with reference to FIG. 1, Buried channel structure, floating diffusion structure and amplifier circuit structure. For example, referring to pixels 315-41 in FIG. 3a, each pixel generally includes a p-type electron sensitive region 312A, an n-type buried channel layer 316, a floating diffusion FD, and an amplifier 317. The p-type electron sensitive region 312A is formed by a portion of the epitaxial layer 312 located below the pixels 315-41, and operates in the manner described above with reference to FIG. 1 to generate multiple electrons in response to incident electrons. The buried channel layer 316 is formed of an n-type dopant diffused into the epitaxial layer 312 above the electron sensitive region 312A, and is used to transfer electrons generated by the electron sensitive region 312A to the floating diffusion region FD. The floating diffusion FD (for illustrative purposes, a schematic capacitor signal is used to depict the floating diffusion FD) is formed by diffusing into one of the n+ dopants in the buried channel layer 316 and used to collect the electron sensitive region 312A At least part of the generated plurality of electrons, thereby generating a corresponding charge (voltage) in the manner described above with reference to FIG. 1. The amplifier 317 includes transistors M1, M2, and M3, and is used to generate an associated output signal OS41 whose voltage level is determined by one of the electrons collected on the floating diffusion FD in any given readout operation Number determination. Each pixel also includes a reset transistor RT, which is used to reset the voltage level of the pixel floating diffusion FD after each readout operation. The sensor circuit 310 is depicted in a cross-sectional manner in FIG. 3a to illustrate a preferred embodiment, in which pixels 315-11 to 315-44 are fabricated on a thin film structure including an epitaxial layer 312 and a boron layer 313. In one embodiment, the substrate 311 is a p+ (ie, highly p-doped) substrate, and the epitaxial layer 312 is a p-epitaxial layer (ie, a layer with a low concentration of p-dopant). Preferably, one thickness T of the epitaxial layer 312 is between about 40 μm and about 100 μm to keep the time taken for electrons to drift from the electron sensitive region to the buried channel layer to be limited to less than about 10 ns, At the same time provide good mechanical strength. Depending on the mechanical support provided by the substrate 311, the epitaxial layer 312 can be made thinner than 40 μm, such as between about 10 μm and about 40 μm. After forming the epitaxial layer 312, one or more additional layers (not shown) are formed on the epitaxial layer 312 (eg, gate oxide layer, silicon nitride gate layer, and one or more dielectric layers) , And one or more doped regions are formed in the epitaxial layer 312 (eg, n-type buried channel portion 316, n+ floating diffusion region FD, channel region associated with a reset transistor RT, and an amplifier 317 And a doped region associated with a circuit element (not shown in the figure) in front of the formation of the control circuit 318 (which is disposed in the peripheral region of the pixel array). Forming various pixel transistors and front-side circuit elements includes implanting or doping a portion of the front side of the epitaxial layer, and may involve patterning the gate layer. Next, the portion of the substrate 311 disposed below the pixels 315-11 to 315-44 is removed (thinned) to expose the electron sensitive (front side) surface 312-ES, and then the boron layer 313 is formed on the electron sensitive surface 312 -ES. For example, the co-owned and co-pending U.S. Published Patent Application 2013-0264481 with the name "Back-illuminated Sensor With Boron Layer" and applied by Chern et al. on March 10, 2013 is depicted in Figure 3a. The additional details related to the formation of the thin film structure of this case are incorporated herein by reference. FIG. 3b is a simplified diagram showing additional details of the exemplary pixels 315-41 of FIG. 3a. Specifically, the amplifier 317 includes a first NMOS transistor M1 having: a drain terminal connected to a voltage source VOD; a gate terminal connected to the floating diffusion FD and stored in the floating diffusion Charge control on the FD; and a source terminal connected to the drain terminal of a second NMOS transistor M2 and the gate terminal of a third NMOS transistor M3. The gate and source terminals of transistor M2 are connected to ground, and the drain terminal of transistor M3 is connected to the voltage source VOD, whereby the output terminal of amplifier 317 is formed by the source terminal of transistor M3. The pixels 315-41 also include an NMOS reset transistor RT, which has: a source terminal connected to the floating diffusion FD; a gate terminal controlled by a reset control signal RG; and a drain terminal, It is connected to a reset voltage RD. During the operation of the pixels 315-41, each detection/readout cycle starts by resetting the floating diffusion FD to the voltage RD by triggering the reset transistor RT, then waits for a predetermined detection period, and then outputs the output signal OS41 sampling. If 0 incident (ie, backscattered or secondary) electrons enter the electron sensitive area of pixels 315-41 during the detection period, the voltage levels on the floating diffusion FD and the output signal OS41 are reset during readout There is no significant change in the value. If one or more incident (ie, backscattered or secondary) electrons enter the electron sensitive area of the pixels 315-41 during the detection period, the voltage level on the floating diffusion FD changes (becomes more negative) and The number of incident electrons is proportional to the amount of energy (which is indicated by the number of electrons accumulated in the floating diffusion FD), whereby the voltage level of the output signal OS41 during readout provides the period during which the detection/readout cycle The approximate energy level of the detected incident electrons (or the sum of energies (if multiple electrons are incident during the detection/readout cycle)). When operating at a 100 MHz operating rate, 100 million detection/readout cycles are performed on each pixel every second. According to a preferred embodiment of the present invention depicted in FIG. 3b, the floating diffusion area of each pixel is located in a central area of its pixels, and the nominal lateral size of each pixel is about 250 μm or less to facilitate During each detection/readout cycle, electrons are transferred to the floating diffusion area. Referring temporarily to FIG. 3a, the lateral size is measured in the X-Y plane coplanar with the silicon structure 311, and the lateral size indicates the area occupied by each pixel. Referring to FIG. 3b, the floating diffusion FD is located in a central region C (FIG. 4a) of the area occupied by the pixels 315-41, where the width of the pixels 315-41 is indicated by the width dimension X1, and the length of the pixels 315-41 is determined by the size Y1 indication. According to the presently preferred embodiment, both sizes X1 and Y1 are about 250 μm or less to facilitate high-speed readout operations. Because of the drift speed of electrons in silicon, when it is desired to read out pixels 315-41 at a data rate of about 100 MHz or higher, the lateral dimension of each pixel preferably does not exceed about 250 μm, so that Drive electrons to the floating diffusion FD in the center within ns or less. For lower rate operation, pixels larger than 250 μm are acceptable. For operation at rates significantly higher than 100 MHz, pixel sizes smaller than 250 μm are preferred. Referring to the upper part of FIG. 3a, the analog-to-digital converters 325-11 to 325-44 are manufactured on the semiconductor substrate 321 together with the optional signal processing circuit 328-1 and the optional signal transmission circuit 328-2 according to a known technique . In one embodiment, to facilitate a one-to-one signal connection between pixels 315-11 to 315-44 and the analog-to-digital converters 325-11 to 325-44 discussed below, the analog-to-digital converter 325- 11 to 325-44 are arranged in a pattern substantially in mirror image with the array pattern (matrix) formed by the pixels 315-11 to 315-44. The digital values generated by the analog-to-digital converters 325-11 to 325-44 are transmitted by the conductor 329 to the processing circuit 328-1, which is configured to be associated, for example, based on one of the self-sensor circuits The digitalized output signal (image data) received by the pixel calculates the approximate energy of an incident electron. For example, the selected high-speed data transmission circuit 328-2 is used to transmit the image data signal ID to an external processing system (such as a computer). In one embodiment, in addition to the array of analog-to-digital converters 325-xx, the signal processing circuit 320 includes a processing circuit 328-1 configured to, for example, associate pixels based on one of the self-sensor circuits The received digital output signal (image data) is used to calculate the approximate energy of an incident electron. In another embodiment, the signal processing circuit 320 also includes a high-speed data transmission circuit 328-2, which is used to transmit an image data signal ID to an external processing system (eg, a computer). Referring again to the lower part of FIG. 3a, the output signals OS11 to OS44 generated by the pixels 315-11 to 315-44, respectively, are transmitted to a correlation disposed on the signal processing circuit 320 by an associated conduction path (indicated by a dotted line). Connect analog-to-digital converters 325-11 to 325-44. For example, the pixel 315-11 transmits the output signal OS11 to the analog-to-digital converter 325-11 through a dedicated conduction path, the pixel 315-12 directly transmits the output signal OS12 to the analog-to-digital converter 325-12, etc. . In the preferred embodiment described below with reference to FIG. 3c, the output signals OS11 to OS44 may be metal pads, solder balls/solder bumps, or similar structures (which provide individuality between each pixel and its associated analog-to-digital converter) Signal path) transmission. As explained herein, each pixel has multiple signals or electrical connections, such as gates, control signals, power supplies, and ground. For a practical and cost-effective assembly, the interconnect density is too high to connect each of these signals to each pixel individually. Preferably, most or all of these signals are connected together between adjacent pixels and brought to a convenient location, such as near the edge of a sensor in which an external electrical connection can be formed. For example, as indicated in FIG. 3a, signals RD, RG, and VOD are transmitted by a metal conductor (signal line) 319 from a control circuit area 318 to pixels in each column. In an actual device, there may be more than three signals connected together between pixels, but the three signals are shown here to illustrate the principle. Wiring, solder balls or solder bumps (as described below with reference to FIG. 3c) or other techniques may be used to form external connections to signals such as RD, RG, and VOD. As shown in FIG. 3a, the connections between signals can be formed mainly or exclusively in a direction such as the horizontal direction shown to simplify interconnection and allow only a single metal layer to be used. For example, one sufficiently large area outside the active area of the sensor or two or more metal layers can be used to easily form interconnects in two dimensions if additional cost can be adjusted. Compared with the common signal line of the sensor circuit 310, as indicated in the upper part of FIG. 3a, the various types of ratio-to-digital converters 325-11 to 325-44 of the signal processing circuit 320 are through a separate conductor (signal line) 329 is coupled to the processing circuit 328-1 to maximize data transfer and processing. FIG. 3c illustrates an exemplary electronic detector 300A including an electronic sensor 310A, an ASIC (signal processing circuit) 320A, and a substrate 301. The substrate 301 provides mechanical support to the electronic detector 300A and allows external electrical connection to the electronic detector 300A (not shown in the figure). The substrate 301 may include silicon or a ceramic material. The electronic sensor 310A and the ASIC 320A are fabricated on separate silicon substrates (die or wafer), and then these separate silicon substrates are stacked on top of each other, as shown in the figure. Alternatively, the electronic sensor 310A and the ASIC 320A may be placed on opposite sides of the substrate 301 or placed side by side on the substrate 301 (not shown in the figure). The electronic sensor 310A is preferably a multi-pixel electronic sensor similar to the multi-pixel electronic sensor depicted in FIGS. 3a and 3b, and even more preferably includes, for example, the following (for example) with reference to FIG. 4a And the pixels described in FIG. 4b. During operation, the electronic detector 300A is positioned so that the electronically sensitive surface 312-ES faces a sample or other electron source, whereby the detected electrons are incident on the electronically sensitive surface 312-ES and are treated as described herein Detect. The electronic sensor 310A is electrically connected to the ASIC 320A through solder balls or solder bumps 306. In a preferred embodiment, an associated solder ball/solder bump 306 transmits the output signal generated by each pixel 315 of the electronic sensor 310A to an associated analog-to-digital converter 325 of the ASIC 320A. For example, an associated conductor transmits the output signal OS11 generated by the pixel 315-11 to a first pad 309 disposed on the lower surface of the sensor 310A, and the associated solder ball/solder bump 306-11 will The output signal OS11 is transmitted from the first pad 309 to a second pad disposed on the ASIC 320A, and the output signal OS11 is transmitted from the second pad to the input terminal of the associated analog-to-digital converter 325-11. One or more solder balls/solder bumps 306 may also be used to transmit signals from the ASIC 320A (eg, from the circuit 328) to the control circuit 318 of the sensor 310A. These balls or bumps also provide mechanical support to the electronic sensor 310A and provide thermal conductivity to the electronic sensor 310A. Solder balls or solder bumps may be used instead to mount the electronic sensor 310A directly to the substrate 301 (not shown in the figure). Metal pads may also be provided on the electronic sensor 310A to enable wiring to provide electrical connection to the electronic sensor 310A (eg, to the surface 312-ES of the electronic sensor 310A). The ASIC 320A may be directly mounted to the substrate 301 (as shown in the figure), or may be mounted and electrically connected to the substrate 301 by solder balls or solder bumps (not shown in the figure). If the ASIC 320A includes TSVs, solder balls or solder bumps can be used on both sides of the ASIC 320A. Metal pads 307 and 327 and/or wiring 339 may be used to form an electrical connection between ASIC 320A and substrate 301. A similar wiring connection may be formed between the sensor 310A and the substrate 301, or all connections between the substrate 301 and the sensor 310A may be formed through the ASIC 320A. The ASIC 320A may include a single ASIC or two or more ASICs. For example, in one embodiment, the ASIC 320A may include two ASICs, one ASIC mainly contains analog functions and the other ASIC mainly contains digital functions. Additional integrated circuits (such as an optical fiber transmitter or an optical fiber receiver (not shown)) can also be mounted on the substrate 301. The ASIC 320A preferably includes an analog-to-digital converter 325 configured to digitize the output signal from the pixel 315 of the electronic sensor 310A. In one embodiment, the ASIC 320A includes an analog-to-digital converter 325 for each pixel 315 so that all pixels 315 can be digitized in parallel at a high speed (such as at a rate of 100 MHz or higher). Each pixel 315 can use a high digitization rate (such as 100 MHz or higher) to detect at most several electrons per clock cycle. Therefore, each type of digital-to-digital converter 325 may only require 8, 6 or more Less bits. It is easier to design a converter with a smaller number of bits to operate at high speed. An analog-to-digital converter with a smaller number of bits can occupy a small area of silicon so that an ASIC can actually have a larger number, such as 1024 or greater. ASIC 320A preferably implements part of the method shown in FIG. 2. For example, when the electron detector 320A is used as a backscattered electron detector, the ASIC 320A may implement step 208, or when the electron detector is used as a secondary electron detector, the ASIC 320A may implement step 212 . The ASIC 320A may further incorporate a circuit to generate the first pixel clock signal or the second pixel clock signal described in FIG. 2, or may receive a pixel clock signal from an external circuit. When the electron detector 300A is used as a secondary electron detector, the ASIC 320A can implement a secondary electron solution similar to the secondary electron solution scan performed by the electron optical device in the '791 patent cited above. scanning. The ASIC 320A may sum the signals from a group of pixels (which correspond to secondary electrons emitted from the sample to an angular range) and output the sum as a signal. As the electron beam deflection changes, the ASIC 320A can add up a different group of pixels with a changed deflection that roughly corresponds to the same angular range. Since the same main clock is used to generate or synchronize the electron beam deflection and the first pixel clock and the second pixel clock are generated or synchronized, ASIC 320A has to adjust which group of pixels are added together to combine with the electron Timing information required for beam deflection scanning synchronization. When the electron current is low and the pixel clock rate is high enough so that the average number of electrons per pixel is significantly less than 1, the charge collected in a single pixel in a single period of the pixel clock cycle can be used to determine whether it is in the An electron is detected in the pixel during the clock cycle, and if it has been detected, it is determined that one of the electrons has similar energy. The boron coating on the surface of the electronic sensor needs to achieve this capability. Without a boron coating, when the incident electron energy is less than about 1 keV, little or no electrons are generated per incident electron. With a boron coating about 5 nm thick, about 100 electrons are generated for every 1 keV of incident electrons. If the capacitance of the floating diffusion is small enough to generate more than about 10 μV per electron, this signal can be detected to be higher than the noise level. In an embodiment, the capacitance of the floating diffusion is small enough so that the floating diffusion generates more than about 20 μV per electron. For these low-level signals, it is important to couple each pixel to the corresponding analog-to-digital converter by a path as short as possible to keep the noise level low and the stray capacitance low. Attaching the electronic sensor directly to the ASIC allows a very short path from each pixel to one of the corresponding analog-to-digital converters. When an individual electron can be detected, the ASIC 320A can use the signal level to determine the approximate energy of one of the electrons. The ASIC 320A can further limit, count or square the incident electrons according to the energy of the incident electrons to detect or classify one or more types of defects or materials on the sample. 4a and 4b are respectively an exploded perspective view of a simplified pixel 400 of an electronic sensor (such as the sensor 310 described above with reference to FIG. 3a) according to another exemplary specific embodiment of the present invention and Combination perspective. Similar to the pixels described above, the pixel 400 preferably has a size (nominal lateral dimension) between about 200 μm and about 250 μm. 4a, similar to the pixel features mentioned above, the pixel 400 includes: a p-type electron sensitive layer 457A; an n-type buried channel layer 455, which is disposed above the p-type electron sensitive layer 457A; An n+ floating diffusion FD, which is formed in the n-type buried channel layer 455; an amplifier 410; and an optional pure boron layer 460, which is disposed under the p-type electron sensitive layer 457A. The buried channel layer 455 and the electron sensitive layer 457A are disposed in an epitaxial silicon layer 457 so that an upper layer of the buried channel layer 455 coincides with a top (first) surface 457-S1 of one of the epitaxial silicon layer 457 (The top (first) surface 457-S1 of the epitaxial silicon layer 457 is formed), and the electron sensitive layer 457A includes a bottom (electronic sensitive) surface 457-S2 disposed on one of the buried channel layer 455 and the epitaxial silicon layer 457 A part of the epitaxial silicon layer 457. The epitaxial silicon layer 457 has a thickness preferably between about 10 μm and about 100 μm, and is slightly p-doped so that in one embodiment, the resistivity is between about 10 Ω·cm to about 2000 Ω·cm between. A thicker epitaxial layer provides greater mechanical strength, but can generate greater dark current. A layer thicker than about 20 μm or about 30 μm requires a lower doping level (higher resistivity) to maintain a fully depleted state in the bulk of silicon. A doping level that is too low is not preferable because it will result in a higher dark current. The buried channel layer 455 is created under the top surface 457-S1 of the epitaxial silicon layer 457 by n-type doping diffusion using known technology. The doping concentration of the buried channel layer 455 must be an order of magnitude greater than the doping concentration in the epitaxial silicon layer 457, so that the epitaxial silicon layer 457 is completely depleted during operation. In a preferred embodiment, the concentration of the n-type dopant in the buried channel layer 455 is about 1016 cm-3 Up to about 5×1016 cm-3 between. The floating diffusion FD includes a relatively small n+ doped region disposed in the buried channel layer 455, which is configured to collect electrons generated in the pixel 400 in response to incident backscatter or secondary electrons. In a preferred embodiment, the floating diffusion FD has a nominal lateral size between about 1 μm and about 5 μm, and the concentration of the n-type dopant in the floating diffusion FD is about 1019 cm-3 Up to about 10twenty one cm-3 between. A connection to the floating diffusion FD is formed using known techniques to transfer stored charge to the amplifier 410. The pure boron layer 460 is preferably deposited on the back surface or bottom surface 457-S2 of the epitaxial silicon layer 457. The boron layer 460 is preferably between about 2 nm to about 10 nm thick, such as one of about 5 nm thick. As explained in US Patent Application 13/792,166 (as cited above), during the boron deposition process, some boron diffuses a few nanometers into the epitaxial silicon layer 457 to form a thin layer adjacent to the pure boron layer 460 Very highly doped p+ layer. This p+ layer is important for optimal operation of the sensor. This p+ layer generates an electric field that drives electrons towards the buried channel 455, reduces dark current from the backside of the epitaxial silicon layer 457, and increases the conductivity of the silicon surface to allow the sensor to respond to high incident electron current and low current run. In one embodiment, during the deposition of the pure boron layer 460, additional boron is allowed to diffuse into the silicon. This can be done by one of several methods. In an exemplary method, a boron layer thicker than the final desired thickness is deposited (for example, when a final thickness of 5 nm is required, a 6 nm to 8 nm layer can be deposited), and then by keeping the sensor in the deposition A temperature or a higher temperature (such as between about 800°C and about 950°C) for several minutes to allow boron to diffuse into the silicon epitaxial layer 457. In another exemplary embodiment, a boron layer with a thickness of several nanometers can be deposited on the silicon, then boron can be driven at the deposition temperature or a higher temperature, and then the final desired thickness of boron (such as 5 nm). According to one aspect of this embodiment, the amplifier 410 is formed in and above an elongated p-well region 459, the p-well region 459 extends vertically from the top surface 457-S1 into the electron sensitive layer 457A, and It extends outward from a point adjacent to the central region C of the pixel (ie, toward the outer peripheral edge 455-OPE of the n-type buried channel layer 455). It should be noted that the p-well region 459 is shown separated from the silicon epitaxial layer 457 in FIG. 4a for descriptive purposes, but in fact, the p-well region 459 includes one of the p-type doped regions of the silicon epitaxial layer 457. In alternative embodiments, the p-well 459 is completely contained within the square peripheral boundary of the pixel 400, or extends across the peripheral boundary (eg, into an adjacent pixel). In one embodiment, the p-well 459 is formed by implanting boron with a concentration substantially higher than the dopant concentration in the silicon epitaxial layer 457, and then the n-type channels of various transistors of the amplifier 410 are made The region 412 is formed in the p-well 459, whereby the p-well 459 is used to prevent electrons from directly migrating from the epitaxial silicon layer into the channel region 412. In one embodiment, the p-well extends below the channel region of the floating diffusion region and the pixel reset transistor (discussed below with reference to FIG. 7) to prevent electrons from directly migrating from the epitaxial silicon layer into the floating diffusion region. As indicated in Figure 4a, one or more dielectric layers 454 cover the buried channel. The dielectric layer 454 may include a single silicon dioxide layer, a silicon nitride layer on top of the silicon dioxide layer, or a silicon oxide layer on top of the silicon nitride layer on top of the silicon dioxide layer. The thickness of individual layers may be between about 20 nm and about 50 nm. According to another aspect, the pixel 400 further includes a resistive gate 451 including one or more polysilicon or non-crystalline silicon disposed on the dielectric layer(s) 454 and configured to cover most of the upper surface 457-S1 Crystal silicon gate structure 470. As indicated in FIG. 4a, the resistive gate 451 includes an outer peripheral edge 451-OPE that is substantially aligned with the periphery of the pixel 400 (ie, substantially aligned with the outer peripheral edge 455-OPE of the buried channel layer 455 Quasi), and defines a central opening 451-CO, such that an inner peripheral edge 451-IPE of the resistive gate 451 (ie, the inner edge of the gate structure 470) substantially surrounds the central pixel region C and is transverse to the central pixel region C Spaced apart (as indicated in Figure 4b). In one embodiment, the gate structure 470 includes polysilicon, which has a relatively light doping level (eg, having a resistivity higher than about 30 Ω/cm), so that the inner peripheral edge 451-IPE When a reduced potential difference is applied to the outer peripheral edge 451-OPE, the resistive gate 451 generates an associated electric field that makes the buried channel layer 455 in the manner described below with reference to FIGS. 5a and 5b The electron bias is directed toward the central area C of the pixel. To facilitate the operation of the resistive gate 451 so that electrons are biased from all peripheral lateral regions of the pixel 400 toward the central region C to be collected by the floating diffusion FD, the resistive gate 451 also includes along and adjacent to the outer peripheral edge 451-OPE And the inner peripheral edges 451-IPE are arranged on the gate structure 470 of the elongated conductors (such as metal wires) 471 and 472. As described below, a negative potential relative to one of the elongated conductors 472 is applied to the elongated conductor 471, such as a voltage of -5 V. The resulting potential difference between conductors 471 and 472 produces a reduced potential in one of the gate structures 470 in a substantial radial direction (ie, between the inner peripheral edge 451-IPE and the outer peripheral edge 451-OPE), the reduced The small potential drives the electrons in the buried channel (see FIG. 4b) toward the floating diffusion FD. An additional connection to the gate structure 470 may be provided between the conductors 471 and 472 and maintain a potential intermediate between the potentials applied to the conductors 471 and 472 in order to modify the potential gradient in the resistive gate 451. Additional details regarding the composition of the resistive gate 451 can be found in US Patent Application 11/805,907, entitled "Inspection System Using BackSide Illuminated Linear Sensor" and filed by Armstrong et al. on May 25, 2007. The entire text of this patent application is incorporated herein by reference. According to another aspect, the pixel 400 further includes one or more optional additional gate structures, which are disposed between the resistive gate 451 and the floating diffusion FD to further drive electrons onto the floating diffusion FD or control when Collect/accumulate such electrons on the floating diffusion FD. For example, the pixel 400 includes a C-shaped highly doped poly gate structure 453, which is disposed on the dielectric layer 454 and the inner peripheral edge 451-IPE of the resistive gate 451. A constant or switching voltage can be applied to the gate structure 453 to control and ensure that charge is efficiently transferred from the portion of the buried channel layer 455 under the resistive gate 451 to the floating diffusion FD. In one embodiment described below with reference to FIGS. 5a and 5b, the gate structure 453 is used as a summing gate, a low voltage (such as 0 V (relative to the bottom surface 457-S2 or boron layer is applied during reset 460) is applied to the summing gate, and a high voltage (such as 10 V) is applied to the summing gate during readout. In addition to the summing gate 453, one or more additional gates (such as (A buffer gate, a transfer gate, and an output gate) can also be formed by an associated additional gate structure placed between the resistive gate 451 and the floating diffusion FD. These gates are already known in CCD technology It is well known and can be operated in a similar manner in this electronic sensor. See, for example, "Scientific Charge-Coupled Devices" by JR Janesick, SPIE Press, 2001, pages 156 to 165. Figure 4b shows a simplified pixel 400 in a part of the combined state. As indicated in the figure, most of the pixel 400 (ie, most of the top surface 457-S1) is covered by the amorphous silicon or polysilicon gate structure 470 forming the resistive gate 451. For illustration purposes, the exposed area above the p-well area 459 (indicated by the dotted frame) is indicated as empty, but in fact, the exposed area includes various types of transistors associated with the amplifier 410 and a reset transistor RT Connection structure and gate. An exemplary layout of these structures and gates is provided below with reference to FIG. 7. In one embodiment (not shown), the sum gate 453 overlaps the inner peripheral edge 451-IPE of the resistive gate 451 (ie, extends over the inner peripheral edge 451-IPE of the resistive gate 451 and is formed by a It is suitable for insulator separation to keep the inner peripheral edge 451-IPE of the sum gate 453 and the resistance gate 451 electrically isolated). This overlapping configuration prevents the fringing electric field in the silicon below the gap between the two gate structures. These fringe fields can trap electrons in buried channels or cause electrons to move in unexpected directions. 5a and 5b show a simplified cross-sectional view of a pixel 400 during an exemplary detection/readout cycle (operation), where FIG. 5a depicts resetting the floating diffusion to a reset voltage (ie, OS400 Equal to a reset voltage level VRST ) At a time T0 or resetting the floating diffusion to the pixel 400 at an instant time T0 immediately after the reset voltage, and FIG. 5b depicts the readout of the output signal OS in the manner described above400 Time (ie, OS400 Is equal to a voltage level V determined by the number of electrons accumulated on the floating diffusion FD between time T0 and T1FD Time) one of the pixels 400 at a subsequent time T1. It should be noted that the individual layers shown in FIGS. 5a and 5b are not drawn to scale, but are enlarged to show these individual layers more clearly. Referring to FIG. 5a, the back surface coated with the pure boron layer 460 is preferably maintained to have a potential similar to the outer edge of the resistance gate (such as 0 V in this example). Since the boron is conductive and the silicon directly under the pure boron layer 460 is highly doped with boron, the back surface can be sufficiently conductive so that a low enough impedance path is provided at one or several locations connected to it The incident current (such as a current of about 10 nA to about 50 nA) operates the sensor. The electric field formed by this equipotential difference drives electrons (such as E460) generated in the epitaxial silicon (electron sensitive) region 457A by backscattering or secondary electrons incident on the sensor through the pure boron layer 460 toward the buried channel 455, as shown by the arrow on the electronics. A potential difference is applied by the conductors 471 and 472 to the resistive gate 451 between the outer edge of the pixel 400 and the inner edge of the gate structure 470. In one example, 0 V is applied to the outer edge by conductor 471, and 5 V is applied to the inner edge by conductor 472, as shown in the figure. The resulting potential difference in the gate structure 470 generates an electric field that drives electrons (such as E451) disposed in the buried channel 455 toward the center of the pixel 400 (ie, causes these electrons to move toward the floating diffusion FD). In the example depicted in FIGS. 5a and 5b, the summing gate 453 is used to control the electrons and drive them into the floating diffusion FD. For example, as indicated in FIG. 5a, when the transfer of electrons to the floating diffusion FD is blocked (for example, during reset), the voltage applied to the summing gate is significantly lower than the voltage applied to the conductor 472, thereby The electric field prevents electrons from easily flowing to the floating diffusion FD and causes the electrons to accumulate in the buried channel 455 under 472 (as indicated by electron E451). Conversely, as indicated in FIG. 5b, when transferring electrons to the floating diffusion FD (for example, just before readout), the summing gate 453 receives a relatively high positive potential (relative to the application to the conductor 472 A voltage of, for example, 10 V, relative to 5 V applied to the conductor 472, as shown in the figure) to cause electrons (such as E453) under the conductor 472 to move toward the floating diffusion FD. Since the floating diffusion FD acts as a capacitor, the voltage V on the floating diffusion FD during readingFD It becomes more negative as more charges (electrons) accumulate. For small signals, the voltage VFD The change is proportional to the accumulated charge (ie, the capacitance of the floating diffusion FD is substantially constant), but as the amount of charge increases, the capacitance change and voltage increase are no longer linear. Although operation in a linear system is generally preferred, in one embodiment, operation in a nonlinear system can be used to compress a high dynamic range signal. Since the sensitivity (charge-to-voltage conversion rate) and rate depend on the capacitance of the smaller floating diffusion FD, it is generally preferable to keep the floating diffusion FD as small as practical and to make the structure connected to the floating diffusion FD ( It includes resetting the size of the channel of the transistor and the connection to the gate of transistor M1 (and therefore the capacitance) to a minimum. It should be noted that the voltage values quoted in the examples above are only examples. Different values can be used, and the optimal value depends on many factors including the desired rate of operation of the sensor, the geometry of one or more gates, the doping profile, and the thickness of the dielectric layer(s) 454. It should also be noted that it is often convenient to define the back side of a sensor (ie, the electronically sensitive side) as 0 V (note that if the electronic detector is floated at a potential other than ground, this voltage Can be away from ground potential), and conductor 471 will preferably be connected to a similar potential. In an alternative embodiment, instead of switching the voltage across the reset transistors and various gates of each pixel, the reset transistors and various gates are kept at a fixed potential so that the epitaxial silicon (electronically sensitive) region 457A The generated electrons can continuously flow to the floating diffusion FD. In this mode, the voltage on the reset gate RG (Figure 3b) must be maintained to cause the reset transistor RT to be in a high resistance, partially conductive state (such as a channel between approximately 500 kΩ and several MΩ Resistance) instead of "cutting off" (which corresponds to a channel resistance of hundreds of MΩ or higher) or "turning on" (which corresponds to a channel resistance of several kΩ or lower). In this embodiment, one or several gates between the inner peripheral edge of the resistive gate 451 and the floating diffusion FD must be kept to have successively higher voltages (all higher than the voltage of the conductor 472), so that the buried type The electrons in the channel 455 will be driven toward the floating diffusion FD. For example, if the conductor 472 has a potential of 5 V, the summing gate 453 can be kept at a voltage of 6 V. If there is another gate (not shown in the figure) between the inner peripheral edge of the resistance gate 451 and the sum gate 453, the other gate (for example) can be kept at 6 V and the sum gate The 453 remains at 7 V. The reset drain RD must be kept at a positive voltage significantly greater than one of the innermost gates (such as the summed gate 453) in order to keep the floating diffusion FD at a significantly high potential relative to one of all gates to attract the buried type The electron in the channel. For example, the reset drain RD can be kept at 15 V. It should be easy to understand that resetting the channel of the transistor RT and the capacitance of the floating diffusion FD forms an RC time constant that determines how quickly a voltage on the floating diffusion FD decays back to reset after electrons reach the floating diffusion FD Drain RD voltage. For example, if the analog-to-digital converter samples each pixel at 100 MHz (ie, every 10 ns), an RC time constant of about 20 ns or about 30 ns may be appropriate. In this example, if the capacitance of the floating diffusion is about 10 fF, the voltage of the reset gate RG should be set so that the resistance of the channel of the reset transistor RT is about 2.5 MΩ to give a time constant of about 25 ns . This embodiment can be performed by the sensors disclosed herein because each pixel is connected to its own analog-to-digital converter. In a conventional two-dimensional CCD or CMOS image sensor, charge needs to be stored and the charge is continuously read out when the number of analog-to-digital converters is less than the number of pixels. In addition, conventional CMOS image sensors use transistors and gates with surface channels instead of buried channels. Compared with buried channels, surface channels generate noise and cannot transfer small charges without loss. FIG. 6 shows a simplified plan view of a portion of pixel 400A, and in particular, shows a floating diffusion FD utilized by pixel 400A, an amplifier 410A, and a reset transistor RT according to an exemplary particular embodiment of the present invention. One of the exemplary layouts. In one embodiment, the pixel 400A is substantially the same as the pixel 400 described above (ie, where the floating diffusion FD is located in a central area of the pixel 400A), therefore, for simplicity, the unillustrated pixel 400A is omitted示段。 Show part. In FIG. 6, the doped region (for example, the floating diffusion FD) is indicated by a dot-shaped hatched region, the conductive structure (for example, polysilicon or metal) is indicated by the diagonal region, and the vertical metal via is indicated by the box containing the "X" symbol . It should be noted that the various amplifier polysilicon or metal structures are separate (ie, not contiguous), and standard techniques are used to pattern and interconnect the various amplifier polysilicon or metal structures. In this example, the reset transistor RT is placed directly under the floating diffusion FD, and the amplifier 410A includes transistors M1, M2, and M3 connected and operating in a manner similar to that described above with reference to FIG. 3b . For clarity, additional connections and vias associated with the structure shown in FIG. 6 are omitted. Referring to the upper part of FIG. 6, the floating diffusion FD is arranged adjacent to the p-well region 459A, which is formed in the manner described above and includes the transistor M1 to the reset transistor RT and the amplifier 410A Various n-type channel regions associated with M3. For example, the reset transistor RT includes an N-type channel region 412ART It is placed in the p-well region 459A directly under the floating diffusion FD and connected to the floating diffusion FD and receives the reset voltage RD, and includes a gate structure controlled by the reset gate signal RG. Transistor M1 includes an N-type channel region 412A located immediately below reset transistor RT in p-well region 459AM1 And includes: a gate structure connected to the floating diffusion FD; a drain structure connected to the system voltage VOD; and a source structure connected to a drain structure of the transistor M2 and the transistor M3 One gate structure. Transistor M2 includes an N-type channel region 412A located immediately below transistor M1 in p-well region 459AM2 And includes a gate structure and a source structure connected to ground. Transistor M3 includes an N-type channel region 412A located immediately below transistor M2 in p-well region 459AM3 And includes: a drain structure connected to the system voltage VOD; and a source structure used in a configuration similar to the configuration shown and described with reference to FIG. 3c by a metal pad or solder ball / Solder bump 406 and output signal OS of one of the pixels 400A400A To an associated analog-to-digital converter. It should be noted that the metal pad for the OS may be located at a position away from the center of the pixel 400A, and in one embodiment, may cover a portion of one or more adjacent pixels. In one embodiment, the reset transistor RT is controlled to use a reset gate voltage RG having a sufficiently large positive value to discharge the floating diffusion FD to the reset voltage RD to turn on the reset transistor RT. The RD should have a positive value greater than the voltage applied to various pixel gates (such as the resistive gate 451 and the sum gate 453 described above with reference to FIGS. 4a and 4b). For example, referring to the example shown in FIG. 5b (where 10 V is used to control the summing gate 453), the reset drain voltage RD may have a voltage value between about 15 V and about 20 V. The reset transistor RT needs to be turned on periodically to discharge the electrons that have accumulated in the floating diffusion FD. When the incident electron current that hits the pixel is small, there is no need to discharge the floating diffusion area each time the pixel is read out (resetting the floating diffusion area). When the incident current is high, it is necessary to reset the floating diffusion FD in every pixel clock cycle. FIG. 7 shows a partially simplified example sensor 700 configured according to another embodiment of the present invention, and depicts an alternative layout pattern in which the p-well area 759-1 of the pixel 740-1 extends to an otherwise adjacent pixel In the space occupied by 740-2, at least one control signal utilized by the pixel 740-1 is connected to a signal line 719-21 across a neighboring pixel 740-2. The p-well region and signal line discussed in this example are formed and operated in a manner similar to the p-well region 459 and signal line 319 discussed in additional detail above with reference to FIGS. 4a and 3a, respectively. It should be noted that the metal wiring harnesses 719-1 and 719-2 extend over all other structures and are separated from the underlying polysilicon structure (such as the resistive gate 770-1) by a borophosphosilicate glass layer or other dielectric materials, And through the metal via (not shown in the figure) to connect to the underlying structure. It should also be noted that, for clarity and conciseness, some structures of the pixels 740-1 and 740-2 described above are omitted in FIG. 7. As mentioned above, in addition to the central area (ie, used to allow access to the floating diffusion area) and the area in which a p-well is formed, it is used to generate a resistive gate in each pixel (and any The amorphous or poly gate structure of the additional gate, such as the sum gate 453), substantially completely covers the pixel area. In the example described above with reference to FIGS. 4a and 4b, the p-well region 459 is completely disposed within the square boundary of each pixel, and therefore, the resistance gate and the summing gate completely extend around the remaining periphery of the pixel 400. However, in some cases, the M3 amplifier transistor needs to cause it to extend beyond the width of one of the lower pixel boundaries. To accommodate the extended M3 transistor shape, the pixel of the sensor 700 is configured to share a portion of its space with an adjacent pixel. Specifically, in order to provide space for both its own elongated p-well region 759-1 and the portion of the p-well region 759-0 extending downward from the upper pixel (not shown in the figure), the resistance of the pixel 740-1 The gate structure 770-1 is formed into a substantially "H" pattern. Similarly, the resistance gate structure 770-2 of the pixel 740-2 is formed in the same "H"-shaped pattern to accommodate the portion below the p-well 751-1 and the portion above the p-well region 759-1. As also discussed above, the pixels in each column of the sensor 700 share a common signal line that extends along the entire column to a peripheral positioning control circuit (not shown). In the case shown in FIG. 7, the signal harness 719-1 extends over the column including the pixel 740-1, and the signal harness 719-2 extends over the column including the pixel 740-2. Due to the extension of the p-well region into adjacent pixels, in some cases, the signal connection from the signal harness extending over an adjacent pixel is efficiently provided. For example, the signal line 719-21 is connected to a transistor structure (not shown in the figure) disposed in the p-well region 759-1 through the conductor 719-21A, thereby connecting a signal (for example, 0 V/ground) from The signal harness 719-2 passing over the adjacent pixel 740-2 is provided to the pixel 740-1. Similarly, the signal line 719-11 of the signal harness 719-1 provides a signal to a transistor structure (not shown in the figure) disposed in the p-well region 759-0. FIG. 7 also depicts one of the preferred positions of the solder bumps/solder balls 7061 and 706-2 in the pixels 740-1 and 740-2 (ie, in the lower left quarter of each pixel area). It should be noted that the size of the solder bumps/solder balls 7061 and 706-2 in pixels 740-1 and 740-2 are substantially accurate for a 250 μm nominal lateral (eg diagonal) pixel size and a Standard solder bumps/solder balls. In alternative embodiments having pixels of different sizes or solder balls or solder bumps of different sizes, the relative sizes of the pads and pixels may be significantly different from the relative sizes depicted in FIG. 7. In one embodiment, the electronic detector described herein can also detect X-rays. If one of the X-rays emitted by the sample has sufficient energy (such as an energy of about 1 keV or higher), it can generate enough electrons when it is absorbed in the electronic sensor to be detected. The system and method described in this article can be used with any of the systems and methods described in the following: The name is "Tilt-Imaging Scanning Electron Microscope" and was applied by Jiang et al. on March 18, 2013 U.S. Published Patent Application 2014/0151552; U.S. Published Patent Application 2013/0341504 named "Auger Elemental Identification Algorithm" and filed by Neill et al. on June 7, 2013; named "Charged-particle energy analyzer" and U.S. Published Patent Application 2011/0168886 filed by Shadman et al. on March 17, 2011; and entitled "Use of design information and defect image information in defect classification" and by Abbott et al. on February 16, 2009 US published patent application 2010/0208979. All such applications are incorporated herein by reference. The various embodiments of the structure and method of the present invention described above merely illustrate the principles of the present invention and are not intended to limit the scope of the present invention to the specific embodiments described. For example, the size, shape, and layout of structures within a pixel can be significantly different from the size, shape, and layout shown herein. For example, an amplifier in a single pixel may include one, two, or three stages. More or fewer gates can be used to control the transfer of charge to the floating diffusion. The ASIC in the electronic detector may further include an FPGA or a digital signal processor to implement algorithms for processing or analyzing signals from the detector. The ASIC may also include a serial transmitter circuit and/or a serial receiver circuit to send data to an image processing computer and/or receive commands. Therefore, the scanning electron microscopes, sensors, and methods described herein are not intended to be limited to the specific embodiments shown and described, but should be given the most consistent with the principles and novel features disclosed herein Wide range.

100‧‧‧掃描式電子顯微鏡(SEM) 101‧‧‧陰極 102‧‧‧引出及聚焦電極 105‧‧‧偏轉器 107‧‧‧聚光透鏡 109‧‧‧偏轉器 110‧‧‧最終(浸沒)透鏡 120‧‧‧電極 121‧‧‧二次電子偵測器 122a‧‧‧回散射電子偵測器 122b‧‧‧回散射電子偵測器 123‧‧‧固態感測器 124‧‧‧整合半導體結構 125‧‧‧n型埋入式通道層 126‧‧‧類比轉數位轉換器 127‧‧‧p型電子敏感層 127-B‧‧‧頂面 127-F‧‧‧前側表面/底面 128‧‧‧純硼層 129‧‧‧放大器 130‧‧‧平台 131‧‧‧樣本 140‧‧‧電子槍/電子源 141‧‧‧上行 142‧‧‧下行 150‧‧‧原電子束 160‧‧‧系統電腦 200‧‧‧方法 201‧‧‧步驟 202‧‧‧步驟 204‧‧‧步驟 206‧‧‧步驟 208‧‧‧步驟 210‧‧‧步驟 212‧‧‧步驟 214‧‧‧步驟 216‧‧‧步驟 300‧‧‧多像素電子偵測器 300A‧‧‧電子偵測器 301‧‧‧基板 306‧‧‧焊料球/焊料凸塊 306-11‧‧‧焊料球/焊料凸塊 307‧‧‧金屬墊 309‧‧‧第一墊 310‧‧‧感測器電路/感測器 310A‧‧‧電子感測器 311‧‧‧矽結構(晶片)/基板 312‧‧‧磊晶層 312A‧‧‧p型電子敏感區域 312-ES‧‧‧電子敏感(前側)表面 313‧‧‧硼層 315‧‧‧像素 315-11至315-14‧‧‧像素 315-21至315-24‧‧‧像素 315-31至315-34‧‧‧像素 315-41至315-44‧‧‧像素 316‧‧‧n型埋入式通道層 317‧‧‧放大器 318‧‧‧控制電路/控制電路區域 319‧‧‧金屬導體/信號線 320‧‧‧信號處理電路 320A‧‧‧專用積體電路(ASIC)/信號處理電路 321‧‧‧矽結構(晶片)/半導體基板 325‧‧‧類比轉數位轉換器 325-11至325-14‧‧‧類比轉數位轉換器 325-21至325-24‧‧‧類比轉數位轉換器 325-31至325-34‧‧‧類比轉數位轉換器 325-41至325-44‧‧‧類比轉數位轉換器 327‧‧‧金屬墊 328‧‧‧電路 328-1‧‧‧信號處理電路 328-2‧‧‧資料傳輸電路 329‧‧‧導體 339‧‧‧接線 400‧‧‧像素 400A‧‧‧像素 406‧‧‧焊料球/焊料凸塊 410‧‧‧放大器 410A‧‧‧放大器 412‧‧‧n型通道區域 412AM1‧‧‧N型通道區域 412AM2‧‧‧N型通道區域 412AM3‧‧‧N型通道區域 412ART‧‧‧N型通道區域 451‧‧‧電阻閘極 451-CO‧‧‧中央開口 451-IPE‧‧‧內周邊邊緣 451-OPE‧‧‧外周邊邊緣 453‧‧‧閘極結構/加總閘極 454‧‧‧介電層 455‧‧‧n型埋入式通道層 455-OPE‧‧‧外周邊邊緣 457‧‧‧磊晶矽層 457A‧‧‧p型電子敏感層 457-S1‧‧‧頂部(第一)表面/上表面 457-S2‧‧‧底部(電子敏感)表面 459‧‧‧p井區域/p井 459A‧‧‧p井區域 460‧‧‧純硼層 470‧‧‧閘極結構 471‧‧‧導體 472‧‧‧導體 700‧‧‧感測器 706-1‧‧‧焊料凸塊/焊料球 706-2‧‧‧焊料凸塊/焊料球 719-1‧‧‧金屬線束/信號線束 719-2‧‧‧金屬線束/信號線束 719-11‧‧‧信號線 719-21‧‧‧信號線 719-21A‧‧‧導體 740-1‧‧‧像素 740-2‧‧‧像素 759-0‧‧‧p井區域 759-1‧‧‧p井區域/p井 770-1‧‧‧電阻閘極結構 770-2‧‧‧電阻閘極結構 C‧‧‧中央區域 D‧‧‧缺陷 e125‧‧‧電子 e127‧‧‧電子 eFD‧‧‧電子 eINCIDENT‧‧‧入射電子 E451‧‧‧電子 E453‧‧‧電子 E460‧‧‧電子 FD‧‧‧浮動擴散區 ID1‧‧‧影像資料信號 ID2‧‧‧影像資料信號 IDx‧‧‧數位影像資料信號 M1‧‧‧電晶體 M2‧‧‧電晶體 M3‧‧‧電晶體 OS‧‧‧輸出信號 OS11至OS14‧‧‧輸出信號 OS21至OS24‧‧‧輸出信號 OS31至OS34‧‧‧輸出信號 OS41至OS44‧‧‧輸出信號 OS400‧‧‧輸出信號 OS400A‧‧‧輸出信號 RD‧‧‧信號/重設電壓/重設汲極 RG‧‧‧重設控制信號/重設閘極 RT‧‧‧重設電晶體 T‧‧‧厚度 VFD‧‧‧電荷/電壓 VRST‧‧‧重設電壓位準 VOD‧‧‧信號/電壓源/系統電壓 X1‧‧‧寬度尺寸 Y1‧‧‧尺寸100‧‧‧ scanning electron microscope (SEM) 101‧‧‧ cathode 102‧‧‧ extraction and focusing electrode 105‧‧‧ deflector 107‧‧‧ condenser lens 109‧‧‧ deflector 110‧‧‧ final (immersion ) Lens 120‧‧‧Electrode 121‧‧‧Secondary electron detector 122a‧‧‧Backscatter electron detector 122b‧‧‧Backscatter electron detector 123‧‧‧Solid state sensor 124‧‧‧Integration Semiconductor structure 125‧‧‧n-type buried channel layer 126‧‧‧ analog-to-digital converter 127‧‧‧p-type electronic sensitive layer 127-B‧‧‧top surface 127-F‧front surface/bottom surface 128 ‧‧‧ Pure boron layer 129‧‧‧Amplifier 130‧‧‧ Platform 131‧‧‧Sample 140‧‧‧Electronic gun/electron source 141‧‧‧Up 142‧‧‧Down 150‧‧‧Electron beam 160‧‧‧ System computer 200‧‧‧Method 201‧‧‧Step 202‧‧‧Step 204‧‧‧Step 206‧‧‧Step 208‧‧‧Step 210‧‧‧Step 212‧‧‧Step 214‧‧‧Step 216‧‧ ‧Step 300‧‧‧Multi-pixel electronic detector 300A‧‧‧Electronic detector 301‧‧‧Substrate 306‧‧‧Solder ball/solder bump 306-11‧‧‧Solder ball/solder bump 307‧‧ ‧Metal pad 309‧‧‧First pad 310‧‧‧Sensor circuit/sensor 310A‧‧‧Electronic sensor 311‧‧‧Silicon structure (chip)/substrate 312‧‧‧Epitaxial layer 312A‧ ‧‧P-type electronic sensitive area 312-ES‧‧‧Electronic sensitive (front side) surface 313‧‧‧Boron layer 315‧‧‧ pixels 315-11 to 315-14‧‧‧ pixels 315-21 to 315-24‧‧ ‧Pixel 315-31 to 315-34‧‧‧Pixel 315-41 to 315-44‧‧‧Pixel 316‧‧‧n-type buried channel layer 317‧‧‧Amplifier 318‧‧‧Control circuit/Control circuit area 319‧‧‧Metal conductor/Signal line 320‧‧‧Signal processing circuit 320A‧‧‧Integrated integrated circuit (ASIC)/Signal processing circuit 321‧‧‧Silicon structure (chip)/Semiconductor substrate 325‧‧‧Analog digital Converter 325-11 to 325-14 ‧‧‧ Analog to Digital Converter 325-21 to 325-24 ‧‧‧ Analog to Digital Converter 325-31 to 325-34 ‧‧‧ Analog to Digital Converter 325-41 To 325-44‧‧‧Analog to digital converter 327‧‧‧Metal pad 328‧‧‧ Circuit 328.1‧‧‧ Signal processing circuit 328-2‧‧‧Data transmission circuit 329‧‧‧Conductor 339‧‧‧ Wiring 400‧‧‧Pixel 400A‧‧‧Pixel 406‧‧‧ solder ball/solder bump 410‧‧‧ amplifier 410A‧‧‧ amplifier 412‧‧‧n-type channel area 412A M1 ‧‧‧N Type channel area 412A M2 ‧‧‧N type channel area 412A M3 ‧‧‧N type channel area 412A RT ‧‧‧N type channel area 451‧‧‧Resistance gate 451-CO‧‧‧Central opening 451-IPE‧‧ ‧Inner peripheral edge 451-OPE‧‧‧Outer peripheral edge 453‧‧‧Gate structure/total gate 454‧‧‧Dielectric layer 455‧‧‧n-type buried channel layer 455-OPE‧‧‧Outer Peripheral edge 457‧‧‧ epitaxial silicon layer 457A‧‧‧p-type electronic sensitive layer 457-S1‧‧‧top (first) surface/upper surface 457-S2‧‧‧bottom (electronic sensitive) surface 459‧‧‧ P-well area/P-well area 459A‧‧‧P-well area 460‧‧‧Pure boron layer 470‧‧‧Gate structure 471‧‧‧Conductor 472‧‧‧Conductor 700‧‧‧Sensor 7061‧‧‧‧ Solder bump/solder ball 706-2‧‧‧ Solder bump/solder ball 719-1‧‧‧Metal wire harness/signal wire harness 719-2‧‧‧Metal wire harness/signal wire harness 719-11‧‧‧Signal wire 719- 21‧‧‧signal line 719-21A‧‧‧conductor 740-1‧‧‧pixel 740-2‧‧‧pixel 759-0‧‧‧p well area 759-1‧‧‧p well area/p well 770- 1‧‧‧Resistance gate structure 770-2‧‧‧Resistance gate structure C‧‧‧Central area D‧‧‧Defect e 125 ‧‧‧Electronic e 127 ‧‧‧Electronic e FD ‧‧‧Electronic e INCIDENT ‧ ‧‧Electron E451‧‧‧Electronic E453‧‧‧Electronic E460‧‧‧Electronic FD‧‧‧Floating diffusion area ID1‧‧‧Image data signal ID2‧‧‧Image data signal IDx‧‧‧Digital image data signal M1‧ ‧‧Transistor M2‧‧‧Transistor M3‧‧‧Transistor OS‧‧‧Output signals OS11 to OS14‧‧‧Output signals OS21 to OS24‧‧‧Output signals OS31 to OS34‧‧‧Output signals OS41 to OS44‧ ‧‧ Output signal OS 400 ‧‧‧ Output signal OS 400A ‧‧‧ Output signal RD‧‧‧Signal/Reset voltage/Reset drain RG‧‧‧Reset control signal/Reset gate RT‧‧‧Reset Set transistor T‧‧‧thickness V FD ‧‧‧ charge/voltage V RST ‧‧‧ reset voltage level VOD‧‧‧signal/voltage source/system voltage X1‧‧‧ width dimension Y1‧‧‧ dimension

圖1繪示根據本發明之一實施例之併入一回散射電子偵測器及二次電子偵測器之一例示性SEM。 圖2繪示檢查或審查一樣本之一例示性方法。 圖3a、圖3b及圖3c繪示根據本發明之一實施例之一例示性固態電子偵測器(其包括具有每像素之一輸出的多個像素)之關鍵態樣。 圖4a及圖4b繪示根據本發明之一例示性特定實施例之一單一像素電子感測器之分解及組合前透視圖/俯視透視圖。 圖5a及圖5b係展示操作期間之圖4b之像素的簡化橫截面圖。 圖6係展示根據本發明之一替代實施例之由一像素利用之一放大器之一例示性佈局的一簡化平面圖。 圖7係展示根據本發明之另一替代實施例之一像素佈局的一簡化平面圖。FIG. 1 illustrates an exemplary SEM incorporating a backscattered electron detector and a secondary electron detector according to an embodiment of the invention. FIG. 2 illustrates an exemplary method of inspecting or reviewing a sample. 3a, 3b and 3c illustrate the key aspects of an exemplary solid-state electronic detector (which includes multiple pixels with one output per pixel) according to one embodiment of the invention. 4a and 4b illustrate an exploded and combined front perspective view/top perspective view of a single pixel electronic sensor according to an exemplary specific embodiment of the present invention. 5a and 5b are simplified cross-sectional views showing the pixel of FIG. 4b during operation. 6 is a simplified plan view showing an exemplary layout of an amplifier utilized by a pixel according to an alternative embodiment of the present invention. 7 is a simplified plan view showing a pixel layout according to another alternative embodiment of the present invention.

100:掃描式電子顯微鏡(SEM) 100: Scanning electron microscope (SEM)

101:陰極 101: cathode

102:引出及聚焦電極 102: extraction and focusing electrode

105:偏轉器 105: deflector

107:聚光透鏡 107: Condenser lens

109:偏轉器 109: deflector

110:最終(浸沒)透鏡 110: final (immersion) lens

120:電極 120: electrode

121:二次電子偵測器 121: Secondary electron detector

122a:回散射電子偵測器 122a: backscattered electron detector

122b:回散射電子偵測器 122b: backscattered electron detector

123:固態感測器 123: solid state sensor

124:整合半導體結構 124: Integrated semiconductor structure

125:n型埋入式通道層 125: n-type buried channel layer

126:類比轉數位轉換器 126: Analog to digital converter

127:p型電子敏感層 127: p-type electronic sensitive layer

127-B:頂面 127-B: top surface

127-F:前側表面/底面 127-F: front surface/bottom surface

128:純硼層 128: pure boron layer

129:放大器 129: Amplifier

130:平台 130: platform

131:樣本 131: Sample

140:電子槍/電子源 140: electron gun/electronic source

141:上行 141: Upstream

142:下行 142: Down

150:原電子束 150: original electron beam

160:系統電腦 160: system computer

C:中央區域 C: Central area

D:缺陷 D: Defect

e125:電子 e 125 : Electronics

e127:電子 e 127 : Electronics

eFD:電子 e FD : Electronics

eINCIDENT:入射電子 e INCIDENT : incident electron

FD:浮動擴散區 FD: floating diffusion area

ID1:影像資料信號 ID1: image data signal

ID2:影像資料信號 ID2: image data signal

IDx:數位影像資料信號 IDx: digital image data signal

OS:輸出信號 OS: output signal

VFD:電荷/電壓 V FD : charge/voltage

Claims (21)

一種檢查一樣本之方法,其包括:產生一主時脈信號;產生與該主時脈信號同步之一電子束偏轉掃描;產生與該主時脈信號同步之一第一像素時脈信號;產生一原電子束且將該原電子束聚焦於一樣本上;使用該電子束偏轉掃描來使該原電子束掃描該樣本之一區域;收集來自該樣本之回散射電子於一第一多像素電子偵測器中;在該第一像素時脈信號之各週期中,藉由數位化由該第一多像素電子偵測器之各像素所產生之一第一輸出信號而產生第一數位化回散射信號;及使用該等第一數位化回散射信號來判定該樣本之該區域中是否存在一缺陷,其中收集回散射電子包括致使該等回散射電子通過在該第一多像素電子偵測器之一電子敏感表面上之一純硼層,及其中該第一多像素電子偵測器之該電子之每一者包括一p型電子敏感層、安置於該電子敏感層上之一n型埋入式通道層、安置於該埋入式通道層中之一n+浮動擴散區及耦合至該浮動擴散區之一放大器。 A method for checking a sample, which includes: generating a main clock signal; generating an electron beam deflection scan synchronized with the main clock signal; generating a first pixel clock signal synchronized with the main clock signal; generating An original electron beam and focusing the original electron beam on a sample; using the electron beam deflection scan to cause the original electron beam to scan an area of the sample; collecting backscattered electrons from the sample in a first multi-pixel electron In the detector; in each period of the clock signal of the first pixel, the first digitized back is generated by digitizing a first output signal generated by each pixel of the first multi-pixel electronic detector Scattered signals; and using the first digitized backscattered signals to determine whether there is a defect in the area of the sample, wherein collecting backscattered electrons includes causing the backscattered electrons to pass through the first multi-pixel electron detector A pure boron layer on an electron-sensitive surface, and each of the electrons of the first multi-pixel electron detector includes a p-type electron-sensitive layer and an n-type buried layer disposed on the electron-sensitive layer An in-channel layer, an n+ floating diffusion region disposed in the buried channel layer, and an amplifier coupled to the floating diffusion region. 如請求項1之方法,其進一步包括:產生與該主時脈信號同步之一第二像素時脈信號;將來自該樣本之二次電子收集於一第二多像素電子偵測器中;在該第二像素時脈信號之各週期中,藉由數位化由該第二多像素 電子偵測器之各像素所產生之一第二輸出信號而產生第二數位化回散射信號;及使用該等第一數位化回散射信號及該等第二數位化回散射信號來判定該樣本之該區域中是否存在一缺陷。 The method of claim 1, further comprising: generating a second pixel clock signal synchronized with the main clock signal; collecting secondary electrons from the sample in a second multi-pixel electronic detector; In each period of the clock signal of the second pixel, the second multi-pixel A second output signal generated by each pixel of the electronic detector to generate a second digitized backscatter signal; and using the first digitized backscatter signal and the second digitized backscatter signal to determine the sample Whether there is a defect in this area. 如請求項2之方法,其中收集二次電子包括致使該等二次電子通過形成於該第二多像素電子偵測器之一電子敏感表面上之一第二純硼層。 The method of claim 2, wherein collecting secondary electrons includes causing the secondary electrons to pass through a second pure boron layer formed on an electron sensitive surface of the second multi-pixel electron detector. 如請求項3之方法,其中該第一像素時脈信號及該第二像素時脈信號以相同頻率而產生。 The method of claim 3, wherein the first pixel clock signal and the second pixel clock signal are generated at the same frequency. 如請求項1之方法,其進一步包括自該等第一數位化回散射信號判定一回散射電子之一近似能量。 The method of claim 1, further comprising determining an approximate energy of a backscattered electron from the first digitized backscattered signals. 如請求項5之方法,其進一步包括判定該樣本之該區域中之該缺陷之一類型或一材料。 The method of claim 5, further comprising determining a type or a material of the defect in the area of the sample. 如請求項1之方法,其中產生及聚焦該原電子束包括導引該原電子束至一未經圖案化半導體晶圓、一經圖案化半導體晶圓、一主光罩及一光罩之一者上。 The method of claim 1, wherein generating and focusing the original electron beam includes directing the original electron beam to one of an unpatterned semiconductor wafer, a patterned semiconductor wafer, a main mask, and a mask on. 一種檢查一樣本之方法,其包括:產生一原電子束且將該原電子束聚焦於一樣本上; 收集來自該樣本之回散射電子於一第一多像素電子偵測器中;藉由數位化由該第一多像素電子偵測器之各像素所產生之一輸出信號而產生第一數位化回散射信號;及使用該等第一數位化回散射信號來判定該樣本之一區域中是否存在一缺陷,其中該第一多像素電子偵測器之各該像素包括:一p型電子敏感層,其經組態以回應於經由該電子敏感層之一第一表面進入該電子敏感層之各入射電子來產生多個電子;一n型埋入式通道層,其安置於該電子敏感層之一第二表面上且經組態以收集由該電子敏感層所產生之該等多個電子之至少一些電子;一n+浮動擴散區,其安置於該埋入式通道層中且經組態以累積由該埋入式通道層所收集之該等電子之至少一些電子,使得該浮動擴散區之一電壓改變與累積於浮動擴散區上之該等電子之一數目成比例;及一放大器,其經組態以根據該浮動擴散區之該電壓而產生該輸出信號。 A method for checking a sample, which includes: generating an original electron beam and focusing the original electron beam on the same sample; Collecting backscattered electrons from the sample in a first multi-pixel electronic detector; by digitizing an output signal generated by each pixel of the first multi-pixel electronic detector to generate a first digitized back Scattered signals; and using the first digitized backscattered signals to determine whether a defect exists in a region of the sample, wherein each pixel of the first multi-pixel electron detector includes: a p-type electron sensitive layer, It is configured to generate multiple electrons in response to incident electrons entering the electron sensitive layer through a first surface of the electron sensitive layer; an n-type buried channel layer disposed on one of the electron sensitive layers On the second surface and configured to collect at least some of the plurality of electrons generated by the electron sensitive layer; an n+ floating diffusion region, which is disposed in the buried channel layer and configured to accumulate At least some of the electrons collected by the buried channel layer, such that a voltage change of the floating diffusion is proportional to the number of the electrons accumulated on the floating diffusion; and an amplifier, which is operated by It is configured to generate the output signal according to the voltage of the floating diffusion. 如請求項8之方法,其中收集回散射電子包括致使該等回散射電子通過在該第一多像素電子偵測器之一電子敏感表面上之一純硼層。 The method of claim 8, wherein collecting backscattered electrons includes causing the backscattered electrons to pass through a pure boron layer on an electron sensitive surface of the first multi-pixel electron detector. 如請求項8之方法,其進一步包括:使用一第二多像素電子偵測器收集來自該樣本之二次電子;藉由數位化由該第二多像素電子偵測器之各像素所產生之一第二 輸出信號而產生第二數位化回散射信號;及使用該等第一數位化回散射信號及該等第二數位化回散射信號來判定該樣本中是否存在一缺陷。 The method of claim 8, further comprising: using a second multi-pixel electron detector to collect secondary electrons from the sample; by digitizing each pixel generated by the second multi-pixel electron detector One second Outputting a signal to generate a second digitized backscatter signal; and using the first digitized backscatter signal and the second digitized backscatter signal to determine whether there is a defect in the sample. 如請求項10之方法,其中收集二次電子包括致使該等二次電子通過形成於該第二多像素電子偵測器之一電子敏感表面上之一第二純硼層。 The method of claim 10, wherein collecting secondary electrons includes causing the secondary electrons to pass through a second pure boron layer formed on an electron sensitive surface of the second multi-pixel electron detector. 如請求項8之方法,其進一步包括自該等第一數位化回散射信號判定一回散射電子之一近似能量。 The method of claim 8, further comprising determining an approximate energy of a backscattered electron from the first digitized backscattered signals. 如請求項12之方法,其進一步包括判定該樣本之該區域中之該缺陷之一類型或一材料。 The method of claim 12, further comprising determining a type or a material of the defect in the area of the sample. 如請求項8之方法,其中產生該等第一數位化回散射信號包括利用複數個類比轉數位轉換器,其中該等多個類比轉數位轉換器之一者係可操作地耦合以接收由該第一多像素電子偵測器之一相關聯之像素所產生之一相關聯之該輸出信號。 The method of claim 8, wherein generating the first digitized backscattered signals includes using a plurality of analog-to-digital converters, wherein one of the plurality of analog-to-digital converters is operatively coupled to receive An associated output signal generated by an associated pixel of a first multi-pixel electronic detector. 一種檢查一樣本之方法,其包括:產生一主時脈信號;產生與該主時脈信號同步之一電子束偏轉掃描;產生與該主時脈信號同步之一第一像素時脈信號;產生一原電子束且將該原電子束聚焦於一樣本上; 使用該電子束偏轉掃描來使該原電子束掃描該樣本之一區域;將來自該樣本之回散射電子收集於一第一多像素電子偵測器中;在該第一像素時脈信號之各週期中,藉由數位化該第一多像素電子偵測器之各像素中之該信號而產生第一數位化回散射信號;及使用該等第一數位化回散射信號來判定該樣本之該區域中是否存在一缺陷,其中收集回散射電子包括:利用一第一多像素電子偵測器,該第一多像素電子偵測器在其電子敏感表面上包括一純硼層,及其中該第一多像素電子偵測器之該電子之每一者包括一p型電子敏感層、安置於該電子敏感層上之一n型埋入式通道層、安置於該埋入式通道層中之一n+浮動擴散區及耦合至該浮動擴散區之一放大器。 A method for checking a sample, which includes: generating a main clock signal; generating an electron beam deflection scan synchronized with the main clock signal; generating a first pixel clock signal synchronized with the main clock signal; generating An original electron beam and focus the original electron beam on the same copy; Using the electron beam deflection scan to scan the original electron beam over a region of the sample; collecting backscattered electrons from the sample in a first multi-pixel electron detector; each at the first pixel clock signal During the cycle, a first digitized backscatter signal is generated by digitizing the signal in each pixel of the first multi-pixel electronic detector; and the first digitized backscatter signal is used to determine the sample of the sample Whether there is a defect in the area, where collecting backscattered electrons includes: using a first multi-pixel electron detector, the first multi-pixel electron detector includes a pure boron layer on its electronically sensitive surface, and the first Each of the electrons of a multi-pixel electron detector includes a p-type electron sensitive layer, an n-type buried channel layer disposed on the electron sensitive layer, and one of the buried channel layers n+ floating diffusion and an amplifier coupled to the floating diffusion. 如請求項15之方法,其進一步包括:產生與該主時脈信號同步之一第二像素時脈信號;將來自該樣本之二次電子收集於一第二多像素電子偵測器中;在該第二像素時脈信號之各週期中,藉由使該第二多像素電子偵測器之各像素中之該信號數位化而產生第二數位化回散射信號;及使用該等第一數位化回散射信號及該等第二數位化回散射信號來判定該樣本之該區域中是否存在一缺陷。 The method of claim 15, further comprising: generating a second pixel clock signal synchronized with the main clock signal; collecting secondary electrons from the sample in a second multi-pixel electronic detector; In each cycle of the second pixel clock signal, a second digitized backscatter signal is generated by digitizing the signal in each pixel of the second multi-pixel electronic detector; and using the first digits The backscattered signal and the second digitized backscattered signals determine whether there is a defect in the area of the sample. 如請求項16之方法,其中該第二多像素電子偵測器在其電子敏感表面上包括一純硼層。 The method of claim 16, wherein the second multi-pixel electron detector includes a pure boron layer on its electronically sensitive surface. 如請求項16之方法,其中該第一像素時脈信號及該第二像素時脈信號具有相同頻率。 The method of claim 16, wherein the first pixel clock signal and the second pixel clock signal have the same frequency. 如請求項15之方法,其進一步包括:自該等第一數位化回散射信號判定一回散射電子之一近似能量。 The method of claim 15, further comprising: determining an approximate energy of a backscattered electron from the first digitized backscattered signals. 如請求項19之方法,其進一步包括:判定該樣本之該區域中之該缺陷之一類型或一材料。 The method of claim 19, further comprising: determining a type or a material of the defect in the area of the sample. 如請求項15之方法,其中該樣本包括一未經圖案化半導體晶圓、一經圖案化半導體晶圓、一主光罩及一光罩之一者。 The method of claim 15, wherein the sample includes one of an unpatterned semiconductor wafer, a patterned semiconductor wafer, a main mask, and a mask.
TW108106178A 2014-08-29 2015-08-28 Methods of inspecting samples TWI695405B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462043410P 2014-08-29 2014-08-29
US62/043,410 2014-08-29
US14/834,991 2015-08-25
US14/834,991 US9767986B2 (en) 2014-08-29 2015-08-25 Scanning electron microscope and methods of inspecting and reviewing samples

Publications (2)

Publication Number Publication Date
TW201921408A TW201921408A (en) 2019-06-01
TWI695405B true TWI695405B (en) 2020-06-01

Family

ID=55400616

Family Applications (2)

Application Number Title Priority Date Filing Date
TW108106178A TWI695405B (en) 2014-08-29 2015-08-28 Methods of inspecting samples
TW104128484A TWI660392B (en) 2014-08-29 2015-08-28 Scanning electron microscope, multi-pixel electron detector, and electron sensor pixel

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW104128484A TWI660392B (en) 2014-08-29 2015-08-28 Scanning electron microscope, multi-pixel electron detector, and electron sensor pixel

Country Status (8)

Country Link
US (2) US9767986B2 (en)
EP (1) EP3140849B1 (en)
JP (2) JP6549220B2 (en)
KR (1) KR102302636B1 (en)
CN (2) CN106575594B (en)
IL (2) IL249503B (en)
TW (2) TWI695405B (en)
WO (1) WO2016033388A1 (en)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9767986B2 (en) * 2014-08-29 2017-09-19 Kla-Tencor Corporation Scanning electron microscope and methods of inspecting and reviewing samples
US9915741B2 (en) * 2015-04-07 2018-03-13 Shenzhen Xpectvision Technology Co., Ltd. Method of making semiconductor X-ray detectors
CN107923987B (en) * 2015-09-08 2020-05-15 深圳帧观德芯科技有限公司 Method for producing an X-ray detector
GB201522137D0 (en) * 2015-12-15 2016-01-27 Univ York Method of imaging defects using an electron microscope
US10460903B2 (en) * 2016-04-04 2019-10-29 Kla-Tencor Corporation Method and system for charge control for imaging floating metal structures on non-conducting substrates
GB201609995D0 (en) * 2016-06-08 2016-07-20 Aquasium Technology Ltd Shaped welding head
US10429321B2 (en) 2016-08-29 2019-10-01 Kla-Tencor Corporation Apparatus for high-speed imaging sensor data transfer
EP3413691A1 (en) 2017-06-08 2018-12-12 Koninklijke Philips N.V. Apparatus for generating x-rays
WO2019048293A1 (en) 2017-09-07 2019-03-14 Asml Netherlands B.V. Methods of inspecting samples with multiple beams of charged particles
CN116417313A (en) * 2017-09-18 2023-07-11 Asml荷兰有限公司 Switch matrix design for beam image systems
WO2019053173A1 (en) 2017-09-18 2019-03-21 Asml Netherlands B.V. Field programmable detector array
WO2019063432A1 (en) * 2017-09-26 2019-04-04 Asml Netherlands B.V. Detection of buried features by backscattered particles
KR101950346B1 (en) * 2017-11-16 2019-02-20 한국과학기술연구원 System and method for controling safety of scanning electron microscope
US10777382B2 (en) 2017-11-21 2020-09-15 Focus-Ebeam Technology (Beijing) Co., Ltd. Low voltage scanning electron microscope and method for specimen observation
CN108335990B (en) * 2018-01-31 2021-07-27 中国科学院微电子研究所 Method and device for positioning process defects
US11114489B2 (en) * 2018-06-18 2021-09-07 Kla-Tencor Corporation Back-illuminated sensor and a method of manufacturing a sensor
WO2020103875A1 (en) * 2018-11-21 2020-05-28 Changxin Memory Technologies, Inc. Distribution layer structure and manufacturing method thereof, and bond pad structure
US11843069B2 (en) * 2018-12-31 2023-12-12 Asml Netherlands B.V. Semiconductor detector and method of fabricating same
CN113490993B (en) * 2019-02-26 2024-05-31 Asml荷兰有限公司 Charged particle detector with gain element
EP3956919A4 (en) 2019-04-19 2023-06-07 Direct Electron, LP A system, apparatus, and method for determining elemental composition using 4d stem
EP3956691B1 (en) 2019-04-19 2024-05-22 Direct Electron, LP Apparatus and method for high dynamic range counting by pixelated detectors
US11610757B2 (en) * 2019-08-28 2023-03-21 Kla Corporation Sensor module for scanning electron microscopy applications
US20230145436A1 (en) * 2020-02-28 2023-05-11 Direct Electron, Lp Method and apparatus for energy selective direct electron imaging
WO2021204740A1 (en) * 2020-04-10 2021-10-14 Asml Netherlands B.V. Charged particle beam apparatus with multiple detectors and methods for imaging
US11798778B2 (en) * 2020-07-20 2023-10-24 Attolight AG Time-resolved cathodoluminescence sample probing
US11094499B1 (en) * 2020-10-04 2021-08-17 Borries Pte. Ltd. Apparatus of charged-particle beam such as electron microscope comprising sliding specimen table within objective lens
CN112331688B (en) * 2020-11-04 2022-07-29 中国电子科技集团公司第四十四研究所 CCD structure capable of simultaneously realizing large signal processing and high-frequency transfer
CN116635750A (en) * 2020-12-23 2023-08-22 Asml荷兰有限公司 Single detector
US12027426B2 (en) * 2021-01-29 2024-07-02 Applied Materials, Inc. Image-based digital control of plasma processing
WO2022248296A2 (en) * 2021-05-27 2022-12-01 Asml Netherlands B.V. Manipulation of carrier transport behavior in detector
US11699607B2 (en) * 2021-06-09 2023-07-11 Kla Corporation Segmented multi-channel, backside illuminated, solid state detector with a through-hole for detecting secondary and backscattered electrons
EP4343812A1 (en) * 2022-09-26 2024-03-27 ASML Netherlands B.V. Data processing device and method, charged particle assessment system and method
WO2023227424A1 (en) * 2022-05-27 2023-11-30 Asml Netherlands B.V. Data processing device and method, charged particle assessment system and method
CN116130377B (en) * 2023-04-17 2023-09-29 西安奕斯伟材料科技股份有限公司 Method, device and system for detecting defects of epitaxial wafer and manufacturing method thereof

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102670224A (en) * 2011-03-09 2012-09-19 富士胶片株式会社 Maintenance method of radiological image detection apparatus
US20130264481A1 (en) * 2012-04-10 2013-10-10 Kla-Tencor Corporation Back-Illuminated Sensor With Boron Layer
US20130320211A1 (en) * 2012-05-30 2013-12-05 Young-Gil Park Inspection system using scanning electron microscope
TW201432252A (en) * 2012-12-12 2014-08-16 Tokyo Electron Ltd Substrate defect inspection method, substrate defect inspection device, program, and computer-readable medium

Family Cites Families (213)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US208979A (en) 1878-10-15 Improvement in spring-beds
US3162707A (en) 1962-03-05 1964-12-22 Phillips Petroleum Co Blow molding means
US3755704A (en) 1970-02-06 1973-08-28 Stanford Research Inst Field emission cathode structures and devices utilizing such structures
US3870917A (en) 1971-05-10 1975-03-11 Itt Discharge device including channel type electron multiplier having ion adsorptive layer
GB1444951A (en) 1973-06-18 1976-08-04 Mullard Ltd Electronic solid state devices
GB1536412A (en) 1975-05-14 1978-12-20 English Electric Valve Co Ltd Photocathodes
US4210922A (en) 1975-11-28 1980-07-01 U.S. Philips Corporation Charge coupled imaging device having selective wavelength sensitivity
NL7611593A (en) 1976-10-20 1978-04-24 Optische Ind De Oude Delft Nv METHOD OF APPLYING A LIGHT-SORTABLE ELECTRONIC PENETRATION LAYER INTO AN IMAGE AMPLIFIER TUBE.
JPS58146B2 (en) 1980-10-14 1983-01-05 浜松テレビ株式会社 Flaming pipe
US4348690A (en) 1981-04-30 1982-09-07 Rca Corporation Semiconductor imagers
US4644221A (en) 1981-05-06 1987-02-17 The United States Of America As Represented By The Secretary Of The Army Variable sensitivity transmission mode negative electron affinity photocathode
US4555731A (en) 1984-04-30 1985-11-26 Polaroid Corporation Electronic imaging camera with microchannel plate
US4760031A (en) 1986-03-03 1988-07-26 California Institute Of Technology Producing CCD imaging sensor with flashed backside metal film
US4853595A (en) 1987-08-31 1989-08-01 Alfano Robert R Photomultiplier tube having a transmission strip line photocathode and system for use therewith
NL8902271A (en) 1989-09-12 1991-04-02 Philips Nv METHOD FOR CONNECTING TWO BODIES.
US5120949A (en) 1991-01-17 1992-06-09 Burle Technologies, Inc. Semiconductor anode photomultiplier tube
JP2828221B2 (en) 1991-06-04 1998-11-25 インターナショナル・ビジネス・マシーンズ・コーポレイション Laser light wavelength converter
US5563702A (en) 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5227313A (en) 1992-07-24 1993-07-13 Eastman Kodak Company Process for making backside illuminated image sensors
US5315126A (en) 1992-10-13 1994-05-24 Itt Corporation Highly doped surface layer for negative electron affinity devices
US5428392A (en) 1992-11-20 1995-06-27 Picker International, Inc. Strobing time-delayed and integration video camera system
US5326978A (en) 1992-12-17 1994-07-05 Intevac, Inc. Focused electron-bombarded detector
US5475227A (en) 1992-12-17 1995-12-12 Intevac, Inc. Hybrid photomultiplier tube with ion deflector
US5760809A (en) 1993-03-19 1998-06-02 Xerox Corporation Recording sheets containing phosphonium compounds
FI940740A0 (en) 1994-02-17 1994-02-17 Arto Salokatve Detector For the detection of photoners or particulates, for the production of detectors and for the production of detectors
JPH07245700A (en) 1994-03-04 1995-09-19 Minolta Co Ltd Digital copying machine
US6271916B1 (en) 1994-03-24 2001-08-07 Kla-Tencor Corporation Process and assembly for non-destructive surface inspections
US5493176A (en) 1994-05-23 1996-02-20 Siemens Medical Systems, Inc. Photomultiplier tube with an avalanche photodiode, a flat input end and conductors which simulate the potential distribution in a photomultiplier tube having a spherical-type input end
US20080315092A1 (en) 1994-07-28 2008-12-25 General Nanotechnology Llc Scanning probe microscopy inspection and modification system
EP0702221A3 (en) 1994-09-14 1997-05-21 Delco Electronics Corp One-chip integrated sensor
JPH08241977A (en) 1995-03-03 1996-09-17 Hamamatsu Photonics Kk Manufacture of semiconductor device
EP0771475B1 (en) 1995-05-19 2005-12-21 Dr. Johannes Heidenhain GmbH Radiation-sensitive detector element
US5731584A (en) 1995-07-14 1998-03-24 Imec Vzw Position sensitive particle sensor and manufacturing method therefor
US6362484B1 (en) 1995-07-14 2002-03-26 Imec Vzw Imager or particle or radiation detector and method of manufacturing the same
EP0979398B1 (en) 1996-06-04 2012-01-04 KLA-Tencor Corporation Optical scanning system for surface inspection
US5717518A (en) 1996-07-22 1998-02-10 Kla Instruments Corporation Broad spectrum ultraviolet catadioptric imaging system
US5999310A (en) 1996-07-22 1999-12-07 Shafer; David Ross Ultra-broadband UV microscope imaging system with wide range zoom capability
US5742626A (en) 1996-08-14 1998-04-21 Aculight Corporation Ultraviolet solid state laser, method of using same and laser surgery apparatus
US5760899A (en) 1996-09-04 1998-06-02 Erim International, Inc. High-sensitivity multispectral sensor
US5940685A (en) 1996-10-28 1999-08-17 The United States Of America As Represented By The Secretary Of The Air Force Fabrication of UV-sensitive back illuminated CCD image sensors
US6064759A (en) 1996-11-08 2000-05-16 Buckley; B. Shawn Computer aided inspection machine
JPH10171965A (en) 1996-12-05 1998-06-26 Toshiba Corp Method and system for inputting image for accumulating area sensor
US5965910A (en) 1997-04-29 1999-10-12 Ohmeda Inc. Large cell charge coupled device for spectroscopy
US6107619A (en) 1997-07-14 2000-08-22 California Institute Of Technology Delta-doped hybrid advanced detector for low energy particle detection
US6608676B1 (en) 1997-08-01 2003-08-19 Kla-Tencor Corporation System for detecting anomalies and/or features of a surface
US6201601B1 (en) 1997-09-19 2001-03-13 Kla-Tencor Corporation Sample inspection system
US6403963B1 (en) 1997-09-29 2002-06-11 California Institute Of Technology Delta-doped CCD's as low-energy particle detectors and imagers
US6278119B1 (en) 1997-10-21 2001-08-21 California Institute Of Technology Using a delta-doped CCD to determine the energy of a low-energy particle
US6297879B1 (en) 1998-02-27 2001-10-02 Micron Technology, Inc. Inspection method and apparatus for detecting defects on photomasks
US6162707A (en) 1998-05-18 2000-12-19 The Regents Of The University Of California Low work function, stable thin films
US6373869B1 (en) 1998-07-30 2002-04-16 Actinix System and method for generating coherent radiation at ultraviolet wavelengths
US6013399A (en) 1998-12-04 2000-01-11 Advanced Micro Devices, Inc. Reworkable EUV mask materials
US6535531B1 (en) 2001-11-29 2003-03-18 Cymer, Inc. Gas discharge laser with pulse multiplier
US6307586B1 (en) 1999-07-20 2001-10-23 Intevac, Inc. Electron bombarded active pixel sensor camera incorporating gain control
US6285018B1 (en) 1999-07-20 2001-09-04 Intevac, Inc. Electron bombarded active pixel sensor
US6657178B2 (en) 1999-07-20 2003-12-02 Intevac, Inc. Electron bombarded passive pixel sensor imaging
US6549647B1 (en) 2000-01-07 2003-04-15 Cyberoptics Corporation Inspection system with vibration resistant video capture
US6711283B1 (en) 2000-05-03 2004-03-23 Aperio Technologies, Inc. Fully automatic rapid microscope slide scanner
JP2002025497A (en) * 2000-07-07 2002-01-25 Canon Inc Vacuum analyzer, mass spectrometer and electron microscopic apparatus
US6879390B1 (en) 2000-08-10 2005-04-12 Kla-Tencor Technologies Corporation Multiple beam inspection apparatus and method
US6507147B1 (en) 2000-08-31 2003-01-14 Intevac, Inc. Unitary vacuum tube incorporating high voltage isolation
US7136159B2 (en) 2000-09-12 2006-11-14 Kla-Tencor Technologies Corporation Excimer laser inspection system
JP2002184302A (en) 2000-12-18 2002-06-28 Hamamatsu Photonics Kk Semiconductor photoelectric cathode
US6704339B2 (en) 2001-01-29 2004-03-09 Cymer, Inc. Lithography laser with beam delivery and beam pointing control
US6545281B1 (en) 2001-07-06 2003-04-08 The United States Of America As Represented By The United States Department Of Energy Pocked surface neutron detector
JP2003043533A (en) 2001-08-03 2003-02-13 Kitakyushu Foundation For The Advancement Of Industry Science & Technology Automatic tracking device for keeping fixed direction of second higher harmonic of laser
JP3573725B2 (en) 2001-08-03 2004-10-06 川崎重工業株式会社 X-ray microscope equipment
US7015452B2 (en) 2001-10-09 2006-03-21 Itt Manufacturing Enterprises, Inc. Intensified hybrid solid-state sensor
US6747258B2 (en) 2001-10-09 2004-06-08 Itt Manufacturing Enterprises, Inc. Intensified hybrid solid-state sensor with an insulating layer
WO2003043045A2 (en) 2001-11-13 2003-05-22 Nanosciences Corporation Photocathode
US7130039B2 (en) 2002-04-18 2006-10-31 Kla-Tencor Technologies Corporation Simultaneous multi-spot inspection and imaging
JP4165129B2 (en) 2002-06-21 2008-10-15 三菱電機株式会社 Back-illuminated solid-state image sensor
US20040021061A1 (en) 2002-07-30 2004-02-05 Frederik Bijkerk Photodiode, charged-coupled device and method for the production
US7446474B2 (en) 2002-10-10 2008-11-04 Applied Materials, Inc. Hetero-junction electron emitter with Group III nitride and activated alkali halide
US7283166B1 (en) 2002-10-15 2007-10-16 Lockheed Martin Corporation Automatic control method and system for electron bombarded charge coupled device (“EBCCD”) sensor
US7126699B1 (en) 2002-10-18 2006-10-24 Kla-Tencor Technologies Corp. Systems and methods for multi-dimensional metrology and/or inspection of a specimen
US7005637B2 (en) 2003-01-31 2006-02-28 Intevac, Inc. Backside thinning of image array devices
US6990385B1 (en) 2003-02-03 2006-01-24 Kla-Tencor Technologies Corporation Defect detection using multiple sensors and parallel processing
GB2398118B (en) 2003-02-07 2006-03-15 Imp College Innovations Ltd Photon arrival time detection
US7141785B2 (en) 2003-02-13 2006-11-28 Micromass Uk Limited Ion detector
US7957066B2 (en) 2003-02-21 2011-06-07 Kla-Tencor Corporation Split field inspection system using small catadioptric objectives
EP1620895B1 (en) * 2003-05-08 2016-03-02 The Science and Technology Facilities Council Accelerated particle and high energy radiation sensor
US7227142B2 (en) * 2004-09-10 2007-06-05 Multibeam Systems, Inc. Dual detector optics for simultaneous collection of secondary and backscattered electrons
US7813406B1 (en) 2003-10-15 2010-10-12 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Temporal laser pulse manipulation using multiple optical ring-cavities
US7023126B2 (en) 2003-12-03 2006-04-04 Itt Manufacturing Enterprises Inc. Surface structures for halo reduction in electron bombarded devices
US7321468B2 (en) 2003-12-15 2008-01-22 Carl Zeiss Laser Optics Gmbh Method and optical arrangement for beam guiding of a light beam with beam delay
US7313155B1 (en) 2004-02-12 2007-12-25 Liyue Mu High power Q-switched laser for soft tissue ablation
JP2005241290A (en) 2004-02-24 2005-09-08 Toshiba Corp Image inspection device and inspection device
US7035012B2 (en) 2004-03-01 2006-04-25 Coherent, Inc. Optical pulse duration extender
JP4365255B2 (en) 2004-04-08 2009-11-18 浜松ホトニクス株式会社 Luminescent body, electron beam detector, scanning electron microscope and mass spectrometer using the same
US7301263B2 (en) 2004-05-28 2007-11-27 Applied Materials, Inc. Multiple electron beam system with electron transmission gates
KR100688497B1 (en) 2004-06-28 2007-03-02 삼성전자주식회사 Image sensor and method of fabrication the same
US7141791B2 (en) 2004-09-07 2006-11-28 Kla-Tencor Technologies Corporation Apparatus and method for E-beam dark field imaging
JP4500641B2 (en) 2004-09-29 2010-07-14 株式会社日立ハイテクノロジーズ Defect inspection method and apparatus
US7455565B2 (en) 2004-10-13 2008-11-25 The Board Of Trustees Of The Leland Stanford Junior University Fabrication of group III-nitride photocathode having Cs activation layer
US7609309B2 (en) 2004-11-18 2009-10-27 Kla-Tencor Technologies Corporation Continuous clocking of TDI sensors
US7952633B2 (en) 2004-11-18 2011-05-31 Kla-Tencor Technologies Corporation Apparatus for continuous clocking of TDI sensors
US7432517B2 (en) 2004-11-19 2008-10-07 Asml Netherlands B.V. Pulse modifier, lithographic apparatus, and device manufacturing method
US7491943B2 (en) 2005-01-13 2009-02-17 Whitehead Institute For Biomedical Research Method and apparatus for UV imaging
JP4751617B2 (en) 2005-01-21 2011-08-17 株式会社日立ハイテクノロジーズ Defect inspection method and apparatus
DE602006004913D1 (en) 2005-04-28 2009-03-12 Semiconductor Energy Lab Method and device for producing semiconductors by means of laser radiation
US7531826B2 (en) 2005-06-01 2009-05-12 Intevac, Inc. Photocathode structure and operation
EP1734584A1 (en) 2005-06-14 2006-12-20 Photonis-DEP B.V. Electron bombarded image sensor array device as well as such an image sensor array
US7345825B2 (en) 2005-06-30 2008-03-18 Kla-Tencor Technologies Corporation Beam delivery system for laser dark-field illumination in a catadioptric optical system
JP5403852B2 (en) 2005-08-12 2014-01-29 株式会社荏原製作所 Detection device and inspection device
CN101263201B (en) 2005-09-16 2012-10-17 松下电器产业株式会社 Composite material and optical component using the same
JP4537483B2 (en) 2005-09-21 2010-09-01 アール・ジェイ・エス・テクノロジー・インコーポレイテッド High resolution and wide dynamic range integrator
JP4939033B2 (en) 2005-10-31 2012-05-23 浜松ホトニクス株式会社 Photocathode
US7715459B2 (en) 2005-11-01 2010-05-11 Cymer, Inc. Laser system
JP2007133102A (en) 2005-11-09 2007-05-31 Canon Inc Optical element having reflection preventing film, and exposure apparatus having the same
US7528943B2 (en) 2005-12-27 2009-05-05 Kla-Tencor Technologies Corporation Method and apparatus for simultaneous high-speed acquisition of multiple images
JP4911494B2 (en) 2006-03-18 2012-04-04 国立大学法人大阪大学 Wavelength conversion optical element, method for manufacturing wavelength conversion optical element, wavelength conversion apparatus, ultraviolet laser irradiation apparatus, and laser processing apparatus
WO2007112058A2 (en) 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
US7113325B1 (en) 2006-05-03 2006-09-26 Mitsubishi Materials Corporation Wavelength conversion method with improved conversion efficiency
EP2033036A4 (en) 2006-06-13 2009-07-15 Invent Technologies Llc Apparatus and method for deep ultraviolet optical microscopy
US7457330B2 (en) 2006-06-15 2008-11-25 Pavilion Integration Corporation Low speckle noise monolithic microchip RGB lasers
US8482197B2 (en) 2006-07-05 2013-07-09 Hamamatsu Photonics K.K. Photocathode, electron tube, field assist type photocathode, field assist type photocathode array, and field assist type electron tube
US7791170B2 (en) 2006-07-10 2010-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a deep junction for electrical crosstalk reduction of an image sensor
US7800040B2 (en) 2006-09-21 2010-09-21 California Institute Of Technology Method for growing a back surface contact on an imaging detector used in conjunction with back illumination
KR100826407B1 (en) 2006-10-12 2008-05-02 삼성전기주식회사 Photo diode for sensing ultraviolet rays and image sensor comprising the same
KR100874954B1 (en) 2006-12-04 2008-12-19 삼성전자주식회사 Rear receiving image sensor
US20080173903A1 (en) 2006-12-28 2008-07-24 Fujifilm Corporation Solid-state image pickup element
JP5342769B2 (en) 2006-12-28 2013-11-13 浜松ホトニクス株式会社 Photocathode, electron tube and photomultiplier tube
US9771666B2 (en) 2007-01-17 2017-09-26 Crystal Is, Inc. Defect reduction in seeded aluminum nitride crystal growth
CN107059116B (en) 2007-01-17 2019-12-31 晶体公司 Defect reduction in seeded aluminum nitride crystal growth
KR100833605B1 (en) * 2007-01-30 2008-05-30 삼성전자주식회사 Cmos image sensor and method for fabricating the same
JP5103033B2 (en) 2007-03-02 2012-12-19 株式会社日立ハイテクノロジーズ Charged particle beam application equipment
US20080239105A1 (en) 2007-03-30 2008-10-02 Weize Xu Sample and hold circuits for cmos imagers
US20080267489A1 (en) * 2007-04-24 2008-10-30 Hermes- Microvision, Inc. Method for determining abnormal characteristics in integrated circuit manufacturing process
US20110073982A1 (en) 2007-05-25 2011-03-31 Armstrong J Joseph Inspection system using back side illuminated linear sensor
US8138485B2 (en) 2007-06-25 2012-03-20 Asml Netherlands B.V. Radiation detector, method of manufacturing a radiation detector, and lithographic apparatus comprising a radiation detector
US7586108B2 (en) 2007-06-25 2009-09-08 Asml Netherlands B.V. Radiation detector, method of manufacturing a radiation detector and lithographic apparatus comprising a radiation detector
WO2009009081A2 (en) 2007-07-10 2009-01-15 Massachusetts Institute Of Technology Tomographic phase microscopy
US8325337B2 (en) 2007-07-13 2012-12-04 Purdue Research Foundation Time resolved raman spectroscopy
US7999342B2 (en) 2007-09-24 2011-08-16 Taiwan Semiconductor Manufacturing Company, Ltd Image sensor element for backside-illuminated sensor
JP5039495B2 (en) 2007-10-04 2012-10-03 ルネサスエレクトロニクス株式会社 Mask blank inspection method, reflective exposure mask manufacturing method, reflective exposure method, and semiconductor integrated circuit manufacturing method
US7525649B1 (en) 2007-10-19 2009-04-28 Kla-Tencor Technologies Corporation Surface inspection system using laser line illumination with two dimensional imaging
US7605376B2 (en) 2007-10-29 2009-10-20 Fairchild Imaging, Inc. CMOS sensor adapted for dental x-ray imaging
JP5132262B2 (en) 2007-11-02 2013-01-30 三菱電機株式会社 Back-illuminated linear image sensor, driving method thereof, and manufacturing method thereof
US7838833B1 (en) 2007-11-30 2010-11-23 Kla-Tencor Technologies Corporation Apparatus and method for e-beam dark imaging with perspective control
US7741666B2 (en) 2008-02-08 2010-06-22 Omnivision Technologies, Inc. Backside illuminated imaging sensor with backside P+ doped layer
US8803075B2 (en) 2008-04-18 2014-08-12 Saint-Gobain Ceramics & Plastics, Inc. Radiation detector device
WO2009148881A2 (en) * 2008-06-02 2009-12-10 Carl Zeiss Smt Inc. Electron detection systems and methods
US7714287B1 (en) 2008-06-05 2010-05-11 Kla-Tencor Corporation Apparatus and method for obtaining topographical dark-field images in a scanning electron microscope
JP2010003755A (en) 2008-06-18 2010-01-07 Mitsubishi Electric Corp Wavelength conversion laser apparatus
JP5305377B2 (en) 2008-06-26 2013-10-02 株式会社フジクラ Optical transmission system using Raman optical amplification
EP2304795A1 (en) * 2008-07-17 2011-04-06 Microsoft International Holdings B.V. Cmos photogate 3d camera system having improved charge sensing cell and pixel geometry
US20120170021A1 (en) 2008-09-02 2012-07-05 Phillip Walsh Method and apparatus for providing multiple wavelength reflectance magnitude and phase for a sample
US7932495B2 (en) * 2008-09-02 2011-04-26 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Fast wafer inspection system
US7875948B2 (en) 2008-10-21 2011-01-25 Jaroslav Hynecek Backside illuminated image sensor
US7880127B2 (en) 2008-10-27 2011-02-01 Itt Manufacturing Enterprises, Inc. Apparatus and method for aligning an image sensor including a header alignment means
MX2011006316A (en) 2008-12-16 2011-09-01 Hiok Nam Tay Noise-cancelling image sensors.
US8017427B2 (en) 2008-12-31 2011-09-13 Omnivision Technologies, Inc. Backside-illuminated (BSI) image sensor with backside diffusion doping
JP2012516023A (en) 2009-01-22 2012-07-12 ビーエイイー・システムズ・インフォメーション・アンド・エレクトロニック・システムズ・インテグレイション・インコーポレーテッド Photocathode improved by corner cube
US8624971B2 (en) 2009-01-23 2014-01-07 Kla-Tencor Corporation TDI sensor modules with localized driving and signal processing circuitry for high speed inspection
US8625012B2 (en) 2009-02-05 2014-01-07 The Hong Kong University Of Science And Technology Apparatus and method for improving dynamic range and linearity of CMOS image sensor
US8175373B2 (en) 2009-02-16 2012-05-08 Kla-Tencor Corporation Use of design information and defect image information in defect classification
KR20100103238A (en) 2009-03-13 2010-09-27 삼성전자주식회사 Fabricating method of epi-wafer and wafer fabricated by the same, and image sensor fabricated by using the same
JP2010278303A (en) 2009-05-29 2010-12-09 Toshiba Corp Solid-state imaging apparatus
US20100301437A1 (en) 2009-06-01 2010-12-02 Kla-Tencor Corporation Anti-Reflective Coating For Sensors Suitable For High Throughput Inspection Systems
US7985658B2 (en) 2009-06-08 2011-07-26 Aptina Imaging Corporation Method of forming substrate for use in imager devices
JP5748748B2 (en) 2009-06-19 2015-07-15 ケーエルエー−テンカー・コーポレーションKla−Tencor Corporation Extreme ultraviolet inspection system
CN102460129B (en) 2009-06-22 2015-08-12 Asml荷兰有限公司 Object inspection systems and method
JP5694317B2 (en) 2009-07-17 2015-04-01 ケーエルエー−テンカー・コーポレーションKla−Tencor Corporation Charged particle energy analyzer apparatus and method
JP5350123B2 (en) * 2009-08-10 2013-11-27 株式会社日立ハイテクノロジーズ Charged particle beam apparatus and image display method
CN102035085B (en) 2009-10-08 2014-03-05 群康科技(深圳)有限公司 Conducting structure and manufacturing method thereof
US8629384B1 (en) 2009-10-26 2014-01-14 Kla-Tencor Corporation Photomultiplier tube optimized for surface inspection in the ultraviolet
US8598533B2 (en) 2009-12-15 2013-12-03 Saint-Gobain Ceramics & Plastics, Inc. Radiation detection system and method of analyzing an electrical pulse output by a radiation detector
EP2346094A1 (en) * 2010-01-13 2011-07-20 FEI Company Method of manufacturing a radiation detector
US8436423B2 (en) 2010-01-21 2013-05-07 Roper Scientific, Inc. Solid state back-illuminated photon sensor
KR20200100866A (en) 2010-01-22 2020-08-26 더 보드 어브 트러스티스 어브 더 리랜드 스탠포드 주니어 유니버시티 Inhibition of axl signaling in anti-metastatic therapy
US8558234B2 (en) 2010-02-11 2013-10-15 California Institute Of Technology Low voltage low light imager and photodetector
CN102859338A (en) 2010-03-29 2013-01-02 因特瓦克公司 Time Resolved Photoluminescence Imaging Systems And Methods For Photovoltaic Cell Inspection
US8269223B2 (en) 2010-05-27 2012-09-18 The United States Of America As Represented By The Secretary Of The Army Polarization enhanced avalanche photodetector and method thereof
US8693233B2 (en) * 2010-06-18 2014-04-08 Sandisk 3D Llc Re-writable resistance-switching memory with balanced series stack
US8310021B2 (en) 2010-07-13 2012-11-13 Honeywell International Inc. Neutron detector with wafer-to-wafer bonding
WO2012021311A2 (en) 2010-08-08 2012-02-16 Kla-Tencor Corporation Dynamic wavefront control of a frequency converted laser system
US8605173B2 (en) 2010-08-16 2013-12-10 SK Hynix Inc. Differential column ADC architectures for CMOS image sensor applications
SG10201510329VA (en) 2010-12-16 2016-01-28 Kla Tencor Corp Wafer inspection
US8669512B2 (en) 2010-12-28 2014-03-11 Technion Research & Development Foundation Limited System and method for analyzing light by three-photon counting
US8513587B2 (en) 2011-01-24 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor with anti-reflection layer and method of manufacturing the same
US8455971B2 (en) 2011-02-14 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for improving charge transfer in backside illuminated image sensor
KR101270220B1 (en) 2011-04-13 2013-05-30 주식회사 엘지화학 Method for producing acryl-based copolymer for optical film and method for producing optical film using the same
US9318870B2 (en) 2011-05-06 2016-04-19 Kla-Tencor Corporation Deep ultra-violet light sources for wafer and reticle inspection systems
JP5731444B2 (en) 2011-07-07 2015-06-10 富士フイルム株式会社 Radiation detector, radiation image capturing apparatus, and radiation image capturing system
US9279774B2 (en) 2011-07-12 2016-03-08 Kla-Tencor Corp. Wafer inspection
KR101900273B1 (en) 2011-07-15 2018-09-21 삼성전자 주식회사 Cmos image sensor
ITTO20110649A1 (en) 2011-07-19 2013-01-20 St Microelectronics Srl PHOTORELECTRIC DEVICE WITH PROTECTIVE AND ANTI-REFLECTIVE COVER, AND RELATIVE MANUFACTURING METHOD
US8871557B2 (en) 2011-09-02 2014-10-28 Electronics And Telecommunications Research Institute Photomultiplier and manufacturing method thereof
WO2013036576A1 (en) 2011-09-07 2013-03-14 Kla-Tencor Corporation Transmissive-reflective photocathode
US8748828B2 (en) 2011-09-21 2014-06-10 Kla-Tencor Corporation Interposer based imaging sensor for high-speed image acquisition and inspection systems
US20130077086A1 (en) 2011-09-23 2013-03-28 Kla-Tencor Corporation Solid-State Laser And Inspection System Using 193nm Laser
US8872159B2 (en) 2011-09-29 2014-10-28 The United States Of America, As Represented By The Secretary Of The Navy Graphene on semiconductor detector
US10197501B2 (en) 2011-12-12 2019-02-05 Kla-Tencor Corporation Electron-bombarded charge-coupled device and inspection systems using EBCCD detectors
US9389166B2 (en) 2011-12-16 2016-07-12 Kla-Tencor Corporation Enhanced high-speed logarithmic photo-detector for spot scanning system
US8754972B2 (en) 2012-02-01 2014-06-17 Kla-Tencor Corporation Integrated multi-channel analog front end and digitizer for high speed imaging applications
US10079257B2 (en) 2012-04-13 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Anti-reflective layer for backside illuminated CMOS image sensors
US20130313440A1 (en) 2012-05-22 2013-11-28 Kla-Tencor Corporation Solid-State Laser And Inspection System Using 193nm Laser
US8658973B2 (en) 2012-06-12 2014-02-25 Kla-Tencor Corporation Auger elemental identification algorithm
US8953869B2 (en) 2012-06-14 2015-02-10 Kla-Tencor Corporation Apparatus and methods for inspecting extreme ultra violet reticles
US9601299B2 (en) 2012-08-03 2017-03-21 Kla-Tencor Corporation Photocathode including silicon substrate with boron layer
NL2011568A (en) 2012-10-31 2014-05-06 Asml Netherlands Bv Sensor and lithographic apparatus.
US8921782B2 (en) 2012-11-30 2014-12-30 Kla-Tencor Corporation Tilt-imaging scanning electron microscope
US9426400B2 (en) 2012-12-10 2016-08-23 Kla-Tencor Corporation Method and apparatus for high speed acquisition of moving images using pulsed illumination
US8929406B2 (en) 2013-01-24 2015-01-06 Kla-Tencor Corporation 193NM laser and inspection system
US8912615B2 (en) 2013-01-24 2014-12-16 Osi Optoelectronics, Inc. Shallow junction photodiode for detecting short wavelength light
JP6309194B2 (en) * 2013-02-01 2018-04-11 株式会社ホロン Noise reduction electron beam apparatus and electron beam noise reduction method
US9478402B2 (en) 2013-04-01 2016-10-25 Kla-Tencor Corporation Photomultiplier tube, image sensor, and an inspection system using a PMT or image sensor
US9350921B2 (en) 2013-06-06 2016-05-24 Mitutoyo Corporation Structured illumination projection with enhanced exposure control
US9347890B2 (en) 2013-12-19 2016-05-24 Kla-Tencor Corporation Low-noise sensor and an inspection system using a low-noise sensor
US9748294B2 (en) 2014-01-10 2017-08-29 Hamamatsu Photonics K.K. Anti-reflection layer for back-illuminated sensor
US9767986B2 (en) * 2014-08-29 2017-09-19 Kla-Tencor Corporation Scanning electron microscope and methods of inspecting and reviewing samples

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102670224A (en) * 2011-03-09 2012-09-19 富士胶片株式会社 Maintenance method of radiological image detection apparatus
US20130264481A1 (en) * 2012-04-10 2013-10-10 Kla-Tencor Corporation Back-Illuminated Sensor With Boron Layer
US20130320211A1 (en) * 2012-05-30 2013-12-05 Young-Gil Park Inspection system using scanning electron microscope
TW201403652A (en) * 2012-05-30 2014-01-16 Samsung Display Co Ltd Inspection system using scanning electron microscope
TW201432252A (en) * 2012-12-12 2014-08-16 Tokyo Electron Ltd Substrate defect inspection method, substrate defect inspection device, program, and computer-readable medium

Also Published As

Publication number Publication date
JP2017526142A (en) 2017-09-07
EP3140849A4 (en) 2018-12-05
CN106575594A (en) 2017-04-19
TW201921408A (en) 2019-06-01
IL279706A (en) 2021-01-31
TWI660392B (en) 2019-05-21
IL249503A0 (en) 2017-02-28
KR102302636B1 (en) 2021-09-14
CN110164745A (en) 2019-08-23
US20160064184A1 (en) 2016-03-03
EP3140849A1 (en) 2017-03-15
TW201621963A (en) 2016-06-16
US9767986B2 (en) 2017-09-19
US20170329025A1 (en) 2017-11-16
WO2016033388A1 (en) 2016-03-03
KR20170047244A (en) 2017-05-04
JP2019197733A (en) 2019-11-14
CN106575594B (en) 2019-05-28
JP6549220B2 (en) 2019-07-24
IL249503B (en) 2021-01-31
EP3140849B1 (en) 2021-07-21
US10466212B2 (en) 2019-11-05
IL279706B (en) 2021-09-30
JP6763065B2 (en) 2020-09-30
CN110164745B (en) 2020-10-27

Similar Documents

Publication Publication Date Title
TWI695405B (en) Methods of inspecting samples
CN107710021B (en) The method for making Semiconductor X-Ray detector
JP2017537426A (en) Quantitative secondary electron detection
US20240047173A1 (en) Monolithic detector
JP2013038174A (en) Soft x-ray detection device and soft x-ray detection system
US8148760B2 (en) Visible light detecting semiconductor radiation detector
TW202115764A (en) Sensor module for scanning electron microscopy applications
US20240242930A1 (en) Manipulation of carrier transport behavior in detector
EP4361683A1 (en) Detector for detecting radiation, method of detecting radiation, assessment system
WO2011109425A1 (en) Silicon-on-insulator direct electron detector for low voltage electron microscopy
CN117355771A (en) Manipulation of carrier transport behavior in a detector
JP2024522044A (en) Segmented multi-channel back-illuminated solid-state detector with through-holes for detecting secondary and backscattered electrons
WO2024028076A1 (en) Semiconductor charged particle detector and methods thereof
TW202425035A (en) Detector for detecting radiation, method of detecting radiation, assessment system
TW202425037A (en) System and method for detecting particles with a detector during inspection
TW202425034A (en) Semiconductor charged particle detector and methods thereof
WO2024028075A1 (en) Detector for detecting radiation, method of detecting radiation, assessment system
WO2021037944A1 (en) Photo-electrical evolution defect inspection
CN117795382A (en) Determination of photon origin using radiation detector
JP3150394B2 (en) Electronic detector