TWI692074B - 使用交替硬遮罩及包封蝕刻停止襯墊架構的以導孔接觸緊密間距導電層的方法及結構 - Google Patents

使用交替硬遮罩及包封蝕刻停止襯墊架構的以導孔接觸緊密間距導電層的方法及結構 Download PDF

Info

Publication number
TWI692074B
TWI692074B TW104138335A TW104138335A TWI692074B TW I692074 B TWI692074 B TW I692074B TW 104138335 A TW104138335 A TW 104138335A TW 104138335 A TW104138335 A TW 104138335A TW I692074 B TWI692074 B TW I692074B
Authority
TW
Taiwan
Prior art keywords
dielectric cap
interconnect
ild
hard mask
etch stop
Prior art date
Application number
TW104138335A
Other languages
English (en)
Other versions
TW201635468A (zh
Inventor
詹斯密特 喬拉
露絲 布萊恩
理查 史肯克
肯瓦爾 辛格
艾倫 麥爾斯
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW201635468A publication Critical patent/TW201635468A/zh
Application granted granted Critical
Publication of TWI692074B publication Critical patent/TWI692074B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/485Adaptation of interconnections, e.g. engineering charges, repair techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本文描述具有交替之介電帽蓋及蝕刻停止襯墊,可供半導體裝置用的互連結構,以及製造該裝置的方法。根據一實施例,互連結構包括層間介電質(ILD),具有位於該ILD的頂面上的第一硬遮罩。該互連結構亦可包括一或多個第一互連線,設在該ILD內。第一介電帽蓋設置於各第一互連線的頂面上。其他的實施例包括一或多個第二互連線,設在該ILD內,係配置成與第一互連線互相交替。第二介電帽蓋形成於各第二互連線的頂面上。實施例亦可包括蝕刻停止襯墊,形成於第一介電帽蓋的頂面上。

Description

使用交替硬遮罩及包封蝕刻停止襯墊架構的以導孔接觸緊密間距導電層的方法及結構
本發明的實施例基本上是有關於半導體裝置的製造。具體的說,本發明的實施例是有關於半導體裝置的互連結構,以及製造該等裝置的方法。
現代的積體電路係利用導電性互連層來連接晶片上個別的裝置及/或傳送及/或接收裝置外部的信號。互連層常用的型式包括由通過貫孔之互連部加以耦至於包括其他互連線的個別裝置上的銅及銅合金互連線。積體電路上具有多層之互連部亦非不常見。例如,二層或多層互連層之間可由介電材料加以互相分隔開。用以分隔各層互連層的介電層通常稱為層間介電質(ILD)。
當這些互連層為配合於較小型晶片之需求而 由具有較小間距之互連線所構成時,將貫孔正確地對齊所需之互連層會愈來愈困難。特別是,在製造時,貫孔邊緣相對於其要接觸之互連層或線的位置可能會因為製造上自然的變化而無法對齊。但是貫孔必須要將一互連層上的一互連線連接至位於下方所需的層或線上,而不能誤連至不同的互連層或線。若貫孔對準失誤並接觸到錯誤的金屬架構,則晶片會短路,造成電氣性能的衰減。能克服此問題的一項解決方案是縮小貫孔尺寸,例如將貫孔變得較窄些。但是,減小貫孔尺寸會造成阻抗增大,並會降低製造產能。
100:互連結構
100B:互連結構
100C:互連結構
100D:互連結構
103:層間介電質
105:第一硬遮罩
107:硬遮罩
108:薄膜
109:間隔件
110:硬遮罩蝕刻停止層
111:假硬遮罩層
112:抗反射層
113:間隔件形成層
114:間隔件
115:第一主幹
116:第二主幹
121:第一互連線
122:第二互連線
123:第一貫孔
124:第二貫孔
125:第一介電帽蓋
126:第二介電帽蓋
127:頂面
128:底面
129:側壁
130:遮罩開口
131:抗反射層
132:頂面
133:遮罩層
133A:通孔遮罩
133B:通孔遮罩
134:頂面
135:碳硬遮罩
136:蝕刻停止襯墊
137:頂面
141:第一溝道
142:貫孔開口
144:第二溝道
145:貫孔開口
152:導電層
153:部分
155:介電層
156:第二介電層
162:犧牲硬遮罩層
163:頂面
171:第一凹陷部
172:第二凹陷部
180:第二層間介電質
181:第一接點
182:第二接點
183:第三接點
185:第一犧牲層
186:第二犧牲層
190:遮罩堆疊
191:第一開口
192:第二開口
193:第三開口
1000:中介層
1002:第一基板
1004:第二基板
1006:球狀格柵陣列
1008:金屬互連部
1010:通孔
1012:矽穿孔
1014:嵌入式裝置
1200:計算裝置
1202:積體電路晶片
1204:中央處理器
1206:片上記憶體
1208:通訊晶片
1210:揮發性記憶體
1212:非發揮性記憶體
1214:圖形處理單元
1216:數位信號處理器
1220:晶片組
1222:天線
1224:顯示器
1226:觸控面板控制器
1228:電池
1230:羅盤
1232:運動感測器
1234:揚聲器
1236:攝影機
1238:使用者輸入裝置
1240:大量儲存器
1242:加密處理器
1244:全球定位系統(GPS)裝置
圖1A是根據一實施例之互連結構的剖面圖,其中具有位於各介電帽蓋與互連線之間的蝕刻停止襯墊。
圖1B是根據一實施例之互連結構的剖面圖,其中具有形成於各介電帽蓋上的蝕刻停止襯墊。
圖1C是根據一實施例之互連結構的剖面圖,其中具有形成於第二互連線與第二介電帽蓋之間的蝕刻停止襯墊。
圖1D是根據一實施例之互連結構的剖面圖,其中具有一蝕刻停止襯墊及複數貫孔。
圖2A至2H是剖面圖,顯示出根據一實施例之用以形成複數互連線之接點的方法。
圖3A至3X是剖面圖,顯示出根據一實施例 之用以形成具有蝕刻停止襯墊之互連結構的方法。
圖4A至4D是剖面圖,顯示出根據一實施例之用以形成在各介電帽蓋上具有蝕刻停止襯墊之互連結構的方法。
圖5是可用以實施一或多個本發明實施例之中介層的剖面圖。
圖6是根據本發明一實施例建構之計算裝置的示意圖。
【發明內容與實施方式】
本文中描述具有可供形成接點於緊密間距互連線上之互連結構的系統,以及用以形成該裝置的方法。在下文的說明中,所示之實施方式的各種觀點將配合熟知此技藝者通常用來將其工作內容告知其他熟知此技藝者的詞語來加以說明。但是,熟知此技藝者當可理解到,本發明亦可僅就某些所述觀點來加以實施。為便於說明,在本文中將提及特定的數目、材料、及結構,以有助於對所示實施方式的充分理解。但是,熟知此技藝者當可理解到,本發明亦可不用這些特定細節來加以實施。在其他的例子中,已知的結構將會加以省略掉或簡化,以避免干擾到所示之實施例。
下文中將針對各種作業,以多種個別之作業的方式來加以說明,其等的說明係以最有助於理解本發明的方式來加以描述,但是說明的順序並不應解讀為這些作 業是必需順序相依地。特別的是,這些作業並不一定要依所示之順序為之。
本發明的實施方式可以是形成於或實施於一基板上,例如一半導體基板。在一種實施方式中,該半導體基板可以是由大塊矽基板或絕緣層上矽元件基板做成的晶體基板。在其他的實施方式中,該半導體基板是由交替的材料所製成的,其可結合並不結合於矽,包括但並不限於鍺、銻化銦、碲化鉛、砷化銦、磷化銦、砷化鎵、砷化銦鎵、或其他III-V族或IV族材料之組合。雖然本文中針對少數製造該基板之材料的例子加以說明,但任何可供做為構造一半導體裝置所需之基底的材料均屬於本發明的範疇。
圖1A是根據本發明一實施例的互連結構100的剖面圖。互連結構100可配合應用於任何使用一或多個互連層的半導體裝置,例如積體電路(IC)或類似者。互連結構100係形成於一層間介電質(ILD)103內。本發明的實施例中係使用此技藝中一般用來做為ILD的低k值介電材料,例如二氧化矽。根據本發明的實施例,適合用來製造ILD 103的低k值介電材料包括,但並不限於,例如摻雜碳的二氧化矽、多孔質二氧化矽、或氮化矽等材料。本發明的其他實施例則可包括有由k值小於5之介電材料所構成的ILD 103。實施例亦可包括有k值小於2的ILD。根據其他的實施例,該ILD 103可以包含有空氣間隙,且k值為1。根據本發明的實施例,ILD 103厚度可 小於100nm。根據其他的實施例,該ILD 103厚度可小於40nm。本發明的另一實施例可進一步包括厚度在40nm及80nm之間的ILD 103。其他的實施例包括有約60nm厚度的ILD 103。
在一實施例中,在ILD 103的頂面上形成有一第一硬遮罩105。舉例而言,第一硬遮罩105可以是一介電材料,例如氮化物或氧化物。根據一實施例,第一硬遮罩105係可抵抗用以蝕刻穿過一形成於第一硬遮罩105上的第二層間介電質(ILD)180的蝕刻製程,該第二互連層可以是例如用於構成互連部的另一層IDL層。本發明的實施例包括有一3nm至10nm厚度的第一硬遮罩105。
根據一實施例,互連結構100包括第一及第二互連線121、122,以交替的型式形成於ILD 103內,如圖1A所示。第一及第二互連線121、122係由導電材料所構成。舉例而言,且非做為限制之用,該用於構成互連線的導電材料可包括Cu、Co、W、NiSi、TiN、Mo、Ni、Ru、Au、Ag、或Pt。在一實施例中,可用相同的導電材料來製造第一及第二互連線121、122。根據另一種實施例,第一及第二互連線121、122可由不同的導電材料所構成。
互連線121、122係以間距P互相分隔開。本發明的實施例包括間距P小於60nm的高密度互連線。本發明的其他實施例具有小於30nm的間距P。本發明的實施例具有小於30nm的互連線寬度W。本發明的其他實施 例具有小於15nm的連線寬度W。
在一實施例中,第一及第二互連線121、122係向下凹陷,使得該互連線的頂面132低於第一硬遮罩105的頂面127。根據一實施例,第一及第二互連線係下凹成使互連線121、122的頂面132低於第一硬遮罩105的底面128。根據本發明一實施例,向下凹陷的互連線121、122可供第一及第二介電帽蓋125、126及蝕刻停止襯墊136形成於各互連線上方。
如圖1A所示,第一介電帽蓋125可形成於第一互連線121上方。在一實施例中,第一介電帽蓋125的頂面134與第一硬遮罩105的頂面127大致上共平面。本發明的實施例進一步包括第二介電帽蓋126,形成於第二互連線122的上方。在一實施例中,第二介電帽蓋126的頂面134與蝕刻停止襯墊136的頂面137大致上共平面。其他的實施例則可具有第一及第二介電帽蓋125、126的頂面134是大致上互相共平面。
本發明的實施例包含有由例如SiOxCyNz之類介電材料、例如但不限於TiO、ZrO、TiAlZrO、AlO之類的非導電性金屬氧化物及氮化物、或有機材料等所製成的第一及第二介電帽蓋125、126。根據一實施例,第一及第二介電帽蓋係由相同材料所製成。根據另一實施例,第一介電帽蓋125及第二介電帽蓋126係由不同材料所製成。
根據一實施例,第一介電帽蓋125係由在蝕 刻製程中具有高於第二介電帽蓋126之高選擇性材料所製成。在本文中,當第一材料被稱為具有較第二材料為高之高選擇性時,係指該第一材料在一給定之蝕刻製程中蝕刻的速率會比該第二材料更高。例如,第一介電帽蓋125在給定蝕刻製程中相對於第二介電帽蓋的蝕刻選擇性是約為10:1或更高(亦即針對該給定蝕刻製程,第一介電帽蓋蝕刻的速率大約是十倍高於第二介電帽蓋蝕刻的速率)。根據另一實施例,第二介電帽蓋126是由高於第一介電帽蓋125的高選擇性材料所製成。例如,第二介電帽蓋126相對於第一介電帽蓋125的蝕刻選擇性是約為10:1或更高。本發明的其他實施例中具有由在蝕刻製程中高於第一硬遮罩105之高選擇性材料所製成的第一及第二介電帽蓋125、126。
本發明的實施例亦可具有相對於蝕刻停止襯墊136做選擇性蝕刻的第一及第二介電帽蓋125、126。根據一實施例,蝕刻停止襯墊136可以是沉積在第一及第二介電帽蓋125、126之一者或多者表面及第一硬遮罩105上的共形層。舉例而言,蝕刻停止襯墊136的厚度可以約為5.0nm或更小。其他的實施例中可具有厚度約在2.0nm與3.0nm之間的蝕刻停止襯墊136。蝕刻停止襯墊136可以是介電材料。舉例而言,蝕刻停止襯墊可以是氧化鋁或氧化鉿。舉例而言,蝕刻停止襯墊相對於第一及第二介電帽蓋的蝕刻選擇性是約為10:1或更高。本發明的另一實施例可以包括有其相對於第一及第二介電帽蓋的蝕 刻選擇性是約為20:1或更高的蝕刻停止襯墊。例如,第一及第二介電帽蓋可以能以乾蝕刻製程輕易去除的材料,而蝕刻停止襯墊136則是能抵抗乾蝕刻製程的材料,但能以濕蝕刻製程選擇性地加以去除。
採用具有比第一及第二介電帽蓋125、126較高之高蝕刻選擇性的蝕刻停止襯墊136的實施例可提供額外的好處。例如,在蝕刻停止襯墊136係形成於第一介電帽蓋125或第二介電帽蓋126之頂面132上的實施例中,第一及第二介電帽蓋125、126之間的蝕刻選擇性並不需要同樣地高。第一及第二介電帽蓋125、126間的蝕刻選擇性可以縮減,因為蝕刻停止襯墊136會保護一組介電帽蓋抵抗蝕刻製程,而另一組介電帽蓋則暴露出。
例如,在圖1A中,第一介電帽蓋125係由蝕刻停止襯墊136加以遮蓋住,而第二介電帽蓋126則未被蝕刻停止襯墊136加以遮蓋。因此,第一及第二介電帽蓋125、126相互間的蝕刻選擇性可以小於約10:1。在某些實施例中,第一及第二介電帽蓋125、126可以是相同的材料,因此相互間不具有蝕刻選擇性。雖然第一介電帽蓋125在圖1A中是顯示成被遮蓋住,但可以理解,實施例並不限於該種架構。其他的實施例也可包括由蝕刻停止襯墊136加以遮蓋住的第二介電帽蓋126,及未由蝕刻停止襯墊136加以遮蓋住的第一介電帽蓋125。
雖然有些實施例中具有由蝕刻停止襯墊遮蓋住第一介電帽蓋125或第二介電帽蓋126,但是實施例並 不限於該種架構。例如,在圖1B中所示的本發明一實施例中,第一及第二介電帽蓋125、126二者均由蝕刻停止襯墊136加以遮蓋住。除了介電帽蓋125、126二者均由蝕刻停止襯墊136遮蓋以外,圖1B中的互連結構100B大致上類似於圖1A中所教示的互連結構100。
回到圖1A,蝕刻停止襯墊136也可以形成於第一及第二互連線121、122的頂面132。實施例也可包括沿著介電帽蓋125、126的側壁129形成蝕刻停止襯墊136。在互連線121、122頂面上設置蝕刻停止襯墊136可以確保在第一及第二介電帽蓋125、126形成的過程中,互連線不會被氧化。例如,在利用化學氣相沉積(CVD)來沉積介電帽蓋時,較高的溫度及水氣的存在會造成互連線的氧化。氧化的互連線會減低該裝置的效率及可靠性。蝕刻停止襯墊136設置於互連線與介電帽蓋之間可防止水氣到達互連線並阻止氧化。
但是,可以理解,並非每一種用以形成介電帽蓋125、126的沉積製程均會造成互連線的氧化。例如,氧化矽介電帽蓋可以採用旋塗製程選擇性地形成於互連線上。在該種實施例中,互連線並不會在第一及/或第二介電帽蓋125、126形成的過程中氧化。因此,根據本發明一實施例,可以將蝕刻停止襯墊136自各互連線的頂面132上省略掉。根據該種實施例的互連結構100C顯示於圖1C中。互連結構100C大致上是類似於圖1A中的互連結構100,除了第一互連線121在其頂面132不具有蝕 刻停止襯墊136形成於其上。在該種實施例中,第一介電帽蓋125可直接接觸於第一互連線121。在另一種實施例中,蝕刻停止襯墊136可自第二互連線122的頂面上選擇性地省略掉。在一實施例中,蝕刻停止襯墊136可自互連線121、122二者的頂面132上選擇性省略掉。
現在參閱圖1D,其中顯示根據另一實施例之互連裝置100D的剖面圖。圖1D中的互連裝置100D是大致上類似於圖1A中所示者,且進一步包括有一第一貫孔123及第二貫孔124。根據本發明的實施例,第一及第二貫孔123、124係集成為第一及第二互連線121、122互相交替的型態。因此,在本發明的實施例,第一貫孔123係形成於第一互連線121本來要形成的地方。同樣的,實施例可包括有在第二互連線122本來要形成的地方形成第二貫孔124。
第一貫孔123係大致上類似於第一互連線121,除了其線係形成為一路穿過ILD 103。因此,第一貫孔123提供穿過該ILD103電性連接至下面一層的功能。例如,該連接至下面一層的電性連接可以是連接至一導線、一電晶體裝置的S/D接點、或一半導體裝置中任何需要做電性連接的結構部。同樣的,第二貫孔124係大致上類似於第二互連線122,除了其線係形成為一路穿過ILD 103。因此,第二貫孔124提供穿過該ILD 103電性連接至下面一層的功能。本發明之圖式所顯示的圖形中省略掉可經由第一及第二貫孔加以接觸的該下面一層,以避免不 必要地混淆本發明。
本發明的實施例進一步包括形成於第一及第二貫孔123、124上的第一及第二介電帽蓋125、126,大致上類似於前面針對形成於第一及第二互連線121、122上之介電帽蓋所描述者。再者,第一及第二貫孔上方的介電帽蓋可由蝕刻停止襯墊136加以與互連線分隔開。其他的實施例亦可包括在形成於貫孔上方的介電帽蓋之一者或多者的頂面134上形成蝕刻停止襯墊136。
本發明的實施例可以改善與緊密間距互連線間的接點形成。如前所述,緊密間距互連線需要較高精度的對齊,才能與所需之互連線間形成接點。但是,如圖2A至2H中之接點形成程序所示,由於介電帽蓋、硬遮罩層、及蝕刻停止襯墊的蝕刻選擇性,具有介電帽蓋125、126及蝕刻停止襯墊136的互連結構可以讓接點較寬些,且在對齊誤差上可具有較大的餘裕。圖2A至2H顯示出一例示性的接點形成程序,其可供與互連線及貫孔間形成複數個接點。該例示性接點形成程序顯示出本發明的實施例可供用以在相鄰的第一及第二互連線上形成接點、僅與第二互連線形成接點、以及僅與第一互連線形成接點。雖然這三種架構均係在單一互連結構的製程流程中加以示範,但實施例並不限於該等架構。例如,一程序可用以形成本文中所述之一種或多種不同架構。
現在參閱圖2A,犧牲材料185形成於第二ILD 180上,並加以圖案化,以形成第一開口191及第二 開口192。在一實施例中,第一開口191及第二開口192的型樣可利用蝕刻製程加以移轉至第二ILD 180上。在一實施例中,第一開口191可用以形成第一接點,其可提供穿過第二ILD 180至相鄰的第一及第二互連線或通孔的連接。在一實施例中,第二開口192可用以形成第二接點,其可提供穿過第二ILD 180至第二互連線122或通孔124的連接。如圖所示,本發明的實施例允許該等開口的寬度大於互連線的間距P。例如,第二開口192的寬度WO大於互連線的間距P。基於根據本發明實施例所提供的蝕刻選擇性,該WO可以大到足可供第二開口192延伸至未被接觸的鄰旁互連線上。
其後可進行能對外露出的第二介電帽蓋126做選擇性蝕刻的蝕刻製程。例如,第二介電帽蓋126可以利用不會對蝕刻停止襯墊136產生顯著蝕刻的乾蝕刻製程做選擇性蝕刻。如圖所示,蝕刻停止襯墊136會保留下來,並保護第一硬遮罩105及第一介電帽蓋125的部分免於被移除。在第二介電帽蓋126移除後,犧牲材料185即可移除。例如,犧牲材料可透過灰化製程加以移除。
現在參閱圖2B,第二犧牲層186形成於互連結構上,並加以圖案化。根據一實施例,第二犧牲層186係圖案化而形成第三開口193。在一實施例中,第三開口可用以形成第三接點,其可提供穿過第二ILD 180至第一互連線121的連接。另外,第二犧牲層186係可圖案化而使第一開口191再次開通。如圖所示,該再次開通第一開 口191的圖案化並不需要完好地對齊於原來圖案化形成於第一犧牲層185內的第一開口191。根據本發明的實施例,基於形成於互連線上方之材料間的蝕刻選擇性之故,較大的疊合誤差是可接受的。
現在參閱圖2C,第一開口191及第三開口193在第二犧牲層186上的圖案可以透過蝕刻製程移轉至第二ILD 180上。根據一實施例,該蝕刻製程是能將第二ILD 180相對於蝕刻停止襯墊136做選擇性蝕刻的濕蝕刻製程。在一實施例中,該蝕刻製程亦可將第二ILD 180相對於外露出的第二介電帽蓋126做選擇性蝕刻。
如圖2C中之實施例所示,第一開口191或可足夠地寬,或是對不準,使得一鄰旁之第二介電帽蓋126中有一部分153外露出。第二介電帽蓋126之該部分153外露出是可以接受的,因外露出之材料的蝕刻選擇性可防止第二介電帽蓋126在後續的蝕刻製程被完全地移除掉。因此在後續製程中沉積接點金屬時,在部分外露出之第二介電帽蓋126下方的互連線或通孔上不會形成短路。
現在參閱圖2D,將蝕刻停止襯墊136的外露部分加以移除。由於蝕刻停止襯墊136係可相對於第一及第二介電帽蓋125、126及第一硬遮罩105做選擇性蝕刻,因此可以將蝕刻停止襯墊136完全移除而不會去除掉其他材料的實質部分。舉例而言,蝕刻停止襯墊136可以是一種能以濕蝕刻化學加以選擇性移除的材料,而介電帽蓋及第一硬遮罩則是在濕蝕刻化學存在的情形下不會造成 大幅度的蝕刻。如圖所示,移除蝕刻停止襯墊136會在第一開口191內曝露出第二貫孔124的頂面132。另外,移除第三開口193內的蝕刻停止襯墊136會曝露出第一及第二介電帽蓋125、126的頂面134。
現在參閱圖2E,將第一介電帽蓋125加以移除。在一實施例中,第一介電帽蓋125係透過一種能將第一介電帽蓋125相對於第二介電帽蓋126及第一硬遮罩105加以移除的蝕刻製程來加以移除。如圖所示,該蝕刻製程的選擇性可以完全地移除第一介電帽蓋125,但僅會移除掉第二介電帽蓋126之外露部分153及第一硬遮罩105之外露部分的一小部分。第二介電帽蓋126及第一硬遮罩105中被移除掉之部分大小係依一給定蝕刻製程中第一介電帽蓋125相對於其他材料的蝕刻選擇性而定的。舉例而言,第一介電帽蓋125可相對於第二介電帽蓋126或第一硬遮罩105的蝕刻選擇性可為約2:1或更大。雖然圖2E中顯示出移除掉外露出之第一硬遮罩105的一部分及外露出之第二介電帽蓋126的一部分,但可以理解,第二介電帽蓋126之外露部分153的量及第一硬遮罩105可以透過加大第一介電帽蓋125相對於用來製造第一硬遮罩105及/或第二介電帽蓋126之材料的蝕刻選擇性而加以減小。
其後,將第二犧牲材料層186加以移除,如圖2F所示。在一實施例中,第二犧牲材料層186可透過灰化製程加以移除。在移除第二犧牲材料層186後,第一 開口191、第二開口192、及第三開口193均會曝露出。
在一實施例中,要將蝕刻停止襯墊136中形成於接點上的其餘部分加以移除,如圖2G所示。舉例而言,蝕刻停止襯墊136可以利用能夠將蝕刻停止襯墊相對於第一介電帽蓋125、第二介電帽蓋126、及第一硬遮罩105加以選擇性移除的蝕刻製程來加以移除。在一實施例中,該蝕刻製程可以是一濕蝕刻製程。根據一實施例,鄰接之第一互連線121及第二貫孔124的頂面132會曝露於第一開口191內。其他的實施例則包括使單一條第二互連線122的頂面132曝露於第二開口192內。其他的實施例則包括使單一條第一互連線121的頂面132曝露於第三開口193內。
現在參閱圖2H,將金屬沉積於形成在第二ILD 180上的開口內,以在第一開口形成第一接點181、在第二開口內形成第二接點182、以及在第三開口內形成第三接點183。在一實施例中,任何因金屬沉積製程而致的過度覆蓋部分均可加以拋光或蝕刻掉,以使得第二ILD 180及接點的頂面互相共平面。舉例而言,該金屬可透過任何的金屬沉積製程來加以沉積,例如CVD、ALD、或電鍍。舉例而言,該金屬可透過平坦化製程,例如CMP,而相對於第二ILD 180的頂面加以平坦化。
如圖所示,即使是在疊覆不良的情形下,也可以選擇性地完成連接。例如,第二接點182延伸超過一條互連線,但是連接可以僅形成於單一條互連線122上。 同樣的,第三接點183延伸超過三條個別的互連線,但是連接可以僅形成於單一條互連線121上。因此,接點的寬度可以比其他可能的情形更大,而對不準的失誤情形也不會造成與相鄰互連部立間的不必要短路情形。較大寬度的接點也有助於減輕對於製造設備的需求,且可提供較高的產量。
根據本發明實施例的互連結構可根據圖3A至3X中所述的程序來加以製造。現在參閱圖3A,其中顯示出可供於其內形成互連結構100的ILD 103。根據本發明的實施例,遮罩堆疊190形成於ILD 103上方。根據本發明的實施例,遮罩堆疊190包含多個適合用遮罩並將結構部蝕刻至ILD 103上的層狀部。根據一實施例,遮罩堆疊190可包含第一硬遮罩105,例如氮化物或氧化物材料,形成於ILD 103上。如前所述,第一硬遮罩105可根據其相對於其他要在後續作業中形成之結構部,例如第一及第二介電帽蓋及/或蝕刻停止襯墊,的蝕刻選擇性來加以選取。根據實施例,ILD 103可形成於一或多個另外的互連結構上(未顯示),或者ILD 103可形成於一裝置基板上,例如可供電路(未顯示)形成於其上的半導體基板。
遮罩堆疊190可進一步包含硬遮罩107(例如碳硬遮罩),形成於第一硬遮罩105上。該硬遮罩107可以是任何適合用來形成一層硬遮罩的材料,例如非晶矽或碳化矽。硬遮罩蝕刻停止層110可形成於硬遮罩107上方。根據本發明的實施例,硬遮罩蝕刻停止層110可以是 一種抗蝕刻材料,例如,但不限於,TiO、ZrO、AlN、ZrAlTiO、或AlO。遮罩堆疊190亦可包含一假硬遮罩層111,形成於硬遮罩蝕刻停止層110上方。根據本發明一實施例,假硬遮罩層111可以是任何適合用來形成一層硬遮罩的材料,例如非晶矽或碳化矽。根據一實施例,遮罩堆疊190可進一步包含抗反射層112,例如非晶矽層,形成於假硬遮罩層111上方。抗反射層112係包含於遮罩堆疊190內以供對於圖案化一形成於抗反射層112上方之遮罩層133較佳的控制作用。遮罩層133可以是一般可由光刻製程加以圖案化的材料,例如光敏光阻劑。如圖3A所示,遮罩層133係圖案化來形成要移轉至假硬遮罩層111之第一結構所需的形狀。
現在參閱圖3B,將遮罩層133的圖案移轉至假硬遮罩層111,以形成第一主幹115。本發明的實施例係利用蝕刻製程將遮罩層133的圖案移轉至假硬遮罩層111上,例如此技藝中已知的濕或乾蝕刻製程。抗反射塗層112及遮罩層133的其餘部分即可接著加以移除。
其次,在圖3C中,間隔件形成層113形成於第一主幹115及硬遮罩蝕刻停止層110的外露部分上。間隔件形成層113可以是一般用來構成介電質間隔件的材料,例如氧化物或氮化物。接著施用間隔件蝕刻製程在第一主幹115的每一側形成間隔件114。實施例可包括一種可用以將構成為間隔件形成層113之水平表面的材料加以移除的間隔件蝕刻製程,因之而留下沿著第一主幹115之 側壁的間隔件114。在間隔件形成作業之後,將第一主幹115加以蝕刻去除,而留下間隔件114,如圖3D所示。
現在參閱圖3E,間隔件114係用來做為蝕刻遮罩,而他們的圖案則會移轉至硬遮罩107上。在此蝕刻製程後,硬遮罩107及硬遮罩蝕刻停止層110有一部分會保留下來。在本文中,硬遮罩107的殘餘部分亦稱為第二主幹116。實施例採用此技藝中已知的蝕刻製程,例如濕或乾蝕刻製程,以將間隔件114的圖案移轉至硬遮罩107來形成第二主幹116。
現在參閱圖3F,接著以薄膜108覆蓋住第二主幹116。薄膜108是可用以構成第二間隔件材料的材料。根據一實施例,薄膜108可以是一種硬質的共形材料,例如,但不限於,TiO、ZrO、AlN、AlO、及其等的組合。根據本發明一實施例,第二主幹116所用的材料在蝕刻製程中具有相對於構成第二薄膜108之材料較高的蝕刻選擇性。根據該實施例,形成薄膜108的材料係可抵抗能夠輕易蝕刻去除主幹116之蝕刻製程。舉例而言,當第二主幹116是由非晶矽製成時,薄膜108可由二氧化鈦所構成。
現在參閱圖3G,進行間隔件蝕刻製程,將薄膜108轉變成間隔件109。實施例包括各向異性之間隔件蝕刻製程,選擇性地移除薄膜108中形成於水平表面上的部分,因之而留下沿著第二主幹116之側壁的間隔件109。其後,使用第一溝道蝕刻製程來形成貫穿過第一硬 遮罩105並進入ILD 103內的第一溝道141。第一溝道蝕刻製程係利用間隔件109做為遮罩,以便在第一溝道141之間形成適當的間距,並將第一溝道141形成為具有所需寬度W。根據本發明一實施例,該寬度W小於約30nm。本發明的另一實施例包括小於15nm的寬度W。在一實施例中,第一溝道141所具有的深度是在約20nm與約60nm之間。本發明的其他實施例包括將第一溝道141形成為深度約40nm。
現在參閱圖3H,根據本發明一實施例,其可實施貫孔遮罩製程。碳硬遮罩135形成於溝道141內及間隔件109上方。抗反射層131(例如,抗反射塗層(antireflective coating)),例如非晶矽,可形成於碳硬遮罩135上。通孔遮罩133A,例如光阻劑,形成並圖案化而具有形成於第一溝道141之一者上方的遮罩開口130,如圖3H所示。
現在參閱圖3I,接著將位於遮罩開口130下方的碳硬遮罩135加以蝕刻掉。此蝕刻製程亦將蝕刻穿過ILD 103中位於第一溝道底部下方的殘餘部分,而形成貫孔開口142。貫孔開口142可做為與ILD 103下方之層或結構體的連接。雖然僅顯示出單一個貫孔開口142,但實施例也可以包括具有一個以上之貫孔開口142的互連結構100。
現在參閱圖3J,根據一實施例,將碳硬遮罩層135的殘餘部分移除,並於第一溝道141內部及上方形 成一導電層152,以構成第一互連線121,並且進入貫孔開口142內而形成第一貫孔123。本發明的實施例包括可供用於互連線的任何導電材料所構成的導電層152,例如銅、鈷、鎢、或類似者。實施例包括採用此技藝中已知的沉積製程將第一金屬配置於第一溝道141及貫孔開口142內,例如,但不限於,化學氣相沉積法(CVD)、原子層沉積法(ALD)、或電鍍法。
如圖3K所示,將第一互連線121及第一貫孔123的頂面132平坦化而對齊於間隔件109的頂面,以去除掉在金屬沉積時溢流的材料。根據一實施例,該平坦化可以透過化學機械平坦化(CMP)或蝕刻製程等製程加以實施。本發明的其他實施例包括利用該平坦化製程來移除硬遮罩蝕刻停止層110的部分及第二主幹116外露的部分。
現在參閱圖3L,將第二主幹116蝕刻掉。根據一實施例,間隔件109的殘餘部分可做為遮罩層,用來將ILD 103先前位在第二主幹116下方之部分內蝕刻出第二溝道144。根據一實施例,第二溝道144可大致上類似於第一溝道的深度。根據其他的實施例,第二溝道144的深度可大於或小於第一溝道的深度。
現在參閱圖3M,根據本發明一實施例,其可實施第二貫孔圖案化製程。將碳硬遮罩135形成於第二溝道144內部及間隔件109上方。抗反射層131,例如非晶矽,形成於碳硬遮罩135上。通孔遮罩133B,例如光敏 光阻劑或其他遮罩材料,形成並圖案化而具有位於一或多個第二溝道144上方的遮罩開口130。現在參閱圖3N,將位於遮罩開口130下方的碳硬遮罩135及ILD 103中位於第二溝道144下方的部分加以蝕刻掉,以形成貫孔開口145。貫孔開口145可做為與ILD 103下方的層或結構體(未顯示)的連接。在形成貫孔開口145後,將殘餘的碳硬遮罩135、抗反射層131、及通孔遮罩133B的材料加以移除,如圖3O所示。
現在參閱圖3P,根據一實施例,將犧牲硬遮罩材料162沉積於第二溝道144及貫孔開口145內。在一實施例中,犧牲硬遮罩材料162可沉積成為使硬遮罩材料覆蓋住所有外露出的表面,其後將過度覆蓋部分加以研磨掉,以得到約間隔件109之頂面共平面的頂面163。
其後,在圖3Q中,根據本發明一實施例,使第一互連線121及123向下凹陷至低於第一硬遮罩105,以形成第一凹陷部171。根據一實施例,該向下凹陷係使得頂面132的位置低於第一硬遮罩105的頂面。根據另一實施例,頂面132可大致上與ILD 103的頂面共平面,或者凹下而低於ILD 103的頂面。實施例包括利用濕或乾蝕刻製程來向下凹陷第一互連線121。
現在參閱圖3R,蝕刻停止襯墊136形成於外露出的表面上。在一實施例中,蝕刻停止襯墊136是形成於第一互連線121及第一貫孔123的頂面132上方及沿著第一凹陷部171的側壁。根據一實施例,蝕刻停止襯墊所 具有的厚度是小於約5nm。其他的實施例包括蝕刻停止襯墊136的厚度是在約2nm與約3nm之間。舉例而言,蝕刻停止襯墊可以利用原子層沉積(ALD)製程來加以製造。
將蝕刻停止襯墊136形成於互連線及貫孔的頂面132上可保護導電材料免於受損,例如在頂面132未受到保護會在後續處理程序中產生的氧化情形。但是,如果後續處理不會損傷互連線,則可以選擇性地省略掉蝕刻停止襯墊136。此一實施例將會大致上跟隨與圖3S至3X中所描述相同的處理作業,得到的互連結構將大致上類似於前面配合圖1C所描述之互連結構100C
在一實施例中,用來形成蝕刻停止襯墊136的材料是一種可相對於其他供後續處理作業中所形成之第一及第二介電帽蓋所用之材料被選擇性蝕刻掉的材料。例如,第一及第二介電帽蓋可以是能夠被乾蝕刻掉的材料,而蝕刻停止襯墊136則是可抵抗乾蝕刻的材料,但能以濕蝕刻加以選擇性地移除。舉例而言,蝕刻停止襯墊136可以是氧化鋁、氧化鉿、或類似者。
再次參閱圖3R,介電層155沉積於第一凹陷部171內及蝕刻停止襯墊136上方。例如,介電層155可利用CVD、PVD、或旋塗製程來加以沉積。其後,使介電層155向下凹陷,而使第一介電帽蓋125保留於第一凹陷部171內位在第一互連線121及第一貫孔123上方,如圖3S所示。根據所示的實施例,介電層155是利用蝕刻製 程來加以向下凹陷。在實施例中,該蝕刻製程對於介電層155具選擇性,而會保留蝕刻停止襯墊136大致上沒有變化。根據另一實施例,介電層155可利用研磨製程,例如CMP,來使其向下凹陷。在該種實施例中,蝕刻停止襯墊136、間隔件109、及犧牲硬遮罩層162也會被加以移除。本發明的實施例是使用例如SiOxCyNz、非導電性金屬氧化物或金屬氮化物等材料來做第一介電帽蓋125。本發明的其他實施例所選用的第一介電帽蓋125的材料,具有較蝕刻停止襯墊136及在後續處理作業形成的第二介電帽蓋126為高的蝕刻選擇性。
現在參閱圖3T,將蝕刻停止襯墊136中形成於間隔件109上方的部分加以移除,如果先前並未加以移除的話。舉例而言,蝕刻停止襯墊可以利用研磨製程,例如CMP,來加以移除。在一實施例中,蝕刻停止襯墊136可以利用能夠選擇性移除蝕刻停止襯墊136而不會移除相當大部分之間隔件109或第一介電帽蓋125的蝕刻製程來加以移除。在蝕刻停止襯墊136移除後,犧牲硬遮罩162將會外露出。實施例接著可包括將外露出的犧牲硬遮罩162加以移除,以重新開通開口144及第二貫孔開口145。例如,犧牲硬遮罩162可以利用蝕刻或灰化製程來加以移除。
現在參閱圖3U,金屬層沉積於外露的開口144及145內,而任何過度覆蓋部分則可加以研磨回去,以形成第二互連部122及第二通孔124。在一實施例中, 該金屬層是與用來形成第一互連部122及第一通孔123相同的金屬。在另一實施例中,該金屬可以不是和第一互連部122及第一通孔123所用相同的金屬。舉例而言,該金屬可以是任何一般用來做互連線的金屬,例如銅、鈷、鎢、或類似者。如圖3U所示,該用來移除過度覆蓋部分的研磨製程亦可將間隔件109的殘餘部分加以移除。
現在參閱圖3V,將第二互連部122及第二貫孔124的頂面132加以向下凹陷至低於第一硬遮罩105的底面128,以形成第二凹陷部172。在一實施例中,第二互連部122及第二通孔124的頂面132可以大致上與第一互連部121及第一通孔123的頂面132共平面。在另外的實施例中,第二互連部122及第二通孔124的頂面132可向下凹陷至使其等高於或低於第一互連部121及第一通孔123的頂面132。
現在參閱圖3W,根據一實施例,將一蝕刻停止襯墊136沉積於外露出的表面上。如圖所示,蝕刻停止襯墊136可形成於第一介電帽蓋125的頂面134上,且蝕刻停止襯墊136亦可沿著第二凹陷部172而位於第二互連線122及第二貫孔124上方。此蝕刻停止襯墊136可以是大致上類似於在圖3R之說明中所揭示之處理作業中所沉積出的蝕刻停止襯墊136。雖然蝕刻停止襯墊136可以在一或多個不同的處理作業中加以沉積,但在本文中是將蝕刻停止襯墊136視單一結構體。但是,可以理解本發明的實施例亦可採用包含有因不同沉積製程之沉積而致之不同 材料、複合材料、及/或厚度的蝕刻停止襯墊136。
圖3W亦顯示出將第二介電層156沉積於第二凹陷部172內位於第二互連線122及第二貫孔124上方。在一實施例中,第二介電層156係採用CVD、PVD、或旋塗製程來加以沉積。本發明的實施例使用例如SiOxCyNz、非導電性金屬氧化物或金屬氮化物等材料來做第二介電帽蓋126。本發明的其他實施例選用的第二介電帽蓋126的材料,具有較第一硬遮罩105、第一介電帽蓋125、及/或蝕刻停止襯墊136更高的蝕刻選擇性。
其後,在圖3X中,將第二介電層156的過度覆蓋部分加以研磨或蝕刻回去,以形成第二介電帽蓋126。在一實施例中,第二介電帽蓋126的頂面134與蝕刻停止襯墊136的頂面係大致上共平面。如圖所示,蝕刻停止襯墊136可完全包圍住第一介電帽蓋125(亦即圍繞第一介電帽蓋125的頂面、底面、及側壁),並部分包圍第二介電帽蓋126(亦即位於第二介電帽蓋126的底面及側壁)。可以理解,本發明的實施例亦可包括蝕刻停止襯墊136包圍住比圖3X中所示更多或更少表面的情形,例如如圖1B及1C中所示的互連結構。在蝕刻停止襯墊136形成後,本發明的實施例可包括沉積第二層間介電質180於互連結構上方。
現在參閱圖4A至4D,根據一實施例,其中顯示出一種用以形成具有蝕刻停止襯墊於第一及第二介電帽蓋125、126上之互連結構的方法。形成圖4A中所示之 結構的程序大致上類似於前面配合圖3A至3W所顯示及說明的處理作業,因此在此不會重覆說明。
現在參閱圖4B,將介電層156的過度覆蓋部分加以研磨回去,以形成第二介電帽蓋126。例如,該介電層可利用CMP製程加以研磨。如圖所示,該研磨製程亦會移除蝕刻停止襯墊136中形成於第一介電帽蓋125及第一硬遮罩105上方的部分。
其後,在圖4C中,蝕刻停止襯墊136沉積於第一硬遮罩105、第一介電帽蓋125、及第二介電帽蓋126的頂面上。接著將介電材料沉積蝕刻停止襯墊136上,以形成第二層間介電質180,如圖4D所示。
圖5顯示出包含本發明的一或多個實施例的中介層1000。中介層1000是用於將第一基板1002橋接至第二基板1004的中介基板。第一基板1002可以是例如積體電路晶片。第二基板1004可以是例如記憶模組、電腦主機板、或其他積體電路晶片。一般而言,中介層1000的目的是要連接一較寬的間距或將一連接部導至一不同的連接部。例如,中介層1000可將一積體電路晶片至一球狀格柵陣列(BGA)1006,其可後續再耦接至第二基板1004上。在某些實施例中,第一及第二基板1002/1004係附著至中介層1000的相對二側上。在其他的實施例中,第一及第二基板1002/1004係附著至中介層1000的同一側上。在其他的實施例中,三片或多片基板可由中介層1000加以互連。
中介層1000可由環氧樹脂、纖維強化環氧樹脂、陶瓷材料、或聚合物材料,例如聚亞醯氨,來加以製造。在其他的實施方式中,中介層可由交替設置的硬質及軟質材料所構成,包括與前面針對半導體基板說明的相同材料,例如矽、鍺、及其他III-V族及IV族材料。
中介層可包括金屬互連部1008及通孔1010,包括但不限於矽穿孔(TSV)1012。中介層1000可進一步包括嵌入式裝置1014,包括主動及被動裝置。該等裝置包括,但並不限於,電容器、去耦電容器、電阻器、電感器、熔絲、二極體、變壓器、感測器、及靜電放電(ESD)裝置。更複雜的裝置,例如射頻(RF)裝置、功率放大器、電源管理裝置、天線、陣列、感測器、及MEMS裝置亦可形成於中介層1000上。
根據本發明的實施例,本文中所揭示用於形成具有交替介電帽蓋及蝕刻停止襯墊之互連結構的裝置或方法可應用於中介層1000的製造,或嵌入式裝置1014的製造。
圖6顯示出根據本發明一實施例的計算裝置1200。計算裝置1200包括多個元件。在一實施例中,這些元件係附著至一或多個主機板上。在另一實施例中,這些元件係製造於單一系統單晶片(SoC)上,而不是主機板。計算裝置1200中的這些元件包括,但並不限於,積體電路晶片1202及至少一通訊晶片1208。在某些實施方式中,該通訊晶片1208係製造成積體電路晶片1202的一 部分。積體電路晶片1202可包括中央處理器1204,以及片上記憶體(On-Die Memory)1206,通常是做為快取記憶體,其可由例如內嵌式動態隨機存取記憶體(eDRAM)或自旋轉移力矩記憶體(STTM或STTM-RAM)等技術來加以提供。
計算裝置1200可包括透過實體及電氣耦接至主機板上或製造於SoC晶片上的其他元件。這些其他元件包括,但並不限於,揮發性記憶體1210(例如DRAM)、非發揮性記憶體1212(例如ROM或快閃記憶體)、圖形處理單元1214(GPU)、數位信號處理器1216、加密處理器1242(一種在硬體內執行加密演算法的專用處理器)、晶片組1220、天線1222、顯示器或觸控面板顯示器1224、觸控面板控制器1226、電池1228或其他電源、功率放大器(未顯示)、全球定位系統(GPS)裝置1244、羅盤1230、運動協同處理器或感測器1232(其可包括加速度計、陀螺儀、及羅盤)、揚聲器1234、攝影機1236、使用者輸入裝置1238(例如鍵盤、滑鼠、觸控筆、及觸控板)、以及大量儲存器1240(例硬碟、光碟(CD)、數位多功能光碟(DVD)等等)。
通訊晶片1208可供做無線通訊,以傳輸數據至計算裝置1200或自其上傳出數據。“無線”一詞及其相關詞語係用來描述可透過非實體介質使用調變電磁輻射來傳送數據的電路、裝置、系統、方法、技術、通訊頻道 等。此詞彙並不意指這些裝置是不含有電線,但是在某些實施例中,相關的裝置內是不含有任何電線。通訊晶片1208可以多種無線標準或協定來加以實施,包括但不限於Wi-Fi(IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長期演進技術(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍芽、其等的變化,以及任何設計其他供3G、4G、5G、及以上者的無線協定。計算裝置1200可包括複數通訊晶片1208。例如,第一通訊晶片1208可專供短距離無線通訊之用,例如Wi-Fi及藍芽,而第二通訊晶片1208則可專供長距離無線通訊用,例如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO、及其他。
計算裝置1200的處理器1204包括一或多個元件,例如耦接至一或多個形成在互連結構上之互連線的電晶體,該互連結構可包括有本發明實施例的交替介電帽蓋及蝕刻停止襯墊。“處理器”一詞是指任何裝置或裝置的一部分,其能夠處理來自暫存器及/或記憶體的電子數據,以將該等電子數據轉換成其他能夠儲存在暫存器及/或記憶體內的電子數據。
通訊晶片1208亦可包括一或多個元件,例如耦接至一或多個形成在互連結構上之互連線的電晶體,該互連結構可包括有本發明實施例的交替介電帽蓋及蝕刻停止襯墊。
在其他的實施例中,其他包覆在計算裝置1200內的組件可包含一或多個元件,例如耦接至一或多個形成在互連結構上之互連線的電晶體,該互連結構可包括有本發明實施例的交替介電帽蓋及蝕刻停止襯墊。
在各種的實施例中,計算裝置1200可以是一膝上型電腦、上網型電腦、筆記型電腦、超輕薄型電腦、智慧型手機、平板電腦、做人數位助理(PDA)、超攜帶個人電腦、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位攝影機、可攜式音樂播放器、或數位視訊記錄器。在其他的實施方式中,計算裝置1200可以是任何其他可以處理數據的電子裝置。
前述有關於本發明實施方式的說明,包含摘要中所描述者,並不是本發明的全部或用以限制本發明於本文中所具體描述者。雖然本文中係針對本發明的特定實施方式,及其範例,加以說明,但熟知相關技藝之人士當可知曉,在本發明範圍內,仍有多種等效的變化是可能的。
這些變化可就前述詳細說明而施行於本發明。下文申請專利範圍內所用之詞語並不應解讀成將本發明限定於說明書及申請專利範圍內所揭示的特定實施方式而已。反之,本發明的範疇應由下附的申請專利範圍來加以決定,而申請專利範圍的解讀則需依據已知的權利解譯原則來加以解讀。
本發明的實施例包括一互連結構,其包含:層間介電質(ILD),具有形成於該ILD的頂面上的第一硬遮罩;一或多個第一互連線,位於該ILD內,其中第一介電帽蓋位於各第一互連線的頂面上;一或多個第二互連線,以與該第一互連線交替的方式設於該ILD內,其中第二介電帽蓋形成於各第二互連線的頂面上;以及蝕刻停止襯墊,形成於該第一介電帽蓋的頂面上。另一實施例中亦可包括一互連結構,其中該蝕刻停止襯墊將該第一介電帽蓋從該第一互連線分隔開。另一實施例中亦可包括一互連結構,其中該蝕刻停止襯墊將該第二介電帽蓋從該第二互連線分隔開,且其中該蝕刻停止襯墊係形成為沿著第二介電帽蓋的側壁及位於第一硬遮罩的頂面。另一實施例中亦可包括一互連結構,其中該蝕刻停止襯墊形成於該第二介電帽蓋的頂面上。另一實施例中亦可包括一互連結構,其中該蝕刻停止襯墊是一種在蝕刻停止襯墊蝕刻製程中相對於該第一介電帽蓋、該第二介電帽蓋、及該第一硬遮罩具有10:1或更大之蝕刻選擇性的材料。另一實施例中亦可包括一互連結構,其中該蝕刻停止襯墊蝕刻製程是濕蝕刻製程。另一實施例中亦可包括一互連結構,其中乾蝕刻製程選擇性地蝕刻該第一介電帽蓋或該第二介電帽蓋的至少一者。另一實施例中亦可包括一互連結構,其中該第一介電帽蓋是不同於該第二介電帽蓋的材料。另一實施例中亦可包括一互連結構,其中該第一介電帽蓋在一給定蝕刻製程中相對於該第二介電帽蓋具有10:1或更大的蝕刻選擇 性。另一實施例中亦可包括互連結構,進一步包含一或多個第一貫孔,貫穿過該ILD,其中第一介電帽蓋形成於該一或多個第一貫孔的頂面上。另一實施例中亦可包括互連結構,進一步包含一或多個第二貫孔,貫穿過該ILD,其中一第二介電帽蓋形成於該一或多個第二貫孔的頂面上。另一實施例中亦可包括互連結構,其中第一及另一實施例中亦可包括一互連結構,其中該蝕刻停止襯墊是氧化鋁或氧化鉿材料。另一實施例中亦可包括互連結構,其中該第一互連線與該第二互連線間隔小於25nm。
本發明的實施例亦可包括一種用以形成互連部的方法,包含:形成一或多個第一溝道於一層間介電質(ILD)上;配置第一金屬於該一或多個第一溝道內,以形成第一互連線;形成一蝕刻停止襯墊於該第一互連線的頂面上;形成第一介電帽蓋於形成在該第一互連線頂面上的該蝕刻停止襯墊形成於上;以與該第一溝道交替的方式,形成一或多個第二溝道於該ILD上;配置第二金屬於該一或多個第二溝道內,以形成第二互連線;形成蝕刻停止襯墊於該第二互連線的頂面及該第一介電帽蓋的頂面上;以及形成第二介電帽蓋於該第二互連線上。另一實施例亦可包含一種用以形成互連部的方法,其中形成該第一溝道的步驟包含:形成主幹層於一形成於該ILD上的第一硬遮罩上;形成間隔件於該主幹層上,其中該第一硬遮罩的一部分係保留外露於該間隔件之間;以及蝕刻穿過該第一硬遮罩的該外露部分,並進入位在該第一硬遮罩之該外 露部分下方的該ILD。另一實施例亦可包含一種用以形成互連部的方法,其中形成該第二溝道的步驟包含:蝕刻穿過該主幹層;以及蝕刻穿過該第一硬遮罩的部分,並進入該ILD內。另一實施例亦可包含一種用以形成互連部的方法,進一步包含:在配置該第一金屬於該第一溝道內之前,蝕刻穿過該ILD位在一或多個該第一溝道下方的部分;以及在配置該第二金屬於該第二溝道內之前,蝕刻穿過該ILD位在一或多個該第二溝道下方的部分。另一實施例亦可包含一種用以形成互連部的方法,其中該蝕刻停止襯墊相對於該第一介電帽蓋及該第二介電帽蓋具有10:1或更大的蝕刻選擇性。另一實施例亦可包含一種用以形成互連部的方法,其中該第一互連線與該第二互連線間隔小於25nm。另一實施例亦可包含一種用以形成互連部的方法,其中該第一及第二帽蓋是SiOxCyNz材料、金屬氧化物材料、或金屬氮化物材料,且其中該蝕刻停止襯墊是氧化鋁或氧化鉿材料。
本發明的實施例亦可包括一種用以形成互連部的方法,包含:形成第一硬遮罩於層間介電質(ILD)上;形成主幹硬遮罩於該第一硬遮罩上;形成間隔件層於該主幹硬遮罩及該第一硬遮罩之外露部分的表面上;蝕刻穿過該間隔件層,以形成沿著該主幹硬遮罩之側壁設置的主幹間隔件;蝕刻穿過該第一硬遮罩及該ILD,以形成第一溝道於該ILD上;配置第一金屬於一或多個該第一溝道內,以形成第一互連線;形成蝕刻停止襯墊於該第一互連 線的頂面上;形成第一介電帽蓋於形成在該第一互連線頂面上的該蝕刻停止襯墊形成於上;蝕刻穿過該主幹硬遮罩,以及該第一硬遮罩及該ILD位在該主幹硬遮罩下方的部分,以形成第二溝道;配置第二金屬於一或多個該第二溝道內,以形成第二互連線;形成蝕刻停止襯墊於該第二互連線的頂面及該第一介電帽蓋的頂面;形成第二介電帽蓋於該第二互連線上;以及移除該主幹間隔件。另一實施例亦可包含一種用以形成互連部的方法,其中該蝕刻停止襯墊在蝕刻停止襯墊蝕刻製程中,相對於該第一介電帽蓋及該第二介電帽蓋具有10:1或更大的蝕刻選擇性。另一實施例亦可包含一種用以形成互連部的方法,其中蝕刻停止襯墊蝕刻製程是濕蝕刻製程。另一實施例亦可包含一種用以形成互連部的方法,另一實施例亦可包含一種用以形成互連部的方法,其中該第一及第二介電帽蓋是SiOxCyNz材料、金屬氧化物材料、或金屬氮化物材料,且其中該蝕刻停止襯墊是氧化鋁或氧化鉿材料。
100:互連結構
100B:互連結構
100C:互連結構
100D:互連結構
103:層間介電質
105:第一硬遮罩
121:第一互連線
122:第二互連線
123:第一貫孔
124:第二貫孔
125:第一介電帽蓋
126:第二介電帽蓋
127:頂面
128:底面
129:側壁
132:頂面
134:頂面
136:蝕刻停止襯墊
137:頂面
180:第二層間介電質

Claims (25)

  1. 一種互連結構,包含:層間介電質(ILD),具有形成於該ILD的頂面上的第一硬遮罩;一或多個第一互連線,形成於該ILD內,其中第一介電帽蓋形成於該各第一互連線的頂面上,且其中該第一介電帽蓋的頂面大致上與該第一硬遮罩的頂面共平面;一或多個第二互連線,以與該第一互連線交替的方式形成於該ILD內,其中第二介電帽蓋形成於該各第二互連線的頂面上;以及蝕刻停止襯墊,形成於該第一介電帽蓋的頂面上。
  2. 如申請專利範圍第1項所述之互連結構,其中該蝕刻停止襯墊將該第一介電帽蓋從該第一互連線分隔開。
  3. 如申請專利範圍第1項所述之互連結構,其中該蝕刻停止襯墊將該第二介電帽蓋從該第二互連線分隔開。
  4. 如申請專利範圍第1項所述之互連結構,其中該蝕刻停止襯墊形成於該第二介電帽蓋的頂面上。
  5. 如申請專利範圍第1項所述之互連結構,其中該蝕刻停止襯墊是一種在蝕刻停止襯墊蝕刻製程中相對於該第一介電帽蓋、該第二介電帽蓋、及該第一硬遮罩具有10:1或更大之蝕刻選擇性的材料。
  6. 如申請專利範圍第5項所述之互連結構,其中該蝕刻停止襯墊蝕刻製程是濕蝕刻製程。
  7. 如申請專利範圍第6項所述之互連結構,其中乾 蝕刻製程選擇性地蝕刻該第一介電帽蓋或該第二介電帽蓋的至少一者。
  8. 如申請專利範圍第1項所述之互連結構,其中該第一介電帽蓋是不同於該第二介電帽蓋的材料。
  9. 如申請專利範圍第8項所述之互連結構,其中該第一介電帽蓋在一給定蝕刻製程中相對於該第二介電帽蓋具有10:1或更大的蝕刻選擇性。
  10. 如申請專利範圍第1項所述之互連結構,進一步包含一或多個第一貫孔,貫穿過該ILD,其中第一介電帽蓋形成於該一或多個第一貫孔的頂面上。
  11. 如申請專利範圍第1項所述之互連結構,進一步包含一或多個第二貫孔,貫穿過該ILD,其中第二介電帽蓋形成於該一或多個第二貫孔的頂面上。
  12. 如申請專利範圍第1項所述之互連結構,其中該第一及第二帽蓋是SiOxCyNz材料、金屬氧化物材料、或金屬氮化物材料。
  13. 如申請專利範圍第1項所述之互連結構,其中該蝕刻停止襯墊是氧化鋁或氧化鉿材料。
  14. 如申請專利範圍第1項所述之互連結構,其中該第一互連線與該第二互連線間隔小於25nm。
  15. 一種用以形成互連部的方法,包含以下步驟:形成一或多個第一溝道於層間介電質(ILD)內;配置第一金屬於該一或多個第一溝道內,以形成第一互連線; 形成蝕刻停止襯墊於該第一互連線的頂面上;形成第一介電帽蓋於形成在該第一互連線頂面上的該蝕刻停止襯墊上;以與該第一溝道交替的方式,形成一或多個第二溝道於該ILD內;配置第二金屬於該一或多個第二溝道內,以形成第二互連線;形成蝕刻停止襯墊於該第二互連線的頂面及該第一介電帽蓋的頂面上;以及形成第二介電帽蓋於該第二互連線上。
  16. 如申請專利範圍第15項所述之方法,其中形成該第一溝道包含:形成主幹層於形成在該ILD上的第一硬遮罩上;形成間隔件於該主幹層上,其中該第一硬遮罩的一部分係保留外露於該間隔件之間;以及蝕刻穿過該第一硬遮罩的該外露部分,並進入位在該第一硬遮罩之該外露部分下方的該ILD內。
  17. 如申請專利範圍第16項所述之方法,其中形成該第二溝道的步驟包含:蝕刻穿過該主幹層;以及蝕刻穿過該第一硬遮罩的部分,並進入該ILD內。
  18. 如申請專利範圍第15項所述之方法,進一步包含:在配置該第一金屬於該第一溝道內之前,蝕刻穿過該 ILD形成於一或多個該第一溝道下方的部分;以及在配置該第二金屬於該第二溝道內之前,蝕刻穿過該ILD形成於一或多個該第二溝道下方的部分。
  19. 如申請專利範圍第15項所述之方法,其中該蝕刻停止襯墊相對於該第一介電帽蓋及該第二介電帽蓋具有10:1或更大的蝕刻選擇性。
  20. 如申請專利範圍第15項所述之方法,其中該第一互連線與該第二互連線間隔小於25nm。
  21. 如申請專利範圍第15項所述之方法,其中該第一及第二帽蓋是SiOxCyNz材料、金屬氧化物材料、或金屬氮化物材料,且其中該蝕刻停止襯墊是氧化鋁或氧化鉿材料。
  22. 一種用以形成互連部的方法,包含:形成第一硬遮罩於層間介電質(ILD)上;形成主幹硬遮罩於該第一硬遮罩上;形成間隔件層於該主幹硬遮罩及該第一硬遮罩之外露部分的表面上;蝕刻穿過該間隔件層,以形成沿著該主幹硬遮罩之側壁設置的主幹間隔件;蝕刻穿過該第一硬遮罩及該ILD,以形成第一溝道於該ILD內;配置第一金屬於一或多個該第一溝道內,以形成第一互連線;形成蝕刻停止襯墊於該第一互連線的頂面上; 形成第一介電帽蓋於形成在該第一互連線頂面上的該蝕刻停止襯墊上;蝕刻穿過該主幹硬遮罩,以及該第一硬遮罩及該ILD位在該主幹硬遮罩下方的部分,以形成第二溝道;配置第二金屬於一或多個該第二溝道內,以形成第二互連線;形成蝕刻停止襯墊於該第二互連線的頂面及該第一介電帽蓋的頂面上;形成第二介電帽蓋於該第二互連線上;以及移除該主幹間隔件。
  23. 如申請專利範圍第22項所述之方法,其中該蝕刻停止襯墊在蝕刻停止襯墊蝕刻製程中,相對於該第一介電帽蓋及該第二介電帽蓋具有10:1或更大的蝕刻選擇性。
  24. 如申請專利範圍第23項所述之方法,其中該蝕刻停止襯墊蝕刻製程是濕蝕刻製程。
  25. 如申請專利範圍第22項所述之方法,其中該第一及第二介電帽蓋是SiOxCyNz材料、金屬氧化物材料、或金屬氮化物材料,且其中該蝕刻停止襯墊是氧化鋁或氧化鉿材料。
TW104138335A 2014-12-22 2015-11-19 使用交替硬遮罩及包封蝕刻停止襯墊架構的以導孔接觸緊密間距導電層的方法及結構 TWI692074B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
WOPCT/US14/71999 2014-12-22
PCT/US2014/071999 WO2016105350A1 (en) 2014-12-22 2014-12-22 Method and structure to contact tight pitch conductive layers with guided vias using alternating hardmasks and encapsulating etchstop liner scheme

Publications (2)

Publication Number Publication Date
TW201635468A TW201635468A (zh) 2016-10-01
TWI692074B true TWI692074B (zh) 2020-04-21

Family

ID=56151161

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104138335A TWI692074B (zh) 2014-12-22 2015-11-19 使用交替硬遮罩及包封蝕刻停止襯墊架構的以導孔接觸緊密間距導電層的方法及結構

Country Status (6)

Country Link
US (1) US10032643B2 (zh)
EP (1) EP3238244A4 (zh)
KR (1) KR102304792B1 (zh)
CN (1) CN107004633B (zh)
TW (1) TWI692074B (zh)
WO (1) WO2016105350A1 (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3238247A4 (en) * 2014-12-24 2018-08-22 Intel Corporation Novel method for creating alternate hardmask cap interconnect structure with increased overlay margin
US10504774B2 (en) * 2016-07-20 2019-12-10 Globalfoundries Inc. Lithographic patterning to form fine pitch features
US10534273B2 (en) 2016-12-13 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-metal fill with self-aligned patterning and dielectric with voids
US10515896B2 (en) 2017-08-31 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure for semiconductor device and methods of fabrication thereof
TWI796358B (zh) * 2017-09-18 2023-03-21 美商應用材料股份有限公司 選擇性蝕刻的自對準通孔製程
WO2019190499A1 (en) * 2018-03-28 2019-10-03 Intel Corporation Etch stop layer-based approaches for conductive via fabrication and structures resulting therefrom
US11437284B2 (en) 2018-08-31 2022-09-06 Applied Materials, Inc. Contact over active gate structure
US10930555B2 (en) 2018-09-05 2021-02-23 Applied Materials, Inc. Contact over active gate structure
US10930556B2 (en) 2018-09-05 2021-02-23 Applied Materials, Inc. Contact over active gate structure
US11502001B2 (en) * 2018-10-31 2022-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with self-aligned vias
US11437273B2 (en) 2019-03-01 2022-09-06 Micromaterials Llc Self-aligned contact and contact over active gate structures
US11594448B2 (en) * 2019-06-07 2023-02-28 Intel Corporation Vertical edge blocking (VEB) technique for increasing patterning process margin
CN112151497B (zh) * 2019-06-28 2023-08-22 台湾积体电路制造股份有限公司 半导体结构以及形成半导体结构的方法
US11227833B2 (en) * 2019-09-16 2022-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method for forming the same
US11069610B2 (en) * 2019-10-15 2021-07-20 Micron Technology, Inc. Methods for forming microelectronic devices with self-aligned interconnects, and related devices and systems
US11195792B2 (en) 2020-01-10 2021-12-07 International Business Machines Corporation Top via stack
US11127676B2 (en) 2020-01-16 2021-09-21 International Business Machines Corporation Removal or reduction of chamfer for fully-aligned via
US11289371B2 (en) 2020-01-23 2022-03-29 International Business Machines Corporation Top vias with selectively retained etch stops
US11232977B2 (en) 2020-02-11 2022-01-25 International Business Machines Corporation Stepped top via for via resistance reduction
US11244853B2 (en) 2020-04-23 2022-02-08 International Business Machines Corporation Fully aligned via interconnects with partially removed etch stop layer
US11189568B2 (en) 2020-04-29 2021-11-30 International Business Machines Corporation Top via interconnect having a line with a reduced bottom dimension
US11456206B2 (en) * 2020-07-22 2022-09-27 Nanya Technology Corporation Semiconductor structure and method of manufacturing the same
EP3982399A1 (en) * 2020-10-06 2022-04-13 Imec VZW A method for producing an interconnect via
US20230016977A1 (en) * 2021-07-19 2023-01-19 International Business Machines Corporation Self-aligning spacer tight pitch via
US11978668B2 (en) 2021-09-09 2024-05-07 Samsung Electronics Co., Ltd. Integrated circuit devices including a via and methods of forming the same
US11869808B2 (en) 2021-09-22 2024-01-09 International Business Machines Corporation Top via process with damascene metal

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070182014A1 (en) * 2006-02-06 2007-08-09 Nec Electronics Corporation Semiconductor device and method for manufacturing same
US20130328208A1 (en) * 2012-06-07 2013-12-12 International Business Machines Corporation Dual damascene dual alignment interconnect scheme

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7727892B2 (en) * 2002-09-25 2010-06-01 Intel Corporation Method and apparatus for forming metal-metal oxide etch stop/barrier for integrated circuit interconnects
US7538434B2 (en) * 2005-03-08 2009-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Copper interconnection with conductive polymer layer and method of forming the same
US8193087B2 (en) * 2006-05-18 2012-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Process for improving copper line cap formation
US7659196B2 (en) * 2006-12-20 2010-02-09 Intel Corporation Soluble hard mask for interlayer dielectric patterning
US20090081864A1 (en) * 2007-09-21 2009-03-26 Texas Instruments Incorporated SiC Film for Semiconductor Processing
US20090085120A1 (en) * 2007-09-28 2009-04-02 Texas Instruments Incorporated Method for Reduction of Resist Poisoning in Via-First Trench-Last Dual Damascene Process
US20090200668A1 (en) * 2008-02-07 2009-08-13 International Business Machines Corporation Interconnect structure with high leakage resistance
US20090200683A1 (en) * 2008-02-13 2009-08-13 International Business Machines Corporation Interconnect structures with partially self aligned vias and methods to produce same
US8013446B2 (en) 2008-08-12 2011-09-06 International Business Machines Corporation Nitrogen-containing metal cap for interconnect structures
US7928003B2 (en) * 2008-10-10 2011-04-19 Applied Materials, Inc. Air gap interconnects using carbon-based films
US20120100717A1 (en) * 2010-10-26 2012-04-26 Texas Instruments Incorporated Trench lithography process
US8349731B2 (en) * 2011-03-25 2013-01-08 GlobalFoundries, Inc. Methods for forming copper diffusion barriers for semiconductor interconnect structures
US9312204B2 (en) * 2013-09-27 2016-04-12 Intel Corporation Methods of forming parallel wires of different metal materials through double patterning and fill techniques
US9054164B1 (en) * 2013-12-23 2015-06-09 Intel Corporation Method of forming high density, high shorting margin, and low capacitance interconnects by alternating recessed trenches
US9324650B2 (en) * 2014-08-15 2016-04-26 International Business Machines Corporation Interconnect structures with fully aligned vias
EP3238246A4 (en) * 2014-12-24 2018-08-22 Intel Corporation Structure and method to self align via to top and bottom of tight pitch metal interconnect layers
EP3238247A4 (en) * 2014-12-24 2018-08-22 Intel Corporation Novel method for creating alternate hardmask cap interconnect structure with increased overlay margin

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070182014A1 (en) * 2006-02-06 2007-08-09 Nec Electronics Corporation Semiconductor device and method for manufacturing same
US20130328208A1 (en) * 2012-06-07 2013-12-12 International Business Machines Corporation Dual damascene dual alignment interconnect scheme

Also Published As

Publication number Publication date
WO2016105350A1 (en) 2016-06-30
EP3238244A1 (en) 2017-11-01
CN107004633A (zh) 2017-08-01
US20170330761A1 (en) 2017-11-16
CN107004633B (zh) 2020-10-30
KR20170095827A (ko) 2017-08-23
EP3238244A4 (en) 2018-08-15
KR102304792B1 (ko) 2021-09-27
US10032643B2 (en) 2018-07-24
TW201635468A (zh) 2016-10-01

Similar Documents

Publication Publication Date Title
TWI692074B (zh) 使用交替硬遮罩及包封蝕刻停止襯墊架構的以導孔接觸緊密間距導電層的方法及結構
TWI697993B (zh) 使通孔自對準至緊密間距金屬互連層的頂部及底部的結構及方法
TWI550715B (zh) 形成高密度,高短邊距,低電容之互連交替式凹溝的方法及其結構
US20220157619A1 (en) Textile patterning for subtractively-patterned self-aligned interconnects, plugs, and vias
TWI673846B (zh) 產生具有增加重疊邊界的交替硬遮罩覆蓋互連結構之新穎方法
US10636700B2 (en) Metal via processing schemes with via critical dimension (CD) control for back end of line (BEOL) interconnects and the resulting structures
TWI706442B (zh) 用於後段製程線路(beol)互連之柵格自行對準金屬穿孔處理方法及由其所生成的結構
TW201721741A (zh) 用於無遮罩氣隙及替代層間介電質(ild)層製程的極薄帽介電層
US10971394B2 (en) Maskless air gap to prevent via punch through
TW201826497A (zh) 用於積體電路裝置之預成的層間連接
TW201635388A (zh) 具有氣隙集成電容效益之通孔自我調整和短路改善
TWI552269B (zh) 操作為空間變換器之設備、具有空間變換器之設備及用於半導體封裝之方法
TW201715694A (zh) 超薄功能塊透過固相黏著劑及選擇性轉移之異質集成
TWI624882B (zh) 具有帶有整合附接結構之嵌入式跡線層的微電子基板