TWI674641B - 用於晶圓釋氣控制的方法與設備 - Google Patents

用於晶圓釋氣控制的方法與設備 Download PDF

Info

Publication number
TWI674641B
TWI674641B TW106130087A TW106130087A TWI674641B TW I674641 B TWI674641 B TW I674641B TW 106130087 A TW106130087 A TW 106130087A TW 106130087 A TW106130087 A TW 106130087A TW I674641 B TWI674641 B TW I674641B
Authority
TW
Taiwan
Prior art keywords
purge gas
foup
processing system
pipe
semiconductor processing
Prior art date
Application number
TW106130087A
Other languages
English (en)
Other versions
TW201824436A (zh
Inventor
鮑新宇
春 燕
華 仲
紹芳 諸
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201824436A publication Critical patent/TW201824436A/zh
Application granted granted Critical
Publication of TWI674641B publication Critical patent/TWI674641B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本文所揭示的具體實施例,大抵相關於用於控制基板釋氣的方法與設備,以在對基板執行Si:As處理之後且在額外的處理之前,將有害的氣體從基板表面除去。設備包含淨化站,淨化站包含外殼、氣體供應器、排氣幫浦、第一淨化氣體通口、第一管道、第二淨化氣體通口、以及第二管道,氣體供應器耦接至外殼,排氣幫浦耦接至外殼,第一淨化氣體通口形成於外殼內,第一管道的第一端可操作地連接至氣體供應器而第二端可操作地連接至第一淨化氣體通口,第二淨化氣體通口形成於外殼中,且第二管道的第三端可操作地連接至第二淨化氣體通口而第四端可操作地連接至排氣幫浦。第一管道包含粒子濾除器、加熱器、以及流量控制器。第二管道包含乾洗滌器(dry scrubber)。

Description

用於晶圓釋氣控制的方法與設備
本揭示內容的具體實施例一般而言相關於積體電路的製造。更特定而言,本文揭示的具體實施例相關於用於控制基板釋氣(outgassing)的設備與方法。
現代的邏輯、記憶體、或積體電路的製造,通常需要多於四百個處理步驟。該等步驟的一些為熱製程,熱製程將半導體基板的溫度提升到目標值,以引發表面薄膜的原子次序或化學的重新設置(例如擴散、氧化、再結晶、鹽化、緻密化、流動)。
離子植入(ion implantation)為將化學雜質引入半導體基板的方法,以形成製造場效電晶體或雙極性電晶體所必需的p-n接合區。此種雜質包含P型摻雜劑(諸如硼、鋁、鎵、鈹、鎂和鋅)以及N型摻雜劑(諸如磷、砷、銻、鉍、硒和碲)。化學雜質的離子植入,在植入範圍上破壞半導體基板的結晶。在低能量下,對基板的傷害相當低。然而,所植入的摻雜劑將不會停止在基板中的電性活躍位置上。因此,需要退火基板,以恢復基板的結晶並將所植入的摻雜劑驅動至電性活躍的結晶位置上。
在於(例如)RTP腔室中處理基板的期間內,基板可傾向釋氣植入到基板中的雜質。被釋氣的該等雜質,可為摻雜劑材料、從摻雜劑材料導出的材料、或任何其他在退火處理期間內可脫離基板的材料(諸如矽的昇華物)。被釋氣的雜質可沉積在較冷的壁以及腔室的其他構件上。此沉積可干擾高溫計溫度讀數以及基板上的輻射分佈場,此相應地影響了基板的退火溫度。被釋氣的雜質的沉積亦可在基板上產生不想要的粒子,且亦可在基板上產生滑線(slip line)。根據沉積物的化學組成物,使腔室離開生產線以進行濕式清洗製程以將沉積物移除。
在一些半導體處理中的一大挑戰,相關於在摻雜砷的製程理(Si:As)之後從基板將砷釋氣。此種摻雜砷的矽製程中的基板砷釋氣(例如每基板2 ppb(十億分之一)),高於III-V族磊晶生長製程及(或)蝕刻清洗製程(例如CMOS、FinFET、TFET製程)之後的基板砷釋氣(例如每基板0.2 ppb)。先前為了III-V族磊晶生長製程及(或)蝕刻清洗製程所開發的循環淨化作法,對於Si:As處理基板而言並不有效。已對先前已知的III-V族方法、設備執行測試,且結果指示在十次幫浦/淨化循環之後釋氣位準並未改變,而仍偵測到約2.0(ppb; 十億分之一)的砷釋氣。
由於砷的毒性,對於砷殘留物通常需要絕對零ppb的釋氣。為了在隨後的基板處置與處理期間內將來自砷釋氣的毒性最小化,需要改良用於控制對於Si:As處理基板的基板釋氣的方法與設備。
本文所揭示的具體實施例,大抵相關於用於控制基板釋氣的方法與設備,以在Si:As製程之後且在額外的製程之前,將有害的氣體從基板表面除去。在一個具體實施例中,本文揭示了一種半導體處理系統。系統包含淨化站。設備包含淨化站,淨化站包含外殼、氣體供應器、排氣幫浦、第一淨化氣體通口、第一管道、第二淨化氣體通口、以及第二管道,氣體供應器耦接至外殼,排氣幫浦耦接至外殼,第一淨化氣體通口形成於外殼內,第一管道的第一端可操作地連接至氣體供應器而第二端可操作地連接至第一淨化氣體通口,第二淨化氣體通口形成於外殼中,且第二管道的第三端可操作地連接至第二淨化氣體通口而第四端可操作地連接至排氣幫浦。第一管道包含粒子濾除器、加熱器、以及流量控制器。第二管道包含乾洗滌器。
在另一具體實施例中,本文揭示了一種半導體處理系統。系統包含淨化站以及耦合至淨化站的前開式晶圓傳送盒(Front Opening Unified Pod, FOUP)。淨化站包含外殼、氣體供應器、排氣幫浦、第一淨化氣體通口、第一管道、第二淨化氣體通口、以及第二管道,氣體供應器耦接至外殼,排氣幫浦耦接至外殼,第一淨化氣體通口形成於外殼內,第一管道的第一端可操作地連接至氣體供應器而第二端可操作地連接至第一淨化氣體通口,第二淨化氣體通口形成於外殼中且內部安置有氣體偵測器,且第二管道的第三端可操作地連接至第二淨化氣體通口而第四端可操作地連接至排氣幫浦。第一管道包含粒子濾除器、加熱器、以及流量控制器之至少一者。FOUP可操作地連接至第一淨化氣體通口與第二淨化氣體通口,且FOUP包含至少一個水平基板支座。
在另一具體實施例中,本文揭示了一種半導體處理方法。方法包含:(a)將前開式晶圓傳送盒(FOUP)可操作地連接至淨化站,淨化站具有淨化氣體入口與淨化氣體出口,淨化氣體入口與淨化氣體出口由分割器分離;(b)在FOUP中設置半導體基板;(c)經由淨化氣體入口供應淨化氣體至FOUP;以及(d)將淨化氣體傳輸通過FOUP。方法進一步包含:(e)經由淨化氣體出口從FOUP移除淨化氣體;(f)在從FOUP移除淨化氣體之後,測量毒性氣體釋氣位準;以及(g)在經由淨化氣體出口從FOUP移除淨化氣體之後,使淨化氣體流動通過乾洗滌器。
本文揭示的具體實施例大抵相關於釋氣控制系統以及用於控制釋氣的方法,以在摻雜砷的矽製程(Si:As)之後且在額外的製程之前,將有害的氣體從基板表面除去。系統包含淨化站、氣體供應器、排氣幫浦、第一淨化氣體通口、第一管道、第二淨化氣體通口、以及第二淨化氣體通口,淨化站包含外殼,氣體供應器耦接至外殼,排氣幫浦耦接至外殼,第一淨化氣體通口形成於外殼內,第一管道的第一端可操作地連接至氣體供應器而第二端可操作地連接至第一淨化氣體通口,第二淨化氣體通口形成於外殼中,且第二淨化氣體通口的第三端可操作地連接至第二淨化氣體通口而第四端可操作地連接至排氣幫浦。第一管道包含加熱器、粒子濾除器、及(或)流量控制器,加熱器用於加熱淨化氣體。第二管道包含乾洗滌器(dry scrubber)。已觀察到,在利用加熱的淨化氣體時,釋氣被更有效地減少。因此,將有害的氣體與釋氣殘留物降低及(或)從基板移除,而可執行進一步的處理。
本文所說明的「基板」或「基板表面」,一般而言代表在其上執行處理的任何基板表面。例如,基板表面可包含矽、氧化矽、摻雜矽、矽鍺、鍺、砷化鎵、玻璃、藍寶石以及任何其他材料,諸如金屬、金屬氮化物、金屬合金和其他導電或半導電材料,此取決於應用。基板或基板表面亦可包含介電材料,諸如二氧化矽、氮化矽、有機矽酸鹽和碳摻雜的氧化矽或氮化物材料。用詞「基板」可進一步包含用詞「晶圓」。基板自身不限於任何特定的尺寸或形狀。儘管本文所述實施例一般而言係參照圓形基板,但可根據本文所述實施例利用其他形狀,諸如多邊形、平方、矩形、彎曲或其他非圓形工件。
第1圖為釋氣控制系統100的示意俯視圖。釋氣控制系統100可為獨立式單元,因此分離自裝載閘腔室。然而在一些具體實施例中,釋氣控制系統100可為群集工具站的部分,且例如可被利用於提升磊晶工具的產量。
釋氣控制系統100包含淨化站102與前開式晶圓傳送盒(Front Opening Unified Pod; FOUP)104。FOUP 104為經配置以將複數個基板牢固且安全地固持在受控環境中的外殼。FOUP可固持約25個基板,每一基板位於以垂直定向設置在FOUP中的基板支座中(或位於基板支座上),使得每一基板在基板主軸上相對水平或平坦。然而,已思及到可在FOUP中固持任何數量的基板。FOUP 104為可攜式,因此允許在機器之間運送基板以供處理或測量。在一些具體實施例中,FOUP 104可被耦接至淨化站102。然而,FOUP 104在設置於FOUP 104內的基板的處理已完成之後,被運送至淨化站102。
淨化站102包含外殼103以及耦接至外殼103的氣體供應器106。在一些具體實施例中,氣體供應器106可被設置在淨化站102中。在其他具體實施例中,氣體供應器106可被可操作地連接至淨化站102。氣體供應器106可儲存及(或)供應潔淨的乾燥空氣(CDA)、氧氣、氮氣、或含氧氣體、以及其他適合的氣體。在某些具體實施例中,氣體供應器106可儲存及(或)供應的氣體,包含在約10%氧氣與約60%氧氣之間的氣體,諸如包含約20%氧氣的氣體。
在第一管道108的第一端110處,第一管道108可操作地連接至氣體供應器106。在第一管道108的第二端112處,第一管道108可操作地連接至淨化站102的第一淨化氣體通口114。第一淨化氣體通口114被形成在外殼103中。第一管道108可為任何適合的管道或管,用於將淨化氣體流從氣體供應器106引導至第一淨化氣體通口114。第一管道108將淨化氣體流從氣體供應器106引導至第一淨化氣體通口114,如第1圖中的參考符號箭頭A所示。在淨化氣體從氣體供應器流至第一管道108之後,在將淨化氣體流引導至第一淨化氣體通口114之前,第一管道108可將淨化氣體引導通過粒子濾除器116、加熱器118、及(或)流量控制器120。在一些具體實施例中,第一管道108可將淨化氣體引導通過粒子濾除器116、加熱器118、以及流量控制器120之任一者或更多者。再者,在某些具體實施例中,第一管道108將淨化氣體引導通過粒子濾除器116、加熱器118、以及流量控制器120之每一者,其引導可由任意順序發生。然而在某些具體實施例中,在淨化氣體已被濾除器116濾除之後,淨化氣體可被加熱器118加熱。在某些具體實施例中,淨化氣體首先可流動通過粒子濾除器116,接著流動通過加熱器118,且最終流至流量控制器120。
粒子濾除器116以約1 CFM與約350 CFM之間的速率過濾淨化氣體,速率例如約200 CFM與約300 CFM之間。粒子濾除器116可包含各種尺寸的孔,以濾除不同尺寸的顆粒。
加熱器118將淨化氣體加熱至約攝氏150度與約攝氏450度之間的溫度,例如約攝氏200度與約攝氏400度之間。在一些具體實施例中,加熱器118可為線圈加熱器、加熱器套、或電阻式加熱套。然而,已思及到加熱器118可為用於加熱氣體的任何適合的加熱單元。
流量控制器120控制淨化氣體的流動速率。在一些具體實施例中,流量控制器120進一步控制進入第一淨化氣體通口114的淨化氣體的氧氣位準,使得淨化氣體的氧氣位準在約1%與約40%之間,例如在約1%與約21%氧氣之間。在一些實施例中,流量控制器120藉由將第二氣體加入淨化氣體,來稀釋及(或)調諧淨化氣體的氧氣位準。在一些具體實施例中,第二氣體可為氮氣或含氮氣體。流量控制器120可為氣動流量計、手動可調式流量計、電性流量計、質量流量控制器等等。
在將淨化氣體引導通過粒子濾除器116、加熱器118、及(或)流量控制器120之後,第一管道108將淨化氣體引導至第一淨化氣體通口114。第一閥122設置在第一管道108與第一淨化氣體通口114。第一閥122可為閘閥、氣動閥、球閥、或任何其他適合的開閉閥。第一淨化氣體通口114設置為鄰接FOUP連結位置124。在第一閥122開啟時,淨化氣體被引導入及(或)進入FOUP 104。
第二淨化氣體通口126被形成在外殼103中,且被進一步設置為在FOUP連結位置124處鄰接第一淨化氣體通口114。在通過FOUP 104之後,淨化氣體被引導至第二淨化氣體通口126。在第二管道128的第三端130處,第二淨化氣體通口126被可操作地連接至第二管道128。第二管道128實質類似於上文所論述的第一管道108。第二閥144設置在第二淨化氣體通口126與第二管道128之間。第二閥144可為閘閥、氣動閥、球閥、或任何其他適合的開閉閥。在第二閥144開啟時,淨化氣體被引導入及(或)進入第二管道128。在第二管道128的第四端132處,第二管道128亦可操作地連接至排氣幫浦134,其中第四端132與第三端130相對。排氣幫浦134將淨化氣體泵送出第二管道128,並將淨化氣體抽出FOUP 104。第二管道128包含乾洗滌器136。乾洗滌器136設置在第二淨化氣體通口126的上游。乾洗滌器136清洗諸如砷之毒性氣體的淨化氣體。在通過乾洗滌器136之後,淨化氣體繼續在第二管道128中行進至排氣裝置142。第二管道128將淨化氣體流從第二淨化氣體通口126引導至排氣裝置142,如第1圖中的參考符號箭頭C所示。
第二淨化氣體通口126包含設置於其中的氣體偵測器140。氣體偵測器140為毒性氣體監測器(或感測器),氣體偵測器140測量毒性氣體(諸如砷)的濃度。在一些具體實施例中,氣體偵測器140可為電化學感測器、紅外線感測器、化學偵測器、化學帶、或任何其他適合的氣體感測器。為了接收精確的氣體偵測讀數,可在第一時間週期中供應熱淨化氣體入FOUP 104,第一時間週期例如在約一分鐘至約八分鐘之間,例如五分鐘。隨後,可在大約相同於第一時間週期的時間週期中,將室溫氮淨化氣體供應至FOUP 104。在一些具體實施例中,氮淨化氣體的溫度可高於或低於室溫。隨後,淨化氣體流停止,且氣體偵測器測量砷濃度。
分割器138設置在第一淨化氣體通口114與第二淨化氣體通口126之間。在一些具體實施例中,分割器138包含石英材料、聚四氟乙烯材料、熱塑性材料等等。分割器為非金屬材料。分割器138影響淨化氣體從第一淨化氣體通口114進入FOUP 104的流動路徑,如第1圖中的參考符號箭頭B所示。在淨化氣體被經由第一淨化氣體通口114供應至FOUP 104之後,分割器138防止淨化氣體直接進入第二淨化氣體通口126。因此,分割器138引導淨化氣體通過及(或)圍繞FOUP 104的內側,使得設置在FOUP 104中的基板暴露至淨化氣體。分割器138從淨化站102向外朝向FOUP 104延伸,使得在FOUP 104耦合至淨化站102時,分割器138緊接著基板邊緣,例如,分割器設置為與設置在FOUP 104內的基板的邊緣相距約1 mm至約10 mm之間。分割器138沿著設置在FOUP中的每一基板垂直延伸。在一些具體實施例中,分割器138垂直定向,並沿著FOUP 104的至少一個基板支座延伸。
在淨化站102的作業期間內,第一閥122與第二閥兩者可位於開啟位置,使得第一閥122與第二閥144之每一者在淨化站102作業期間內皆為開啟,由於作業可為連續製程。在於淨化站作業期間內開啟第一閥122與第二閥144之每一者之下,動能可允許淨化氣體持續流過淨化站102(包含FOUP 104),因此允許基板的機械攪動。
釋氣控制系統100亦可包含控制器146。控制器146協助釋氣控制系統100(包含淨化站102)的控制與自動化。控制器146可耦合至淨化站102、氣體供應器106、粒子濾除器116、加熱器118、流量控制器120、第一閥122、第二閥144、排氣幫浦134、氣體偵測器140、乾洗滌器136、及(或)排氣裝置142之一或更多者(或與此一或更多者連通)。在一些具體實施例中,淨化站102可提供資訊至控制器,資訊可關於基板釋氣、淨化氣體流、毒性氣體位準、氣體流動速率、氣體溫度、以及其他資訊。
控制器146可包含中央處理單元(CPU)148、記憶體150、以及支援電路(或I/O)152。CPU 148可為在用於控制各種處理和硬體(例如,模式產生器、馬達、與其他硬體)以及監控處理(例如處理時間和基板定位或位置)的工業設置中使用的任何形式的電腦處理器。記憶體150連接至CPU 148,且記憶體150可為一或更多個可輕易取得的記憶體,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、磁碟機、硬碟、或位於本端或遠端的任何其他形式的數位儲存器。軟體指令與資料可被編碼並儲存在記憶體中,以用於指示CPU 148。支援電路152耦接至CPU 148以由習知方式支援處理器。支援電路152可包含習知的快取、電源供應器、時脈電路、輸入輸出系統、子系統等等。可由控制器146讀取的程式(或電腦指令),實施本文(下文)所述之方法及(或)判定哪些工作是可執行的。程式可為控制器146可讀取的軟體,並可包含碼以監測並控制(例如)FOUP 104內的處理時間以及基板釋氣或定位。
在某些具體實施例中,控制器146可為PC微控制器。控制器146亦可自動化釋氣控制系統100所執行的處理序列,使得釋氣減少處理被執行,直到到達所需的釋氣位準為止。
第2圖為用於控制並減少釋氣的方法200的示意流程圖。基板釋氣一般而言相關於釋放來自基板(或來自基板表面)的氣體或蒸汽產物。控制釋氣相關於在移送基板以進行下游處理之前,減少及(或)消除來自基板的殘留釋氣材料(例如砷)。在一些具體實施例中,控制器146協助控制並自動化方法200。
在作業210,將前開式晶圓傳送盒(FOUP)可操作地連接至淨化站,淨化站具有淨化氣體入口以及淨化氣體出口,淨化氣體入口與淨化氣體出口藉由分割器而分離。
在作業220,經由淨化氣體入口供應淨化氣體至FOUP。從設置在FOUP上游的氣體供應器供應氣體。氣體供應器可固持多於一個淨化氣體。在一些具體實施例中,淨化氣體可包含潔淨的乾燥空氣(CDA)、含氧氣體、或任何其他適合的淨化氣體。在某些具體實施例中,淨化氣體為包含在約10%氧氣與約40%氧氣之間的氣體,諸如空氣。在一些具體實施例中,氣體供應器可在第一儲存單元中儲存含氧氣體,並在第二儲存區域中儲存含氮氣體。然而已思及到,氣體供應器亦可儲存其他適合的淨化氣體。
經由淨化氣體入口供應淨化氣體至FOUP,包含在進入FOUP之前將淨化氣體引導通過濾除器、在進入FOUP之前將淨化氣體引導通過加熱器、及(或)在進入FOUP之前將淨化氣體引導通過流量控制器。濾除器過濾淨化氣體,以從淨化氣體移除不想要的粒子。加熱器將淨化氣體加熱至約攝氏30度至約攝氏100度的溫度。流量控制器將淨化氣體流控制至約1 CFM與約350 CFM之間的流動速率。
在作業230,將淨化氣體傳輸通過FOUP。將淨化氣體傳輸通過FOUP,允許設置在FOUP中的每一基板暴露至淨化氣體。在一些具體實施例中,淨化氣體可為潔淨的乾燥氣體、或任何其他適合的含氧氣體。使基板暴露至氧,允許毒性氣體(諸如砷)的釋氣減少至安全位準。再者,淨化氣體將砷殘留物分解成穩定的氧化物及(或)副產品,副產品具有高蒸汽壓,且因此快速蒸發。因此,有意的脈衝及(或)將含氧淨化氣體提供到FOUP中,可由受控的方式移除砷以適當地減少砷。
再者,使含氧淨化氣體流入FOUP,可允許穩定的氧化物形成在基板表面上。再者,含氧淨化氣體可允許高蒸汽壓副產品,高蒸汽壓副產品可被從基板移除。再者,在基板上氧化可具有各種效應。氧化可截斷砷物質(例如在砷與OH基團之間)對碳的鍵結,以形成砷氧化物,砷氧化物可更快速地離開基板的表面。
在作業240,經由淨化氣體出口從FOUP移除淨化氣體。藉由使用設置在FOUP下游的排氣幫浦,將淨化氣體從FOUP移除。
在作業250,在從FOUP移除淨化氣體之後,測量毒性氣體釋氣位準。在一些具體實施例中,由氣體偵測器測量毒性氣體釋氣位準。氣體偵測器監測、感應、及(或)測量毒性氣體釋氣位準,例如其中的砷濃度。氣體偵測器可為電化學感測器、化學偵測器、化學帶、紅外線感測器、或任何其他適合的感測器或偵測器。
在作業260,在經由淨化氣體出口從FOUP移除淨化氣體之後,使淨化氣體流動通過乾洗滌器。乾洗滌器清洗釋氣毒性氣體(諸如砷)所排氣的淨化氣體。
在某些具體實施例中,將淨化氣體(諸如CDA)供應入FOUP約三分鐘至約七分鐘之間,例如約五分鐘。隨後,將含氮氣體供應入FOUP約三分鐘至約七分鐘之間。在CDA淨化與氮淨化每一者完成之後,淨化完成,且經由氣體偵測器測量毒性氣體(例如砷)的濃度。
在一些具體實施例中,在作業260初始完成之後,作業210、作業220、作業230、作業240、作業250、及(或)作業260可反覆至少一個額外的循環。藉由重複使淨化氣體流入FOUP、使淨化氣體停止流入FOUP、及(或)從FOUP移除淨化氣體,進一步將釋氣往下驅至零ppb位準。測試已完成,且結果指示在Si:As處理之後設置在FOUP中的基板的暴露,在暴露至經加熱的CDA之後釋氣減少至零ppb。
第3圖為用於控制並減少釋氣的方法300的示意流程圖。在一些具體實施例中,控制器146協助控制並自動化方法300。
在作業310,將FOUP移送至淨化站。FOUP中可包含一或更多個基板。
在作業320,開啟到FOUP的門,且FOUP被可操作地連接至淨化氣箱。在FOUP的門處,FOUP被可操作地連接至淨化氣箱,使得開啟的門鄰接淨化氣箱。淨化氣箱被分成兩個管道,使得淨化氣箱包含由分割器分離的淨化氣體入口與淨化氣體出口。
在作業330,潔淨的乾燥空氣(CDA)被供應自氣體供應器,且被過濾、加熱、並被流量控制器控制。在一些具體實施例中,CDA被加熱至約攝氏30度與約攝氏100度之間的溫度,例如約攝氏50度至約攝氏80度之間的溫度。在一些具體實施例中,流量控制器將CDA控制至約1 CFM至約350 CFM之間的流動速率,例如約1 CFM至約100 CFM之間。
在作業340,加熱的CDA被流動通過淨化箱入口進入FOUP。在作業350,藉由使CDA流動通過淨化氣體出口並通過乾洗滌器,以從FOUP移除加熱的CDA。在作業360,在淨化期間內,裝設在淨化氣體出口中的毒性氣體偵測器測量淨化氣體中的砷位準。亦思及到,毒性氣體偵測器亦可在淨化氣體出口中不存在淨化氣體時測量砷位準。在一些具體實施例中,反覆方法300,直到釋氣位準降至零ppb。
本揭示內容的益處,包含改良了基板產量,以及在進一步處理基板之前將殘留砷釋氣氣體從基板中消除。再者,不需要通風櫃即可控制釋氣。在隨後在腔室及(或)工具之間的製程之前,控制並移除釋氣。
額外的益處包含減少的污染物以及交叉污染物。再者,本揭示內容可被應用至所有的砷及(或)磷酸鹽的植入。
綜上所述,本文所揭示的具體實施例,相關於用於控制基板釋氣的方法與設備,以在對基板執行Si:As處理之後且在額外的處理之前,將有害的氣體從基板表面除去。被加熱的淨化氣體(一般而言為含氧氣體)被流至設置在FOUP中的基板。在淨化期間,以及在淨化之前或之後,毒性氣體偵測器持續測量砷位準。因此,將有害的氣體與釋氣殘留物降低及(或)從基板移除,而可執行進一步的處理。
儘管前述內容係關於本揭示內容的具體實施例,但可發想其他與進一步的具體實施例而不脫離前述內容的基板範圍,且前述內容的範圍係由下列申請專利範圍判定。
100‧‧‧釋氣控制系統
102‧‧‧淨化站
103‧‧‧外殼
104‧‧‧前開式晶圓傳送盒(FOUP)
106‧‧‧氣體供應器
108‧‧‧第一管道
110‧‧‧第一端
112‧‧‧第二端
114‧‧‧第一淨化氣體通口
116‧‧‧粒子濾除器
118‧‧‧加熱器
120‧‧‧流量控制器
122‧‧‧第一閥
124‧‧‧FOUP連結位置
126‧‧‧第二淨化氣體通口
128‧‧‧第二管道
130‧‧‧第三端
132‧‧‧第四端
134‧‧‧排氣幫浦
136‧‧‧乾洗滌器
138‧‧‧分割器
140‧‧‧氣體偵測器
142‧‧‧排氣裝置
144‧‧‧第二閥
146‧‧‧控制器
148‧‧‧中央處理器(CPU)
150‧‧‧記憶體
152‧‧‧支援電路
200‧‧‧方法
210‧‧‧作業
220‧‧‧作業
230‧‧‧作業
240‧‧‧作業
250‧‧‧作業
260‧‧‧作業
300‧‧‧方法
310‧‧‧作業
320‧‧‧作業
330‧‧‧作業
340‧‧‧作業
350‧‧‧作業
360‧‧‧作業
可參考多個具體實施例以更特定地說明以上簡要總結的本揭示內容,以更詳細瞭解本揭示內容的上述特徵,附加圖式圖示說明了其中一些具體實施例。然而應注意到,附加圖式僅圖示說明本揭示內容的典型具體實施例,且因此不應被視為限制本揭示內容的範圍,因為揭示內容可允許其他等效的具體實施例。
第1圖示意圖示說明根據一個具體實施例的釋氣控制系統的上通孔。
第2圖圖示說明根據一個具體實施例的用於控制釋氣的方法的示意流程圖。
第3圖圖示說明根據一個具體實施例的用於控制釋氣的方法的示意流程圖。
為了協助瞭解,已儘可能使用相同的元件符號標定圖式中共有的相同元件。已思及到,揭示於一個具體實施例中的要素,可無需進一步的敘述即可被有益地併入其他具體實施例中。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無

Claims (20)

  1. 一種半導體處理系統,包含:一淨化站,該淨化站包含:一外殼;一氣體供應器,該氣體供應器耦接至該外殼;一排氣幫浦,該排氣幫浦耦接至該外殼;一第一淨化氣體通口,該第一淨化氣體通口形成在該外殼中;一第一管道,該第一管道在一第一端處可操作地連接至該氣體供應器,且該第一管道在一第二端處可操作地連接至該第一淨化氣體通口,其中該第一管道包含:一粒子濾除器;一加熱器;以及一流量控制器;一第二淨化氣體通口,該第二淨化氣體通口形成在該外殼中;以及一第二管道,該第二管道在一第三端處可操作地連接至該第二淨化氣體通口,且該第二管道在一第四端處可操作地連接至該排氣幫浦,其中該第二管道包含一乾洗滌器。
  2. 如請求項1所述之半導體處理系統,該半導 體處理系統進一步包含一分割器,該分割器設置在該第一淨化氣體通口與該第二淨化氣體通口之間。
  3. 如請求項2所述之半導體處理系統,其中該分割器包含一石英材料、一聚四氟乙烯材料、或一熱塑性材料。
  4. 如請求項1所述之半導體處理系統,該半導體處理系統進一步包含一第一閥與一第二閥,該第一閥設置在該第一管道與該第一淨化氣體通口之間,且該第二閥設置在該第二淨化氣體通口與該第二管道之間。
  5. 如請求項1所述之半導體處理系統,該半導體處理系統進一步包含一前開式晶圓傳送盒(Front Opening Unified Pod,FOUP),該FOUP耦接至該淨化站的一外側。
  6. 如請求項5所述之半導體處理系統,其中該FOUP可操作地連接至該第一淨化氣體通口與該第二淨化氣體通口。
  7. 如請求項5所述之半導體處理系統,該半導體處理系統進一步包含一非金屬分割器,其中該FOUP包含水平地設置在該FOUP中的至少一個基板支座,且其中該非金屬分割器係垂直定向且沿著該至少一個基板支座延伸。
  8. 如請求項7所述之半導體處理系統,其中該非金屬分割器包含一石英材料、一聚四氟乙烯材料、或一熱塑性材料。
  9. 如請求項1所述之半導體處理系統,該半導體處理系統進一步包含:一氣體偵測器,該氣體偵測器設置在該第二淨化氣體通口內。
  10. 如請求項1所述之半導體處理系統,該半導體處理系統進一步包含:一控制器,該控制器可操作地連接至該淨化站,以控制該氣體供應器、該加熱器、該流量控制器、該排氣幫浦、以及該乾洗滌器的作業。
  11. 一種半導體處理系統,包含:一淨化站,該淨化站包含:一外殼;一氣體供應器,該氣體供應器耦接至該外殼;一排氣幫浦,該排氣幫浦耦接至該外殼;一第一淨化氣體通口,該第一淨化氣體通口形成在該外殼中;一第一管道,該第一管道在一第一端處可操作地連接至該氣體供應器,且該第一管道在一第二端處可操作地連接至該第一淨化氣體通口,其中該第一 管道包含下列之至少一者:一粒子濾除器;一加熱器;以及一流量控制器;一第二淨化氣體通口,該第二淨化氣體通口形成在該外殼中,且該第二淨化氣體通口具有設置在該第二淨化氣體通口中的一氣體偵測器;一第二管道,該第二管道在一第三端處可操作地連接至該第二淨化氣體通口,且該第二管道在一第四端處可操作地連接至該排氣幫浦;以及一前開式晶圓傳送盒(FOUP),該FOUP耦接至該淨化站,其中該FOUP可操作地連接至該第一淨化氣體通口與該第二淨化氣體通口,且該FOUP包含至少一個水平基板支座。
  12. 如請求項11所述之半導體處理系統,其中該第二管道包含一乾洗滌器。
  13. 如請求項11所述之半導體處理系統,該半導體處理系統進一步包含一分割器,該分割器設置在該第一淨化氣體通口與該第二淨化氣體通口之間。
  14. 如請求項13所述之半導體處理系統,其中該分割器包含一石英材料、一聚四氟乙烯材料、或一熱塑性材料。
  15. 如請求項11所述之半導體處理系統,該半導體處理系統進一步包含一第一閥與一第二閥,該第一閥設置在該第一管道與該第一淨化氣體通口之間,且該第二閥設置在該第二淨化氣體通口與該第二管道之間。
  16. 如請求項11所述之半導體處理系統,該半導體處理系統進一步包含:一控制器,該控制器可操作地連接至該淨化站,以控制該氣體供應器、該加熱器、該流量控制器、以及該排氣幫浦的作業。
  17. 一種半導體處理方法,包含以下步驟:(a)將一前開式晶圓傳送盒(FOUP)可操作地連接至一淨化站,該淨化站具有一淨化氣體入口與一淨化氣體出口,該淨化氣體入口與該淨化氣體出口由一分割器分離;(b)在該FOUP中設置一半導體基板;(c)經由該淨化氣體入口供應一淨化氣體至該FOUP;(d)使該淨化氣體傳輸通過該FOUP;(e)經由該淨化氣體出口從該FOUP移除該淨化氣體;(f)在從該FOUP移除該淨化氣體之後,測量一毒 性氣體釋氣位準;以及(g)在從該FOUP移除該淨化氣體之後,使該淨化氣體流動通過一乾洗滌器。
  18. 如請求項17所述之方法,其中供應該淨化氣體的該步驟(c)進一步包含以下步驟:在該淨化氣體進入該FOUP之前,引導該淨化氣體通過一濾除器;在該淨化氣體進入該FOUP之前,引導該淨化氣體通過一加熱器;以及在該淨化氣體進入該FOUP之前,引導該淨化氣體通過一流量控制器。
  19. 如請求項18所述之方法,其中在該淨化氣體進入該FOUP之前引導該淨化氣體通過該加熱器的步驟,將該淨化氣體加熱至一溫度,該溫度在約攝氏30度與約攝氏100度之間。
  20. 如請求項18所述之方法,其中在該淨化氣體進入該FOUP之前引導該淨化氣體通過該流量控制器的步驟,將該淨化氣體的該流動控制至一流動速率,該流動速率在約1CFM至約350CFM之間。
TW106130087A 2016-09-16 2017-09-04 用於晶圓釋氣控制的方法與設備 TWI674641B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/267,232 US10115607B2 (en) 2016-09-16 2016-09-16 Method and apparatus for wafer outgassing control
US15/267,232 2016-09-16

Publications (2)

Publication Number Publication Date
TW201824436A TW201824436A (zh) 2018-07-01
TWI674641B true TWI674641B (zh) 2019-10-11

Family

ID=61618044

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106130087A TWI674641B (zh) 2016-09-16 2017-09-04 用於晶圓釋氣控制的方法與設備
TW108132522A TW201944518A (zh) 2016-09-16 2017-09-04 用於晶圓釋氣控制的方法與設備

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW108132522A TW201944518A (zh) 2016-09-16 2017-09-04 用於晶圓釋氣控制的方法與設備

Country Status (4)

Country Link
US (2) US10115607B2 (zh)
KR (1) KR102252804B1 (zh)
TW (2) TWI674641B (zh)
WO (1) WO2018052763A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11901198B2 (en) 2019-07-12 2024-02-13 Axcelis Technologies, Inc. Toxic outgas control post process
US11735455B2 (en) 2021-03-12 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Systems, devices, and methods for air flow optimization including adjacent a FOUP
CN113464844B (zh) * 2021-06-23 2022-06-14 长江存储科技有限责任公司 一种供气系统和供气方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050111935A1 (en) * 2003-11-12 2005-05-26 Kim Hyeog-Ki Apparatus and method for improved wafer transport ambient
TW201219102A (en) * 2010-07-30 2012-05-16 Kondoh Ind Ltd Breathing filter unit for n2 gas purge, and purge device for n2 gas purging semiconductor wafer housing container equipped with the filter unit
TW201529187A (zh) * 2013-12-13 2015-08-01 Brooks Ccs Gmbh 循環基板容器清洗系統及其方法
TW201618219A (zh) * 2014-10-24 2016-05-16 應用材料股份有限公司 用於在工廠介面處淨化基板載具的系統、設備及方法
TW201622047A (zh) * 2014-07-25 2016-06-16 Shinetsu Polymer Co 基板收納容器

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3102849B2 (ja) * 1995-12-14 2000-10-23 株式会社サンテックシステム 排ガス処理装置
US5879458A (en) * 1996-09-13 1999-03-09 Semifab Incorporated Molecular contamination control system
JP2002313867A (ja) 2001-02-09 2002-10-25 Toshiba Corp 半導体装置の製造方法
US20080264443A1 (en) * 2002-02-05 2008-10-30 Novellus Systems, Inc. Apparatus and methods for increasing the rate of solute concentration evolution in a supercritical process chamber
US6688344B2 (en) * 2002-05-30 2004-02-10 Taiwan Semiconductor Manufacturing Co., Ltd Container flush and gas charge system and method
US6843833B2 (en) * 2003-06-16 2005-01-18 Powerchip Semiconductor Corp. Front opening unified pod and associated method for preventing outgassing pollution
EP1719153B1 (en) 2004-02-05 2009-10-21 Entegris, Inc. Purging of a wafer conveyance container
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
KR20080073518A (ko) * 2007-02-06 2008-08-11 세메스 주식회사 반도체 제조 설비 및 그의 가스 누출 검사 방법
JP5123618B2 (ja) * 2007-09-07 2013-01-23 東京エレクトロン株式会社 容器清浄度計測装置、基板処理システム及び容器清浄度計測方法
US8007275B2 (en) 2008-01-25 2011-08-30 Micron Technology, Inc. Methods and apparatuses for heating semiconductor wafers
US20090302002A1 (en) * 2008-02-29 2009-12-10 Applied Materials, Inc. Method and apparatus for removing polymer from a substrate
KR101657544B1 (ko) * 2009-04-06 2016-09-19 에이에스엠엘 홀딩 엔.브이. 레티클 고속 교환 장치에서 공유되는 준수사항, 및 레티클 스테이지
US8616821B2 (en) * 2010-08-26 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated apparatus to assure wafer quality and manufacturability
JP2012094822A (ja) 2010-09-30 2012-05-17 Shibaura Mechatronics Corp 密閉型容器及び半導体製造装置
KR101427726B1 (ko) * 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
US9411341B2 (en) * 2012-05-24 2016-08-09 Globalfoundries Singapore Pte. Ltd. Vacuum pump controller
JP6061545B2 (ja) * 2012-08-10 2017-01-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US9412632B2 (en) * 2012-10-25 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Reticle pod
US9579697B2 (en) * 2012-12-06 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. System and method of cleaning FOUP
WO2014141563A1 (ja) * 2013-03-15 2014-09-18 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、基板収納器搬送方法及びプログラム
JP6150724B2 (ja) * 2013-12-27 2017-06-21 東京エレクトロン株式会社 凹部を充填する方法
TWI780030B (zh) * 2015-10-05 2022-10-11 德商布魯克斯Ccs有限公司 形成用於一半導體基板並具有低溼度值的一乾淨的環境的方法及系統
JP6679907B2 (ja) * 2015-12-11 2020-04-15 Tdk株式会社 ロードポート装置及びロードポート装置における容器内への清浄化ガス導入方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050111935A1 (en) * 2003-11-12 2005-05-26 Kim Hyeog-Ki Apparatus and method for improved wafer transport ambient
TW201219102A (en) * 2010-07-30 2012-05-16 Kondoh Ind Ltd Breathing filter unit for n2 gas purge, and purge device for n2 gas purging semiconductor wafer housing container equipped with the filter unit
TW201529187A (zh) * 2013-12-13 2015-08-01 Brooks Ccs Gmbh 循環基板容器清洗系統及其方法
TW201622047A (zh) * 2014-07-25 2016-06-16 Shinetsu Polymer Co 基板收納容器
TW201618219A (zh) * 2014-10-24 2016-05-16 應用材料股份有限公司 用於在工廠介面處淨化基板載具的系統、設備及方法

Also Published As

Publication number Publication date
KR102252804B1 (ko) 2021-05-17
US10115607B2 (en) 2018-10-30
TW201944518A (zh) 2019-11-16
US20180082874A1 (en) 2018-03-22
WO2018052763A1 (en) 2018-03-22
TW201824436A (zh) 2018-07-01
US20190172728A1 (en) 2019-06-06
KR20190042757A (ko) 2019-04-24

Similar Documents

Publication Publication Date Title
TWI674641B (zh) 用於晶圓釋氣控制的方法與設備
US20190362989A1 (en) Substrate manufacturing apparatus and methods with factory interface chamber heating
KR101817185B1 (ko) 피가공재 상의 응축을 방지하기 위한 능동형 이슬점 감지 및 로드록 배기
US8821643B2 (en) In-situ chamber cleaning for an RTP chamber
KR102413271B1 (ko) 기판 이송 장치
US5489550A (en) Gas-phase doping method using germanium-containing additive
US11054184B2 (en) Methods and apparatus for processing a substrate to remove moisture and/or residue
JP5021907B2 (ja) 窒化物半導体製造装置の洗浄方法と洗浄装置
TW202006877A (zh) 定向腔室及處理基板的方法
TWI645070B (zh) 排氣系統
US20190157124A1 (en) Method for monitoring gas in wafer processing system
US9050616B2 (en) Substrate treatment apparatus and substrate treatment method
TWI673788B (zh) 用於晶圓釋氣控制的方法
TW201810490A (zh) 用於釋氣控制的氣體淨化系統與方法
TWI745387B (zh) 用於砷相關處理的除氣腔室
Kondoh et al. Measurements of trace gaseous ambient impurities on an atmospheric pressure rapid thermal processor
CN117080050A (zh) 一种热氧化反应装置
TWI528484B (zh) 避免工件凝結之主動露點感測及晶圓承載排氣