TWI650439B - 基板處理方法及基板處理裝置 - Google Patents

基板處理方法及基板處理裝置 Download PDF

Info

Publication number
TWI650439B
TWI650439B TW104128566A TW104128566A TWI650439B TW I650439 B TWI650439 B TW I650439B TW 104128566 A TW104128566 A TW 104128566A TW 104128566 A TW104128566 A TW 104128566A TW I650439 B TWI650439 B TW I650439B
Authority
TW
Taiwan
Prior art keywords
gas
etching
film forming
film
substrate
Prior art date
Application number
TW104128566A
Other languages
English (en)
Other versions
TW201619436A (zh
Inventor
三浦繁博
加藤壽
佐藤潤
菊地宏之
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201619436A publication Critical patent/TW201619436A/zh
Application granted granted Critical
Publication of TWI650439B publication Critical patent/TWI650439B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Abstract

一種基板處理方法,係將基板載置於被區劃為沿著旋轉台之旋轉方向而供給蝕刻氣體的處理區域,以及不供給該蝕刻氣體,而供給沖淨氣體之沖淨區域之處理室內所設置的該旋轉台上。將蝕刻氣體供給至該處理區域。將沖淨氣體供給至該沖淨區域。讓該旋轉台旋轉,而在讓該旋轉台旋轉一次時,會讓該基板通過該處理區域與該沖淨區域各一次。在該基板通過該處理區域時,便蝕刻該基板表面所形成之膜。藉由改變該旋轉台之旋轉速度來控制蝕刻該膜之蝕刻速率或蝕刻後該膜之表面粗度。

Description

基板處理方法及基板處理裝置
本發明係關於一種基板處理方法及基板處理裝置。
如日本特開2010-56470號公報所記載般,伴隨著半導體元件之電路圖案的更加微細化,關於構成半導體元件之各種膜亦被要求要更加的薄膜化及均勻化。能對應於此般要求的成膜方法係已知有一種藉由將第1反應氣體供給至基板而讓第1反應氣體吸附於基板表面,接著將第2反應氣體供給至基板而讓吸附於基板表面的第1反應氣體與第2反應氣體反應,來將由反應生成物所構成之膜沉積於基板上,亦即,分子層成膜法(MLD:Molecular Layer Deposition,亦稱為原子層成膜法(ALD:Atomic Layer deposition))。根據此般之成膜方法,由於反應氣體可(準)自飽和地吸附於基板表面,故可實現高膜厚控制性、優異均勻性以及優異填埋特性。
然而,伴隨著電路圖案之微細化,例如隨著溝槽元件分離構造中之溝槽或線‧空間‧圖案中之空間的縱寬比會變大,分子層成膜法中亦有難以填埋溝槽或空間的情況。
例如,在欲以氧化矽膜來填埋具有30nm左右之寬度的空間時,由於反應氣體難以進入狹窄空間之底部,故會有在區劃出空間之線側壁的上端部附近的膜厚會變厚,而在底部側膜厚會變薄的傾向。因此,便有填埋於空間之氧化矽膜會產生空隙的情況。如此般之氧化矽膜在例如後續的蝕刻工序中被蝕刻時,會於氧化矽膜上面形成有連通於空隙的開口。如此一來, 便有從此般開口讓蝕刻氣體(或蝕刻液)進入至空隙而產生污染,或在之後的金屬化時讓金屬進入至空隙中,而產生缺陷之虞。
相關問題並不止於ALD法,化學氣相沉積(CVD:Chemical Vapor Deposition)法中亦會產生。例如,在半導體基板所形成之連接孔填埋導電性物質之膜,而形成導電性連接孔(亦即栓塞)時,便有在栓塞中形成有空隙之情況。如日本特開2003-142484號公報所記載般,為了抑制此問題,便提議有一種在以導電性物質來填埋連接孔時,藉由反覆進行以回蝕來去除連接孔上部所形成之導電性物質的突出形狀部之工序,來形成經抑制空隙之導電性連接孔(亦即栓塞)的方法。
然而,在日本特開2003-142484號公報所記載之發明中,必須以不同之裝置來進行導電性物質膜之成膜與回蝕,而由於在裝置間之晶圓的搬送,或是各裝置內之處理條件的穩定化都需要時間,故有所謂無法提升產率的問題。
又,為了解決相關日本特開2003-142484號公報所記載之問題,而提議有一種如日本特開2012-209394號公報所記載般,使用成膜裝置,包含有:旋轉台,係載置基板;第1及第2反應氣體供給部,係可相對於旋轉台之基板載置面而供給成膜用第1及第2反應氣體;以及活性化氣體供給部,係將第1及第2反應氣體互相反應而生成的反應生成物改質之改質氣體及將蝕刻之蝕刻氣體活性化而加以供給,來在相同處理室內藉由旋轉台之旋轉而依序反覆成膜、改質及蝕刻的成膜方法來作為可降低於基板表面所形成之凹狀圖案的空隙之產生,並以高產率來填埋的成膜方法及成膜裝置。
然而,上述日本特開2012-209394號公報所記載之成膜方法中,係無法細微地控制蝕刻速率、所蝕刻之膜的表面粗度等的蝕刻條件,而難以讓成膜與蝕刻之平衡達到最佳條件,且根據基板表面所形成之凹狀圖案的形狀(縱寬比等)、所成膜之膜的種類等,亦有難以進行高品質之成膜的情況。
於是,本發明之目的在於提供一種可控制蝕刻速率、蝕刻後之膜的表面粗度等之蝕刻條件,即便在多樣的條件下仍可進行所欲之基板處理的基板處理方法及基板處理裝置。
為達上述目的,便提供一種本發明一態樣相關之基板處理方法。基板處理方法中,係將基板載置於被區劃為沿著旋轉台之旋轉方向而供給蝕刻氣體的處理區域,以及不供給該蝕刻氣體,而供給沖淨氣體之沖淨區域之處理室內所設置的該旋轉台上。將蝕刻氣體供給至該處理區域。將沖淨氣體供給至該沖淨區域。讓該旋轉台旋轉,而在讓該旋轉台旋轉一次時,會讓該基板通過該處理區域與該沖淨區域各一次。在該基板通過該處理區域時,便蝕刻該基板表面所形成之膜。藉由改變該旋轉台之旋轉速度來控制蝕刻該膜之蝕刻速率或蝕刻後該膜之表面粗度。
本發明之其他態樣相關的基板處理裝置係具有處理室。可將基板載置於表面上的旋轉台會設置於該處理室內。基板處理裝置係含有可將第1成膜氣體供給至該旋轉台之該表面的第1成膜氣體供給部。設置有與該第1成膜氣體供給部分離於該旋轉台之周圍方向,並可將與該第1成膜氣體反應的第2成膜氣體供給至該旋轉台之該表面的第2成膜氣體供給部。設置有與該第1及第2成膜氣體供給部分離於該旋轉台之周圍方向,並可將第1蝕刻氣體供給至該旋轉台之該表面的第1蝕刻氣體供給部。設置有靠近該第1蝕刻氣體供給部,並供給在到達該旋轉台表面前便可與該第1蝕刻氣體直接反應的第2蝕刻氣體之第2蝕刻氣體供給部。
1‧‧‧真空容器
2‧‧‧旋轉台
4‧‧‧凸狀部
5‧‧‧突出部
7‧‧‧加熱器單元
7a‧‧‧蓋構件
10‧‧‧搬送臂
11‧‧‧頂板
12‧‧‧容器本體
12a‧‧‧突出部
13‧‧‧密封構件
14‧‧‧底部
15‧‧‧搬送口
20‧‧‧殼體
21‧‧‧核心部
22‧‧‧旋轉軸
23‧‧‧驅動部
24‧‧‧凹部
33‧‧‧氣體噴出孔
34‧‧‧噴嘴覆蓋體
35‧‧‧基部
36A、36B‧‧‧整流板
41、42‧‧‧分離氣體噴嘴
41a、42a‧‧‧基端部
42h‧‧‧氣體噴出孔
43‧‧‧溝部
44‧‧‧頂面
45‧‧‧頂面
46‧‧‧彎曲部
50‧‧‧空間
51‧‧‧分離氣體供給管
52‧‧‧空間
71‧‧‧覆蓋體構件
71a‧‧‧內側構件
71b‧‧‧外側構件
72‧‧‧沖淨氣體供給管
73‧‧‧沖淨氣體供給管
80‧‧‧孔洞
90‧‧‧矽氧化膜
100‧‧‧控制部
101‧‧‧記憶部
102‧‧‧媒體
311‧‧‧第1成膜氣體噴嘴
311a、312a、321a、322a‧‧‧氣體導入埠
312‧‧‧第2成膜氣體噴嘴
321‧‧‧第1蝕刻氣體噴嘴
322‧‧‧第2蝕刻氣體噴嘴
481‧‧‧空間
482‧‧‧空間
610‧‧‧第1排氣口
620‧‧‧第2排氣口
630‧‧‧排氣管
640‧‧‧真空泵
650‧‧‧壓力調整器
C‧‧‧中心區域
D‧‧‧分離區域
S、Q、R、O、V、T‧‧‧區域
h1‧‧‧高度
P1‧‧‧第1處理區域
P2‧‧‧第2處理區域
W‧‧‧晶圓
圖1係本發明實施形態相關之基板處理裝置的一範例之剖面圖。
圖2係本發明實施形態相關之基板處理裝置的一範例之立體圖。
圖3係本發明實施形態相關之基板處理裝置的一範例之概略俯視圖。
圖4A及4B係本發明實施形態相關之基板處理裝置的氣體噴嘴及噴嘴覆蓋體之構成圖。
圖5係本發明實施形態相關之基板處理裝置的一範例之部分剖面圖。
圖6係本發明實施形態相關之基板處理裝置的一範例之其他部分剖面圖。
圖7A~7C係顯示蝕刻工序中之真空容器內的N2體積濃度的模擬圖。
圖8A~8C係顯示在與圖7A~7C相同條件下,第2處理區域P2之HF體積濃度的模擬結果之圖式。
圖9A~9C係顯示蝕刻工序中第2處理區域P2的NH3體積濃度之模擬結果的圖式。
圖10係調查以本發明實施形態相關之基板處理方法所實施的蝕刻工序之旋轉數依存性的實驗結果。
圖11A~11F係顯示為了調查旋轉台2之旋轉速度與蝕刻後之矽氧化膜的表面粗度之關係所進行的實驗結果之圖式。
圖12A~12E係顯示於晶圓W表面形成有孔洞、溝槽等的凹狀圖案的情況之蝕刻與旋轉台之旋轉速度的關係的實驗結果。
圖13係將圖12所示之實驗結果依孔洞之位置,以蝕刻量(nm)來顯示為數值的圖式。
圖14A~14E係顯示本發明實施形態相關之基板處理方法的一範例之前段工序的圖式。
圖15A~15D係顯示本發明實施形態相關之基板處理方法的一範例之後段工序的圖式。
以下便參照圖式,來進行用以實施本發明形態之說明。
以下,便參照添附圖式,就不為限制本發明之例示的實施形態來加以說明。添附之所有圖式中,係就相同或對應之構件或組件附加相同或對應之參照符號,並省略重複說明。又,圖式係不以顯示構件或組件間之相對比例為目的,從而,具體的尺寸便不按照以下不為限制之實施形態,而應由所屬技術領域中具有通常知識者所決定。
[基板處理裝置]
首先,便使用圖式來說明本發明的本實施形態相關之基板處理裝置。
圖1係本實施形態相關之基板處理裝置的一範例之剖面圖,圖2係本實施形態相關之基板處理裝置的一範例之立體圖。又,圖3係本實施形態相關之基板處理裝置的一範例之概略俯視圖。
參照圖1至圖3,該基板處理裝置係具備有具有幾乎為圓形之平面形狀的扁平真空容器(處理室、腔室)1以及設置於該真空容器1內,並在真空容器1中心具有旋轉中心的旋轉台2。真空容器1係具有擁有有底之圓筒形狀的容器本體12以及相對於容器本體12上面,透過例如O型環等的密封構件13(圖1)而氣密且裝卸自如地加以配置的頂板11。
旋轉台2係在中心部被固定於圓筒形狀之核心部21,該核心部21係被固定在延伸於垂直方向的旋轉軸22上端。旋轉軸22會貫穿真空容器1之底部14,其下端會安裝於讓旋轉軸22(圖1)繞垂直軸旋轉的驅動部23。旋轉軸22及驅動部23係被收納在上面有開口的筒狀殼體20內。該殼體20係其上面所設置的凸緣部分會氣密地安裝在真空容器1之底部14下面,以維持殼體20之內部氛圍與外部氛圍的氣密狀態。
如圖2及圖3所示,旋轉台2表面係設置有用以沿著旋轉方向(周圍方向)來載置複數(圖式的範例中為5片)基板的半導體晶圓(以下稱為「晶圓」)W的圓形凹部24。另外,圖3係為了簡化說明而僅在1個凹部24顯示晶圓W。該凹部24係具有稍微較晶圓W直徑(例如300mm)要大例如4mm之內徑以及幾乎等於晶圓W之厚度的深度。從而,在凹部24載置晶圓W時,晶圓W表面與旋轉台2表面(未載置晶圓W之區域)便會成為相同高度。
圖2及圖3係說明真空容器1內之構造的圖式,為了簡化說明,便省略頂板11之圖示。如圖2及圖3所示,旋轉台2上方係分別配置有由例如石英所構成之第1及第2成膜氣體噴嘴311,312、第1及第2蝕刻氣體噴嘴321,322以及分離氣體噴嘴41,42。圖示之範例中,係在真空容器1周圍方向隔有間隔,而從搬送口15(後述)順時針(旋轉台2之旋轉方向)依序配列有第2成膜氣體噴嘴312、分離氣體噴嘴41、第1成膜氣體噴嘴311、分離氣體噴嘴42以及第1及第2蝕刻氣體噴嘴321、322。該等噴嘴311、312、321、322、41以及42係為各別基端部的氣體導入埠311a、3128、321a、322a、41a以及42a(圖3)會被固定在容器本體12外周壁,而從真空容器1外周壁導入至真空容器1內。然後,噴嘴會沿著容器本體12之半徑方向並相對於旋轉台2,而以平行地延伸的方式來加以安裝。
本實施形態之基板處理方法中,係可使用例如,含Si氣體來作為從第1成膜氣體噴嘴311所供給的第1成膜氣體。含Si氣體雖可使用各種氣體,但亦可使用例如LTO氣體。又,從第2成膜氣體噴嘴312所供給之第2成膜氣體亦可使用例如氧化氣體。氧化氣體亦可使用氧(O2)氣體及/或臭氧(O3)氣體。藉此,便可在晶圓W上形成SiO2膜。
又,例如,從第1蝕刻氣體噴嘴321所供給之第1蝕刻氣體亦可使用氨氣(NH3),從第2蝕刻氣體噴嘴322所供給之第2蝕刻氣體亦可使用氟化氫(HF)氣體。因此,如圖2、圖3所示,係可構成為配置有2根第1及第2蝕刻氣體噴嘴321、322,而從一邊的第2氣體噴嘴321供給氨氣,從另邊的第2氣體噴嘴322供給氟化氫(HF)。該情況,一邊的第1蝕刻氣體噴嘴321便會成為氨氣供給用之蝕刻氣體噴嘴,而另邊的第2蝕刻氣體噴嘴322便會成為氟化氫氣體供給用之蝕刻氣體噴嘴。另外,此時,亦可將供給氨氣及氟化氫氣體的噴嘴321,322的任一者配置於旋轉台之旋轉方向上游側。亦即,亦可從上游側之第1蝕刻氣體噴嘴321供給氟化氫氣體,從另邊之第2蝕刻氣體噴嘴322供給氨氣。在如此般設置有2根蝕刻氣體噴嘴321、322的情況,如圖2、圖3所示,第1蝕刻氣體噴嘴321與第2蝕刻氣體噴嘴322較佳地係鄰接,且兩者會配置為互相地成為略平行,但並不限制於相關形態。例如,第1蝕刻氣體噴嘴321與第2蝕刻氣體噴嘴322亦可在第1蝕刻氣體與第2蝕刻氣體可直接反應的範圍中,分隔地加以配置。
又,亦可構成為預先混合氨氣與氟化氫氣體,而藉由1根噴嘴來供給第1及第2蝕刻氣體。
進一步地,關於蝕刻氣體及蝕刻方法係可採用各種的氣體及方法。例如,亦可使用ClF3等之含F氣體而以高溫蝕刻來加以蝕刻,或是藉由電漿來分解NF3等之含F氣體,而藉由F自由基來進行蝕刻。
第1及第2成膜氣體噴嘴311、312係透過開閉閥或流量調整器(皆未圖示)來連接有分別儲存第1及第2成膜氣體的第1及第2成膜氣體供給源。又,第1及第2蝕刻氣體噴嘴321、322係透過開閉閥或流量調整器(皆未圖示)來連接有分別儲存第1及第2蝕刻氣體的第1及第2蝕刻氣體供給源。
第1及第2成膜氣體係可對應於所欲成膜出之膜,來使用各種成膜氣體。本實施形態中,係舉成膜出矽氧化膜(SiO2膜)的情況為範例來加以說明。該情況,第1成膜氣體較佳地係可使用含矽氣體。具體的含矽氣體雖不特別地限定,但除了上述LTO之外,較佳地亦可使用例如3DMAS(三(二甲胺基)矽烷),Si(N(CH3)2)3H)、4DMAS(四(二甲胺基)矽烷,Si(N(CH3)2))4)等的胺基矽烷系,或TCS(四氯矽烷,SiCl4)、DCS(二氯矽烷,SiH2Cl2)、SiH4(矽甲烷)、HCD(六氯二矽甲烷,Si2Cl6)等。
又,第2成膜氣體如上述般,較佳地係可使用氫氣、氧化氣體,氧化氣體較佳地係可使用氧氣及/或臭氧氣體。特別是為了能得到緻密的矽氧化膜,氧化氣體更佳地係含有臭氧氣體。
又,分離氣體噴嘴41、42係透過開閉閥或流量調整器(皆未圖示)來連接有Ar或He等之稀有氣體或N2氣體(氮氣)等之非活性氣體供給源。非活性氣體雖不特別限定,而可如上述般,使用稀有氣體或N2氣體等,但較佳地係可使用例如N2氣體。另外,該等的非活性氣體係作為沖淨氣體來被加以使用。
第1及第2成膜氣體噴嘴311,312、第1及第2蝕刻氣體噴嘴321,322係沿著第1及第2成膜氣體噴嘴311,312、第1及第2蝕刻氣體噴嘴321,322之長度方向來配列有朝向旋轉台2而開口向下的複數氣體噴出孔33(參照圖5)。關於氣體噴出孔33之配置雖不特別地限制,但可以例如10mm的間隔來加以配列。第1成膜氣體噴嘴31的下方區域會成為用以讓第1成膜氣體吸附於晶圓W的第1處理區域P1。第1及第2蝕刻氣體噴嘴321,322及第2成膜氣體噴嘴312的下方區域會成為第2處理區域P2。雖第2處理區域P2係共存有第2成膜氣體噴嘴312與第1及第2蝕刻氣體噴嘴321,322,但在進行蝕刻時,會藉由不從第2成膜氣體噴嘴供給第2成膜氣體或是供給稀有氣體或N2氣體等的沖淨氣體,而從第1及第2蝕刻氣體噴嘴321、322分別供給第1及第2蝕刻氣體,來在第2處理區域P2進行蝕刻工序。另外,該情況,在第1處理區域P1中亦會不從第1成膜氣體噴嘴311供給第1成膜氣體或供給稀有氣體或N2氣體等的沖淨氣體。
另一方面,在進行成膜時,會藉由不從第1及第2蝕刻氣體噴嘴321、322供給蝕刻氣體或供給稀有氣體或N2氣體等的沖淨氣體,而從第2成膜氣體噴嘴312供給第2成膜氣體,便可在第1及第2處理區域P1、P2內進行成膜工序。
進一步地,亦可藉由同時地從第1及第2成膜氣體噴嘴311,312、第1及第2蝕刻氣體噴嘴321,322供給第1與第2成膜氣體及第1與第2蝕刻氣體,並讓旋轉台2旋轉於逆時針方向,來在旋轉台2之1次旋轉中進行成膜工序與蝕刻工序。另外,讓旋轉台2旋轉於逆時針方向是因為成膜工序需要在讓由含Si氣體等的原料氣體所構成之第1成膜氣體吸附於晶圓W上後,供給由氧化氣體所構成之第2成膜氣體,而與原料氣體在晶圓W表面上反應,故需要以第1成膜氣體、第2成膜氣體的順序供給至晶圓W的方式來讓旋轉台2旋轉。若是旋轉台2為逆時針旋轉的話,由於會在以第1成膜氣體噴嘴311、第2成膜氣體噴嘴312的順序來讓晶圓W通過後,再通過第2蝕刻噴嘴322、第1蝕刻噴嘴321,故會成為以從成膜至蝕刻的順序來反覆循環,而可以短循環來進行成膜及蝕刻。
如圖2及圖3所示,第1成膜氣體噴嘴311較佳地係設置有噴嘴覆蓋體34。以下,便參照圖4A及4B,就噴嘴覆蓋體34來加以說明。噴嘴覆蓋體34係具有沿著第1氣體噴嘴311的長邊方向延伸,並具有ㄈ字型的剖面形狀的基部35。基部35係配置為覆蓋第1成膜氣體噴嘴311。基部35之延伸於長邊方向的2個開口端之一端係安裝有整流板36A,另端係安裝有整流板36B。本實施形態中,整流板36A、36B係平行於旋轉台2上面而加以安裝。又,如圖2及圖3所示,本實施形態中,係相對於旋轉台2之旋轉方向而在第1氣體噴嘴31上游側配置有整流板36A,而在下流側配置有整流板36B。
如圖4B清楚地表示般,整流板36A、36B係相對於第1氣體噴嘴31之中心軸而形成為左右對稱。又,整流板36A、36B沿著旋轉台2旋轉方向的長度係越朝向旋轉台2外周部則越長,因此,噴嘴覆蓋體34係具有概略扇形之平面形狀。在此,於圖4B以虛線所表示之扇的開啟角度θ雖可考量 後述凸狀部4(分離區域D)之尺寸來加以決定,但較佳地係例如5°以上,未達90°,具體而言,更佳地係例如8°以上,未達10°。
另外,雖本實施形態中,係顯示僅於第1成膜氣體噴嘴311設置有噴嘴覆蓋體34的範例,但關於第1及第2蝕刻氣體噴嘴321、322及第2成膜氣體噴嘴311、312亦可設置有相同的噴嘴覆蓋體。
參照圖2及圖3,真空容器1內係設置有2個凸狀部4。凸狀部4係具有頂部被裁切為圓弧狀的略扇型平面形狀,本實施形態中,內圓弧會連結於突出部5(後述),而外圓弧會配置為沿著真空容器1之容器本體12內周面。圖5係顯示從第1氣體噴嘴31至第2氣體噴嘴321、322為止沿著旋轉台2之同心圓的真空容器1之剖面。如圖所示,凸狀部4係安裝於頂板11之內面。因此,真空容器1內係存在有為凸狀部4下面之平坦的低頂面44(第1頂面)以及位於該頂面44之周圍方向兩側,而較頂面44要高之頂面45(第2頂面)。
又,如圖5所示,凸狀部4係在周圍方向中央形成有溝部43,溝部43會沿著旋轉台2之半徑方向延伸。溝部43係收容有分離氣體噴嘴42。另一個凸狀部4亦同樣地形成有溝部43,並在此收容分離氣體噴嘴41。另外,圖中所示之參照符號42h係形成於分離氣體噴嘴42的氣體噴出孔。氣體噴出孔42h係沿著分離氣體噴嘴42之長邊方向而隔有既定間隔(例如10mm)地形成有複數個。又,氣體噴出孔42h之開口徑係可從例如0.3mm至1.0mm。雖然省略圖示,但分離氣體噴嘴41亦可同樣地形成氣體噴出孔。
高頂面45下方的空間係分別設置有第1成膜氣體噴嘴311、第1及第2蝕刻氣體噴嘴321,322。第1成膜氣體噴嘴311、第2蝕刻氣體噴嘴321,322係從頂面45分離而設置於晶圓W的附近。另外,如圖5所示,係設置有設有第1成膜氣體噴嘴311之高頂面45的下方空間481以及設有第2蝕刻氣體噴嘴321,322及第2成膜氣體噴嘴312之高頂面45的下方空間482。
低頂面44係相對於旋轉台2而形成為狹窄空間之分離空間H。在從分離氣體噴嘴42供給非活性氣體,例如N2氣體時,該N2氣體會通過分離空間H而朝空間481及空間482流動。此時,由於分離空間H之體積會較空間481及482之體積要小,故可藉由N2氣體來讓分離空間H的壓力較空間 481及482的壓力要高。亦即,在空間481及482之間,分離空間H會提供壓力壁。而且,從分離空間H朝空間481及482流出的N2氣體會作為相對於來自第1處理區域P1的第1氣體與來自第2處理區域P2的第2氣體逆向流來加以作動。從而,來自第1處理區域P1的第1氣體與來自第2處理區域P2的第2氣體便會藉由分離空間H來加以分離。因此,便可抑制真空容器1內第1成膜氣體、第1及第2蝕刻氣體以及第2成膜氣體會混合而反應。
另外,頂面44相對於旋轉台2上面的高度h1係考量成膜時之真空容器1內的壓力、旋轉台2之旋轉速度、所供給之分離氣體(N2氣體)的供給量等,而較佳地設定為讓分離空間H的壓力會較空間481及482之壓力要高所適合的高度。
如此般,由於形成有分離空間H之分離區域D亦可說是對晶圓W供給沖淨氣體之區域,故亦可稱為沖淨氣體供給區域。
再次參照圖1~圖3,頂板11下面係以圍繞固定旋轉台2之核心部21外周的方式來設置有突出部5。本實施形態中,該突出部5係連續於凸狀部4中的旋轉中心側之部位,且突出部5下面會形成為相同於頂面44的高度。
先前參照之圖1係沿著圖3之I-I’線的剖面圖,並顯示設置有頂面45之區域。另一方面,圖6係顯示設置有頂面44之區域的部分剖面圖。如圖6所示,略扇型之凸狀部4的周緣部(真空容器1之外緣側部位)係可以對向於旋轉台2外端面的方式來形成彎曲為L字型的彎曲部46。該彎曲部46會通過旋轉台2與容器本體12內周面之間的空間,並可抑制氣體在空間481及空間482(圖5)之間流通。由於扇型之凸狀部4係設置於頂板11,而頂板11可從容器本體12卸下,故在彎曲部46外周面與容器本體12之間係具有些許的間隙。彎曲部46內周面與旋轉台2之外端面的間隙,及彎曲部46外周面與容器本體12的間隙係可設定為例如與頂面44相對於旋轉台2上面之高度相同的尺寸。
再次參照圖3,旋轉台2與容器本體內周面之間,係形成有連通於空間481的第1排氣口610以及連通於空間482的第2排氣口620。如圖1所示, 第1排氣口610及第2排氣口620會分別透過排氣管630來連接於真空排氣機構之例如真空泵640。另外,圖1中,係設置有壓力調整器650。
如圖1及圖6所示,旋轉台2與真空容器1之底部14之間的空間係可設置有加熱機構之加熱器單元7,並透過旋轉台2來將旋轉台2上之晶圓W加熱至以程序配方所決定之溫度。旋轉台2之周緣附近下方側為了抑制氣體朝旋轉台2下方之空間入侵,係設置有環狀之覆蓋體構件71。如圖6所示,該覆蓋體構件71可構成為具備有以從下方側面對旋轉台2外緣部及較外緣部要靠外周側的方式來設置之內側構件71a以及設置於該內側構件71a與真空容器1內壁面之間的外側構件71b。外側構件71b係在凸狀部4之外緣部所形成的彎曲部46下方靠近彎曲部46而加以設置,內側構件71a係在旋轉台2外緣部下方(及較外緣部稍靠外側之部分下方)中,橫跨整周來包圍加熱器單元7。
如圖1所示,較配置有加熱器單元7之空間要靠旋轉中心的部位中的底部14會以旋轉台2下面之中心部附近中靠近核心部21的方式來朝上方側突出而成為突出部12a。該突出部12a與核心部21之間會成為狹窄空間。又,貫穿底部14之旋轉軸22的貫通孔內周面與旋轉軸22的間隙會變窄,而該等的狹窄空間會連通於殼體20。然後,殼體20係設置有用以將沖淨氣體之N2氣體供給至狹窄空間內而加以沖淨的沖淨氣體供給管72。進一步地,真空容器1之底部14係在加熱器單元7下方,於周圍方向以既定角度間隔來設置有用以沖淨加熱器單元7之配置空間的複數沖淨氣體供給管73(圖6係顯示一個沖淨氣體供給管73)。又進一步地,加熱器單元7與旋轉台2之間為了抑制氣體朝設置有加熱器單元7之區域入侵,係設置有從外側構件71b內周壁(內側構件71a上面)橫跨周圍方向而覆蓋與突出部12a的上端部之間的蓋構件7a。蓋構件7a係可以例如石英來製作。
在從沖淨氣體供給管72供給N2氣體時,該N2氣體會通過旋轉軸22之貫穿孔內周面與旋轉軸22的間隙,以及突出部12a與核心部21之間的間隙,而流通於旋轉台2與蓋構件7a之間的空間,並從第1排氣口610或第2排氣口620(圖3)加以排氣。又,在從沖淨氣體供給管73供給N2氣體時,該N2氣體會從收容有加熱器單元7之空間,通過蓋構件7a與內側構 件71a之間的間隙(未圖示)而流出,並從第1排氣口610或第2排氣口620(圖3)加以排氣。藉由該等N2氣體的流動,來通過真空容器1中央下方的空間以及旋轉台2下方的空間,便可抑制空間481及空間482內的氣體會混合。
又,真空容器1之頂板11中心部係連接有分離氣體供給管51,並可構成為將為分離氣體之N2氣體供給至頂板11與核心部21之間的空間52。供給至該空間52的分離氣體會透過突出部5與旋轉台2之狹窄空間50(圖6)來沿著旋轉台2之晶圓載置區域側表面而朝向周緣噴出。空間50可藉由分離氣體來維持較空間481及空間482要高之壓力。從而,便可藉由空間50,來抑制供給至第1處理區域P1的第1成膜氣體與供給至第2處理區域P2的第1及第2蝕刻氣體及第2成膜氣體會通過中心區域C而混合。亦即,空間50(或中心區域C)係可具有與分離空間H(或分離區域D)相同的機能。
進一步地,如圖2、圖3所示,真空容器1側壁係可形成用以在外部搬送臂10與旋轉台2之間進行基板之晶圓W的收授之搬送口15。該搬送口15係可藉由未圖示之閘閥來加以開閉。該情況,旋轉台2中為晶圓載置區域的凹部24會在面對該搬送口15的位置,於與搬送臂10之間進行晶圓W之收授。因此,便可在旋轉台2下方側,於對應於收授位置的部位,設置有貫穿凹部24而從內面抬升晶圓W用之收授用的升降銷及其升降機構(皆未圖示)。
又,如圖1所示,本實施形態之基板處理裝置係可設置由用以進行裝置整體動作之控制的電腦所構成之控制部100。控制部100之記憶體內係可儲存有在控制部100之控制下,讓基板處理裝置實施後述基板處理方法的程式。該程式係以實行後述基板處理方法的方式來組織有步驟群,並記憶於硬碟、光碟、磁光碟、記憶卡、軟碟等的媒體102,藉由既定讀取裝置來對記憶部101讀取,而可安裝於控制部100內。
[基板處理方法]
接著,便就使用上述基板處理裝置的本發明實施形態相關之基板處理方法來加以說明。本實施形態相關之基板處理方法雖可適用於各種膜,但本實施形態中,係就矽氧化膜之蝕刻及成膜相關的基板處理方法來加以說 明。另外,關於已說明的構成要素便附加與上述實施形態相關之基板處理裝置相同的參照符號,並省略其說明。
首先,矽氧化膜之熱蝕刻係藉由產生如化學反應式(1)~(3)般之反應來加以進行。
HF+NH3→(NH4)F (1)
SiO2+(NH4)F→H2O+(NH4)2SiF6 (2)
(NH4)2SiF6+熱→SiF4+2NH3+2HF (3)
在蝕刻SiO2膜時,由於SiO2與HF不會反應,故添加氨以成為氟化銨來進行SiO2蝕刻。因此,本實施形態相關之基板處理方法會從第1蝕刻氣體噴嘴321供給氨,而從第2蝕刻氣體噴嘴322供給氟化氫。
本發明之本實施形態相關之基板處理方法係基於含有各種模擬之實驗所得到之見解來加以完成,首先,由於已了解該等見解者可容易地了解本實施形態,故先就含有各種模擬之實驗結果來加以說明。
圖7係顯示從分離氣體噴嘴41、42供給N2氣體,而從第1及第2蝕刻氣體噴嘴321、322供給第1及第2蝕刻氣體的情況下之真空容器1內的N2體積濃度的模擬圖。
另外,模擬條件係真空容器1內之壓力為8Torr,旋轉台2之溫度為150℃,並從軸附近之分離氣體供給管51以1slm的流量來供給N2氣體,從對向於旋轉台2的分離氣體噴嘴41、42及第1成膜氣體噴嘴311以5slm流量來供給N2氣體。又,從第2蝕刻氣體噴嘴322供給200sccm的HF氣體,從第1蝕刻氣體噴嘴322供給600sccm的NH3氣體。在相關條件下,讓旋轉台2之旋轉速度改變為20、120、240rpm,並模擬各旋轉速度中之真空容器1內的N2氣體體積濃度。
圖7A係顯示旋轉台2之旋轉速度為20rpm時的模擬結果之圖式,圖7B係顯示旋轉台2之旋轉速度為120rpm,圖7C係顯示旋轉台2之旋轉速度為240rpm時的模擬結果之圖式。
圖7A~C中,係以區域Q之N2體積濃度為最高,而區域R、區域S、區域O的順序來顯示N2體積濃度。
比較圖7A~C,在未供給N2氣體而供給第1及第2蝕刻氣體的第2處理區域P2中,圖7B者會較圖7A有較大的區域Q、R之面積,進一步地圖7C有最大的區域Q、R之面積。亦即,旋轉台2之旋轉速度越高,則第2處理區域P2中N2氣體的體積濃度會越高。這代表著旋轉台2旋轉速度越高,則會從分離區域D流入越多N2氣體。亦即,旋轉台2越以高速來旋轉,則隨著旋轉台2之旋轉而會讓N2氣體從分離區域D流出越多。因此,旋轉速度越大,則第2處理區域P2內的第1及第2蝕刻氣體的體積濃度會越小,而N2氣體的體積濃度會越大。
圖8A~8C係顯示在與圖7同樣的條件下,第2處理區域P2之HF體積濃度的模擬結果之圖式。又,與圖7A~7C同樣地,圖8A係顯示旋轉台2之旋轉速度為20rpm時的模擬結果之圖式,圖8B係顯示旋轉台2之旋轉速度為120rpm,圖8C係顯示旋轉台2之旋轉速度為240rpm時的模擬結果之圖式。
圖8A~8C中,HF體積濃度會被分類為區域Q~W的7階段,並顯示區域Q為HF體積濃度最高,並依序降低HF體積濃度,而區域W為HF體積濃度最低之區域。
比較圖8A~8C,顯示隨著旋轉台2之旋轉速度變高,HF體積濃度較低之區域T~W的面積則會增加,而在旋轉速度為240rpm時HF體積濃度會是最低之結果。
圖9A~9C係顯示在與圖7A~7C、8A~8C同樣的條件下,第2處理區域P2之NH3體積濃度的模擬結果之圖式。又,與圖7A~7C及圖8A~8C同樣地,圖9A係顯示旋轉台2之旋轉速度為20rpm時的模擬結果之圖式,圖9B係顯示旋轉台2之旋轉速度為120rpm,圖9C係顯示旋轉台2之旋轉速度為240rpm時的模擬結果之圖式。
圖9A~9C中,亦與圖8A~8C同樣地,顯示NH3體積濃度會被分類為區域Q~W的7階段,區域Q為HF體積濃度最高,並依序降低HF體積濃度,而區域W為HF體積濃度最低之區域。
然後,比較圖9A~9C,顯示隨著旋轉台2之旋轉速度變高,則NH3體積濃度較低之區域T~W的面積則會增加,而在旋轉速度為240rpm時NH3 體積濃度會是最低之結果。
如圖7A~9C所示,旋轉台2之旋轉速度變得越高,則進行蝕刻之第2處理區域P2中第1及第2蝕刻氣體(NH3、HF)的體積濃度會變低,而N2氣體之體積濃度會變高。其結果,便可推測旋轉速度越高,則蝕刻速率會下降,但N2氣體之比例變多,卻使得蝕刻本身變得緩和。
圖10係調查以本發明實施形態相關之基板處理方法所實施的蝕刻工序之旋轉數依存性的實驗結果。更詳細而言,圖10係調查在晶圓中心之中,旋轉台之旋轉速度與蝕刻速率的關係之實驗結果。
另外,蝕刻係以在晶圓W表面上之平坦區域所成膜出的SiO2膜為對象,旋轉台2之溫度為200℃,真空容器1內之壓力為8Torr,HF氣體流量為200sccm,NH3流量為600sccm。
圖10中,係顯示橫軸為旋轉台2之旋轉速度(rpm),縱軸為蝕刻速率(nm/min)。如圖10所示,蝕刻速率係旋轉台2之旋轉速度為20rpm時為28.79nm/min,在60rpm時為26.66nm/min,在120rpm時為21.39nm/min,在240rpm時為14.37nm/min,而旋轉速度越低則蝕刻速率越高,隨著旋轉速度增加,蝕刻速率則會下降。
如此般,從圖10所示之實驗結果看來,係顯示在降低旋轉台2之旋轉速度時,蝕刻速率則會增加,而在增加旋轉速度時,蝕刻速率則會下降。
圖11A~11F係顯示為了調查旋轉台2之旋轉速度與蝕刻後之矽氧化膜的表面粗度之關係所進行的實驗結果之圖式。
圖11A~11F中之實驗會在所謂旋轉台2之溫度為150℃,真空容器1內之壓力為8Torr,HF氣體流量為200sccm,NH3氣體流量為600sccm,蝕刻時間為1分鐘的條件下來進行。
圖11A~11D係分別顯示旋轉台2之旋轉速度為20rpm、60rpm、120rpm、240rpm時的蝕刻後之膜的表面之SEM(Scanning Electron Microscopy)影像,圖11E係顯示蝕刻前之膜的表面的SEM影像。又,圖11F係顯示各旋轉速度中的蝕刻量之圖式。
如圖11A~11D所示,旋轉速度越高,則蝕刻後之膜的表面會越平滑,而表面粗度會越小。這應該是因為旋轉速度越高,則在旋轉1次旋轉台2 時暴露於蝕刻氣體的時間會變短,而蝕刻反應之量會變小,藉由多次進行1次蝕刻量較少之蝕刻,便可進行更微細的蝕刻處理。
如此般,在欲讓蝕刻後之膜的表面粗度變小的情況,提高旋轉台2之旋轉速度來進行蝕刻是有效果的。
圖12A~12E係顯示在晶圓W表面形成有孔洞、溝槽等之凹狀圖案的情況之蝕刻與旋轉台之旋轉速度的關係之實驗結果。圖12A~12E所示之實驗中,係在晶圓W表面形成有孔洞、溝槽等的凹狀圖案,並於凹狀圖案內進行凹狀成膜後再進行蝕刻。
圖12A係顯示蝕刻前的成膜時孔洞狀態之圖式,圖12B係顯示旋轉台2之旋轉速度為20rpm的蝕刻後之孔洞狀態的圖式。同樣地,圖12C係顯示旋轉台2之旋轉速度為60rpm的蝕刻後之孔洞狀態的圖式,圖12D係顯示旋轉台2之旋轉速度為120rpm的蝕刻後之孔洞狀態的圖式,圖12E係顯示旋轉台2之旋轉速度為240rpm的蝕刻後之孔洞狀態的圖式。
圖12A~12E相關之實驗中,係旋轉台2之溫度為150℃,真空容器1內之壓力為8Torr,HF氣體流量為200sccm,NH3氣體流量為600sccm,並將旋轉台2之旋轉速度設定為20rpm、60rpm、120rpm、240rpm,而以孔洞之深度方向來分段並分別測量進行1分鐘蝕刻後情況之熱蝕刻量。孔洞係具有8nm之深度,於深度方向以2nm來分段,將表面與最上部側面稱為TOP&T-Side,將下一個之2nm稱為T-M,將下一個正中央之2nm稱為MID,將下一個靠近底部之2nm稱為M-B,將底部之2nm稱為BTM。
首先,如圖12A所示,係在孔洞內沿著凹狀而將具有膜厚38nm之SiO2膜成膜為凹狀。
如圖12B所示,此為以20rpm之旋轉速度來讓旋轉台2旋轉,並進行1分鐘蝕刻後,TOP所殘留之膜的厚度為3~9nm,T-Side為4~6nm。另一方面,於BTM蝕刻後所殘留的膜厚為30~31nm,而相較於BTM(底部),TOP及T-Side(最上部)的蝕刻量會較大,且整體會被蝕刻為略V字狀。
又,如圖12C所示,在以60rpm之旋轉速度來讓旋轉台2旋轉,並進行1分鐘蝕刻後,TOP所殘留之膜的厚度為3~8nm,T-Side為6~10nm。另一方面,於BTM蝕刻後所殘留的膜厚為30~31nm,相較於BTM(底部)而 TOP及T-Side(最上部)的蝕刻量會較大,相較於圖12B雖最上部與底部之差為較小之V字,但整體仍被蝕刻為略V字狀。
進一步地,如圖12D所示,在以120rpm之旋轉速度來讓旋轉台2旋轉,並進行1分鐘蝕刻後,TOP所殘留之膜的厚度為11~17nm,T-Side為12~13nm。另一方面,於BTM蝕刻後所殘留之膜厚為29~30nm,相較於BTM(底部),雖然TOP及T-Side(最上部)的蝕刻量會較大,但其差會較圖12B要小,而整體係被蝕刻為比V字狀更接近直線凹狀之形狀。
另外,旋轉台2之旋轉數120rpm係成膜時一般所使用的旋轉台之旋轉速度。
又,如圖12E所示,在以240rpm之旋轉速度來讓旋轉台2旋轉,並進行1分鐘蝕刻後,TOP所殘留之膜的厚度為25~26nm,T-Side為29nm。另一方面,於BTM蝕刻後所殘留的膜厚為30~33nm,相較於BTM(底部),TOP及T-Side(最上部)的蝕刻量幾乎無差距,而整體會被蝕刻為接近直線凹狀之形狀。
如此般,從圖12A~12E所示之實驗結果看來,便得知了在降低旋轉台2之旋轉速度時,凹狀圖案內所成膜之膜會被蝕刻為V字狀,在提高旋轉台2之旋轉速度時,會容易被蝕刻為沿著凹狀圖案之形狀。
圖13係顯示將圖12A~12E所示之實驗結果依孔洞之位置,以蝕刻量(nm)來顯示為數值的圖式。如圖13所示,得知在旋轉速度為20rpm的情況,TOP與T-Side之蝕刻量會較他處要大,而被蝕刻為V字狀。另一方面,得知在旋轉速度為240rpm的情況,由於包含TOP及T-Side的所有的地方都會成為幾乎相同的蝕刻速率,故膜會被蝕刻為沿著凹狀之凹狀。在旋轉速度為60rpm、120rpm的情況則分別顯示其中間狀態。
因此,從圖12A~12E及圖13之實驗結果看來,便得知了在欲將凹狀圖案內所成膜之膜蝕刻為V字狀的情況,係只要讓旋轉台2之旋轉速度下降而進行蝕刻即可,在欲成為沿著凹形狀之形狀,且抑制膜之表面粗度而進行蝕刻的情況,係只要提高旋轉台2之旋轉速度而進行蝕刻即可。
根據至現在為止所說明的實驗結果,就適當地控制旋轉台2之旋轉速度而進行蝕刻之本發明實施形態相關的基板處理方法來加以說明。
圖14A~14E係顯示本發明實施形態相關之基板處理方法的一範例之前段工序的圖式。圖14A~14E係顯示在晶圓W表面形成凹狀圖案,而於凹狀圖案內成膜出矽氧化膜後,將凹狀圖案內之矽氧化膜蝕刻為V字狀之剖面的工序。
以下,除了圖14A~14E之外,亦參照圖1~6,就從晶圓W搬入,到符合實際基板處理動作的本實施形態相關之基板處理方法來加以說明。
首先,如圖2、3所示,開啟未圖示之閘閥,而藉由搬送臂10從外部透過搬送口15來將晶圓W收授至旋轉台2之凹部24內。此收授係藉由在凹部24停止在面對搬送口15之位置時,透過凹部24底面之貫通孔而從真空容器1底部側讓未圖示之升降銷升降來加以進行。讓旋轉台2間歇性地旋轉來進行此般之晶圓W的收授,而將各晶圓W載置於旋轉台2之5個凹部24內。
接著,關閉閘閥,並藉由真空泵640來讓真空容器1內成為吸引的狀態後,從分離氣體噴嘴41、42以既定流量來噴出為分離氣體之N2氣體,且亦從分離氣體供給管51及沖淨氣體供給管72、73以既定流量來噴出N2氣體。伴隨於此,藉由壓力調整機構650來將真空容器1內調整為預定之處理壓力。接著,以例如120rpm之旋轉速度來讓旋轉台2順時針旋轉,並藉由加熱器單元7來將晶圓W加熱至例如450℃。
接著,實行成膜工序。成膜工序中,係從第1成膜氣體噴嘴311供給含Si氣體,從第2成膜氣體噴嘴312供給氧化氣體。又,會從第1蝕刻氣體噴嘴321及第2蝕刻氣體噴嘴322供給N2氣體來作為沖淨氣體,或是不供給任何氣體。另外,雖含Si氣體可使用各種氣體,但本實施例中,係舉使用LTO之範例來加以說明。又,雖氧化氣體亦可使用各種氣體,但在此係舉使用氧氣之範例來加以說明。
在晶圓W通過第1處理區域P1時,為原料氣體之LTO便會從第1成膜氣體噴嘴311被供給而吸附於晶圓W表面上。於表面上吸附有LTO的晶圓W會在藉由旋轉台2之旋轉來通過具有分離氣體噴嘴42之分離區域D而被沖淨後,進入第2處理區域P2。第2處理區域中,會從第2成膜氣體噴嘴312供給氧氣,而藉由氧氣來氧化LTO所包含之Si成分,便會使得為 反應生成物之SiO2沉積於晶圓W表面。通過第2處理區域P2之晶圓W會在通過具有分離氣體噴嘴41之分離區域D而被沖淨後,進入第1處理區域P1。在此,再從第1成膜氣體噴嘴311供給LTO,而使得LTO會吸附於晶圓W表面。然後,藉由從此處反覆同樣的循環,來讓為反應生成物的SiO2沉積於晶圓W表面,以成膜出SiO2膜。
亦可依需要,而在將SiO2膜成膜至既定膜厚後,藉由停止從第1成膜氣體噴嘴311供給LTO,而繼續從第2成膜氣體噴嘴312供給氧氣,並持續旋轉旋轉台2,來進行SiO2膜之改質處理。
圖14A係顯示本發明實施形態相關之基板處理方法的第1成膜工序之一範例的圖式。
如圖14A所示,藉由實行成膜工序,來在凹狀圖案之一的孔洞80內成膜出矽氧化膜90。如圖14A所示,最先在孔洞80內所形成之矽氧化膜90係具有沿著凹狀之剖面形狀。
圖14B係顯示本發明實施形態相關之基板處理方法的第1蝕刻工序之一範例的圖式。蝕刻工序中,矽氧化膜90會被蝕刻為V字剖面形狀。具體而言,蝕刻工序會如下般來加以實行。
圖2、3所示來自第1及第2成膜氣體噴嘴311、312之LTO及氧氣之供給係停止而成為什麼都不供給的狀態,或取而代之成為供給N2氣體來作為沖淨氣體的狀態。旋轉台2會設定為適於熱蝕刻的溫度,例如,設定為150℃左右。又,旋轉台2之旋轉速度會設定為20~60rpm之低速旋轉,例如設定為20rpm。在此狀態下,便會從第1蝕刻氣體噴嘴321供給NH3氣體,從第2蝕刻氣體噴嘴322供給HF氣體,而開始蝕刻處理。NH3與HF會如上述化學反應式(1)所示般反應而成為氟化銨,且如化學反應式(2)、(3)所示般產生熱裂解而蝕刻矽氧化膜90。此時,由於旋轉台2會以20rpm之低速來加以旋轉,故會如圖12、13所說明般,矽氧化膜90會被蝕刻為V字剖面形狀。藉由將孔洞80內矽氧化膜90蝕刻為V字狀,便可在矽氧化膜90形成最上部之開口較廣之孔,而可在接著的成膜時將矽氧化膜90填埋至底部,並可提高bottom-up性,而進行難以產生空隙的成膜。
圖14C係顯示本發明實施形態相關之基板處理方法的第2成膜工序之一範例的圖式。第2成膜工序中,係進一步地在以第1蝕刻工序來蝕刻為V字狀的矽氧化膜90上成膜出矽氧化膜,以增加膜厚。由於會在被蝕刻為V字狀的矽氧化膜90上加以成膜,故在成膜時不會阻塞入口,而可從矽氧化膜90底部來沉積膜。
另外,由於基板處理裝置之動作係可與圖14A所說明之第1成膜工序為同樣動作,故省略其說明。
圖14D係顯示本發明實施形態相關之基板處理方法的第2蝕刻工序之一範例的圖式。第2蝕刻工序中,係與第1蝕刻工序同樣地,矽氧化膜90會被蝕刻為V字狀。另外,基板處理裝置之動作係可與圖14B所說明之第1成膜工序相同,故省略其說明。
圖14E係顯示本發明實施形態相關之基板處理方法的第3成膜工序之一範例的圖式。第3成膜工序中,係與第2成膜工序同樣地,會進一步地在被蝕刻為V字的矽氧化膜90上沉積矽氧化膜90,以增加膜厚。
圖15A~15D係顯示本發明實施形態相關之基板處理方法的一範例之後段工序的圖式。圖15A係顯示本發明實施形態相關之基板處理方法的第3蝕刻工序之一範例的圖式,圖15B係顯示本發明實施形態相關之基板處理方法的第4成膜工序之一範例的圖式。又,圖15C係顯示本發明實施形態相關之基板處理方法的第4蝕刻工序之一範例的圖式,圖15D係顯示本發明實施形態相關之基板處理方法的最後填埋工序之一範例的圖式。
如圖15A~15C所示,僅反覆必要次數之蝕刻、成膜、蝕刻,便可不在矽氧化膜90內產生空隙,來將孔洞80填埋。蝕刻工序及成膜工序的反覆次數係可對應於包含孔洞80等之凹狀圖案的縱寬比的形狀,來決定適當的次數。縱寬比越大,則反覆次數越多。又,可推測孔洞的反覆次數會較溝槽要多。
反覆圖14B~14E、圖15A~15C所示的蝕刻工序及成膜工序,最後,便會如圖15D所示,孔洞80會完全地以矽氧化膜90來被填埋。
如此般,藉由反覆成膜工序與V字狀蝕刻,便可進行無空隙的填埋。
另外,在後半所進行的蝕刻工序中,若是相較於形成V字狀,蝕刻後之矽氧化膜90的表面粗度變小方面更為重要的話,亦可將旋轉台2之旋轉速度設定為高速,而進行抑制表面粗度之蝕刻。如此般,藉由對應於工序來控制旋轉台2之旋轉速度,便可進行所欲之填埋。另外,旋轉台2之旋轉速度的設定亦可基於配方,而以控制部100來加以進行。
又,雖本實施形態中,係已就複數次交互反覆成膜工序與蝕刻工序,而對晶圓W表面所形成之凹形圖案進行填埋成膜的範例來加以說明,但亦可從一開始便搬入已成膜的晶圓W,而僅進行蝕刻。又,亦可為從第1與第2成膜氣體噴嘴311、312以及第1與第2蝕刻氣體噴嘴321、322分別同時供給成膜氣體及蝕刻氣體,並讓旋轉台2逆時針旋轉,而在1次旋轉中依序反覆成膜與蝕刻般的基板處理方法。
根據本發明實施形態,便可控制蝕刻條件,而進行所欲之基板處理。
以上,雖已就本發明較佳之實施形態來詳細說明,但本發明並不被限制於上述實施形態,而只要不超過本發明之範圍,便可在上述實施形態追加各種變形及置換。
本揭露係主張基於2014年9月2日在日本專利局所提出之日本特許出願第2014-178216號的優先權,而將日本特許出願第2014-178216之所有內容援用至此。

Claims (16)

  1. 一種基板處理方法,係將基板載置於被區劃為沿著旋轉台之旋轉方法來供給蝕刻氣體之處理區域以及不供給該蝕刻氣體而供給沖淨氣體之沖淨區域的處理室內所設置的該旋轉台上;將該蝕刻氣體供給至該處理區域;將該沖淨氣體供給至該沖淨區域;讓該旋轉台旋轉,而在讓該旋轉台旋轉一次時,會讓該基板通過該處理區域與該沖淨區域各一次;在該基板通過該處理區域時,便蝕刻該基板表面所形成之膜;藉由改變該旋轉台之旋轉速度來控制蝕刻該膜之蝕刻速率或蝕刻後該膜之表面粗度;該基板表面係形成有凹狀圖案;在該膜以包覆該凹狀圖案之方式來成膜為凹狀的情況,係於將該凹狀圖案內所成膜出之該膜蝕刻為V字剖面狀時,讓該旋轉台之旋轉速度下降。
  2. 如申請專利範圍第1項之基板處理方法,其係在欲增加該蝕刻速率時讓該旋轉台之旋轉速度下降,在欲讓該膜之表面粗度變小時讓該旋轉台之旋轉速度增加。
  3. 如申請專利範圍第1項之基板處理方法,其係在不讓該凹狀圖案內所成膜之該膜為V字剖面狀,而將該膜之表面粗度變小時,讓該旋轉台之旋轉速度增加。
  4. 如申請專利範圍第1項之基板處理方法,其進一步地具有成膜工序:係構成為在該處理室內設置有供給成膜用原料氣體的第2處理區域,並且亦可將成膜用反應氣體供給至該處理區域;於包含該凹狀圖案內之該基板表面上成膜出在該蝕刻工序中應被蝕刻的該膜。
  5. 如申請專利範圍第4項之基板處理方法,其中該蝕刻工序係含有V字蝕刻工序,係將該凹狀圖案內所成膜之該膜蝕刻為該V字剖面狀。
  6. 如申請專利範圍第5項之基板處理方法,其中該成膜工序與該V字蝕 刻工序係複數次交互地反覆。
  7. 如申請專利範圍第6項之基板處理方法,其中該成膜工序係含有讓該旋轉台複數次連續旋轉,並不將該蝕刻氣體供給至該處理室內,而將該成膜用原料氣體,該成膜用反應氣體及該沖淨氣體供給至該處理室內的工序;該V字蝕刻工序係含有讓該旋轉台複數次連續旋轉,並不將該成膜用原料氣體及該成膜用反應氣體供給至該處理室內,而將該蝕刻氣體及該沖淨氣體供給至該處理室內的工序。
  8. 如申請專利範圍第6項之基板處理方法,其係讓該旋轉台複數次連續旋轉,並同時供給該成膜用原料氣體與該成膜用反應氣體以及該蝕刻氣體與該沖淨氣體,而在該旋轉台旋轉一次的期間複數次地反覆進行各一次該成膜工序與該V字蝕刻工序的循環。
  9. 如申請專利範圍第5項之基板處理方法,其中該蝕刻工序係進一步地含有表面粗度抑制工序,係讓該膜之表面粗度變小。
  10. 如申請專利範圍第4項之基板處理方法,其中該蝕刻工序中之該旋轉台的旋轉速度改變係以該成膜工序中之該旋轉台的旋轉速度為基準速度,並藉由讓該旋轉速度較該基準速度要增加或下降來加以進行。
  11. 一種基板處理裝置,係具有:處理室;旋轉台,係設置於該處理室內,並可將基板載置於其表面上;第1成膜氣體供給部,係可將第1成膜氣體供給至該旋轉台之該表面;第2成膜氣體供給部,係與該第1成膜氣體供給部分離於該旋轉台之周圍方向而加以設置,並可將會與該第1成膜氣體反應之第2成膜氣體供給至該旋轉台之該表面;第1蝕刻氣體供給部,係與該第1及第2成膜氣體供給部分離於該旋轉台之周圍方向而加以設置,並可將第1蝕刻氣體供給至該旋轉台之該表面;第2蝕刻氣體供給部,係靠近該第1蝕刻氣體供給部而加以設置,並供給可在到達該旋轉台之表面前便可直接與該第1蝕刻氣體反應的第2 蝕刻氣體;以及控制機構,係進行控制;該控制係在該基板上僅進行成膜時,會從該第1及第2成膜氣體供給部分別供給該第1及第2成膜氣體,並停止來自該第1及第2蝕刻氣體供給部之供給或供給沖淨氣體;在僅進行該反應生成物之蝕刻時,會從該第1及第2蝕刻氣體供給部分別供給該第1及第2蝕刻氣體,並停止來自該第1及第2成膜氣體供給部之供給或供給沖淨氣體;該控制機構在於該基板表面形成凹狀圖案而該反應生成物會以包覆該凹狀圖案之方式來成膜為凹狀的情況,係在將該凹狀圖案內所成膜之該反應生成物蝕刻為V字剖面狀時,進行讓該旋轉台之旋轉速度下降的控制。
  12. 如申請專利範圍第11項之基板處理裝置,其係進一步地具有加熱機構,係以可藉由該第1及第2蝕刻氣體來熱蝕刻該第1及第2成膜氣體的反應生成物之方式來加熱該旋轉台。
  13. 如申請專利範圍第12項之基板處理裝置,其中該第1成膜氣體供給部係設置於第1處理區域內;該第1及第2蝕刻氣體供給部及該第2成膜氣體供給部係設置於與該第1處理區域分離於該旋轉台之周圍方向而加以設置的第2處理區域內;該第1處理區域與該第2處理區域係藉由具有從該處理室之頂面朝下方突出,而下面會與該旋轉台形成狹窄空間的凸狀部之分離區域來被加以區劃。
  14. 如申請專利範圍第13項之基板處理裝置,其中該分離區域係設置有以沖淨氣體來充滿該狹窄空間而用以分離該第1處理區域與該第2處理區域的沖淨氣體供給機構。
  15. 如申請專利範圍第11項之基板處理裝置,其中該控制機構係在不將該凹狀圖案內所成膜之該反應生成物為V字剖面狀,而讓該反應生成物之表面粗度變小時,進行讓該旋轉台之旋轉速度增加的控制。
  16. 如申請專利範圍第11項之基板處理裝置,其中該控制機構係可實行將 於該基板上僅進行成膜之成膜工序與將該反應生成物蝕刻為V字剖面狀的V字蝕刻工序複數次交互反覆的控制。
TW104128566A 2014-09-02 2015-08-31 基板處理方法及基板處理裝置 TWI650439B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014-178216 2014-09-02
JP2014178216A JP6294194B2 (ja) 2014-09-02 2014-09-02 基板処理方法及び基板処理装置

Publications (2)

Publication Number Publication Date
TW201619436A TW201619436A (zh) 2016-06-01
TWI650439B true TWI650439B (zh) 2019-02-11

Family

ID=55403325

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104128566A TWI650439B (zh) 2014-09-02 2015-08-31 基板處理方法及基板處理裝置

Country Status (5)

Country Link
US (1) US9711370B2 (zh)
JP (1) JP6294194B2 (zh)
KR (1) KR101879022B1 (zh)
CN (1) CN105390372B (zh)
TW (1) TWI650439B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6447393B2 (ja) * 2015-07-06 2019-01-09 東京エレクトロン株式会社 成膜処理装置、成膜処理方法及び記憶媒体
JP6584355B2 (ja) * 2016-03-29 2019-10-02 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP6608332B2 (ja) * 2016-05-23 2019-11-20 東京エレクトロン株式会社 成膜装置
JP6767885B2 (ja) * 2017-01-18 2020-10-14 東京エレクトロン株式会社 保護膜形成方法
JP2019096666A (ja) 2017-11-20 2019-06-20 東京エレクトロン株式会社 エッチング方法及びこれを用いた窪みパターンの埋め込み方法
US11955331B2 (en) 2018-02-20 2024-04-09 Applied Materials, Inc. Method of forming silicon nitride films using microwave plasma
JP7169866B2 (ja) * 2018-12-14 2022-11-11 東京エレクトロン株式会社 基板処理方法
CN110171802B (zh) * 2019-07-11 2022-02-22 江苏鲁汶仪器有限公司 一种mems的深硅刻蚀方法
JP2022054653A (ja) * 2020-09-28 2022-04-07 東京エレクトロン株式会社 凹部埋め込み方法及び基板処理装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08274072A (ja) * 1995-03-31 1996-10-18 Toshiba Corp 表面処理装置および表面処理方法
US6436723B1 (en) * 1998-10-16 2002-08-20 Kabushiki Kaisha Toshiba Etching method and etching apparatus method for manufacturing semiconductor device and semiconductor device
TW201108323A (en) * 2009-03-19 2011-03-01 Tokyo Electron Ltd Substrate etching method and system
TW201304003A (zh) * 2011-03-29 2013-01-16 Tokyo Electron Ltd 成膜裝置及成膜方法
TW201320241A (zh) * 2011-10-13 2013-05-16 Tes Co Ltd 處理基板的系統與方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003142484A (ja) 2001-10-31 2003-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
CN101563760B (zh) * 2006-10-30 2011-12-21 日本航空电子工业株式会社 利用气体团簇离子束的固体表面平坦化方法及固体表面平坦化设备
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
JP4661990B2 (ja) * 2008-06-27 2011-03-30 東京エレクトロン株式会社 成膜装置、成膜方法、基板処理装置及び記憶媒体
JP5195174B2 (ja) 2008-08-29 2013-05-08 東京エレクトロン株式会社 成膜装置及び成膜方法
CN101665923A (zh) * 2008-09-04 2010-03-10 东京毅力科创株式会社 成膜装置、基板处理装置及成膜方法
JP5107185B2 (ja) * 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5031013B2 (ja) * 2008-11-19 2012-09-19 東京エレクトロン株式会社 成膜装置、成膜装置のクリーニング方法、プログラム、プログラムを記憶するコンピュータ可読記憶媒体
JP5287592B2 (ja) * 2009-08-11 2013-09-11 東京エレクトロン株式会社 成膜装置
JP5392069B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
JP5679581B2 (ja) * 2011-12-27 2015-03-04 東京エレクトロン株式会社 成膜方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08274072A (ja) * 1995-03-31 1996-10-18 Toshiba Corp 表面処理装置および表面処理方法
US6436723B1 (en) * 1998-10-16 2002-08-20 Kabushiki Kaisha Toshiba Etching method and etching apparatus method for manufacturing semiconductor device and semiconductor device
TW201108323A (en) * 2009-03-19 2011-03-01 Tokyo Electron Ltd Substrate etching method and system
TW201304003A (zh) * 2011-03-29 2013-01-16 Tokyo Electron Ltd 成膜裝置及成膜方法
TW201320241A (zh) * 2011-10-13 2013-05-16 Tes Co Ltd 處理基板的系統與方法

Also Published As

Publication number Publication date
CN105390372B (zh) 2019-04-05
JP6294194B2 (ja) 2018-03-14
KR101879022B1 (ko) 2018-07-16
TW201619436A (zh) 2016-06-01
US20160064246A1 (en) 2016-03-03
US9711370B2 (en) 2017-07-18
KR20160027928A (ko) 2016-03-10
CN105390372A (zh) 2016-03-09
JP2016051884A (ja) 2016-04-11

Similar Documents

Publication Publication Date Title
TWI650439B (zh) 基板處理方法及基板處理裝置
US9005459B2 (en) Film deposition method and film deposition apparatus
JP6468955B2 (ja) シリコン含有膜の成膜方法及び成膜装置
US10043639B2 (en) Substrate processing apparatus and substrate processing method
TWI668760B (zh) 基板處理裝置及基板處理方法
JP2012209394A (ja) 成膜装置及び成膜方法
JP2019033228A (ja) シリコン窒化膜の成膜方法及び成膜装置
US10151034B2 (en) Substrate processing method including supplying a fluorine-containing gas on a surface of a substrate
JP6735549B2 (ja) 基板処理装置、基板処理方法及びリング状部材
TWI733809B (zh) 成膜裝置
US11786946B2 (en) Cleaning method and film forming apparatus
JP5750190B2 (ja) 成膜装置及び成膜方法
JP6196106B2 (ja) シリコン酸化膜の製造方法
US20190157098A1 (en) Etching Method and Method of Filling Recessed Pattern Using the Same