TWI649614B - 監控製程裝置之方法及系統及相關聯電腦程式產品 - Google Patents

監控製程裝置之方法及系統及相關聯電腦程式產品 Download PDF

Info

Publication number
TWI649614B
TWI649614B TW106128022A TW106128022A TWI649614B TW I649614 B TWI649614 B TW I649614B TW 106128022 A TW106128022 A TW 106128022A TW 106128022 A TW106128022 A TW 106128022A TW I649614 B TWI649614 B TW I649614B
Authority
TW
Taiwan
Prior art keywords
substrate
tool
lithographic
contribution
etching
Prior art date
Application number
TW106128022A
Other languages
English (en)
Other versions
TW201812443A (zh
Inventor
溫 提波 泰爾
馬克 約翰 莫斯羅
法蘭克 史達爾
保羅 克利絲丁安 希尼
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW201812443A publication Critical patent/TW201812443A/zh
Application granted granted Critical
Publication of TWI649614B publication Critical patent/TWI649614B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • G03F7/2043Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means with the production of a chemical active agent from a fluid, e.g. an etching agent; with meterial deposition from the fluid phase, e.g. contamination resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

一種方法,其涉及判定在一基板已由一或多個製程裝置根據一圖案化製程處理之後該一或多個製程裝置對該基板之一特性作出的一貢獻,該判定該貢獻係藉由自該基板之該特性之值移除一微影裝置對該特性之一貢獻及一或多個微影前製程裝置對該特性之一貢獻來完成。

Description

監控製程裝置之方法及系統及相關聯電腦程式產品
本描述係關於一種用於監控及/或調整與基板之處理相關的一或多個基板製造變數之方法及系統。
微影裝置為將所要圖案施加至基板上(通常施加至基板之目標部分上)之機器。微影裝置可用於例如積體電路(IC)或經設計為功能性的其他器件之製造中。在彼情況下,圖案化器件(其替代地被稱作光罩或倍縮光罩)可用以產生待形成於經設計為功能性的器件之個別層上之電路圖案。可將此圖案轉印至基板(例如矽晶圓)上之目標部分(例如包括晶粒之一部分、一個晶粒或若干晶粒)上。通常經由成像至提供於基板上之輻射敏感材料(抗蝕劑)層上來進行圖案之轉印。一般而言,單一基板將含有經順次地圖案化之鄰近目標部分之網路。已知微影裝置包括:所謂的步進器,其中藉由一次性將整個圖案曝光至目標部分上來輻照每一目標部分;及所謂的掃描器,其中藉由在給定方向(「掃描」方向)上經由輻射光束而掃描圖案同時平行或反平行於此方向而同步地掃描基板來輻照每一目標部分。亦有可能藉由將圖案壓印至基板上而將圖案自圖案化器件轉印至基板。
製造諸如半導體器件之器件通常涉及使用數個製造製程來處理基板 (例如半導體晶圓)以形成該等器件之各種特徵且常常形成多個層。通常使用例如沈積、微影、蝕刻、化學機械拋光及離子植入來製造及處理此類層及特徵。可在基板上之複數個晶粒上製造多個器件,且接著將該等器件分離成個別器件。此器件製造製程可被認為係圖案化製程。圖案化製程涉及圖案化步驟,諸如使用微影裝置之光學及/或奈米壓印微影,以在基板上提供圖案且通常但視情況涉及一或多個相關圖案處理步驟,諸如藉由顯影裝置之抗蝕劑顯影、使用烘烤工具烘烤基板、使用蝕刻裝置使用圖案進行蝕刻等等。另外,在圖案化製程中涉及一或多個度量衡製程。
在圖案化製程期間在各種步驟下使用度量衡製程以監控及/或控制該製程。舉例而言,度量衡製程係用以量測基板之一或多個特性,諸如在圖案化製程期間形成於基板上之特徵之相對部位(例如,對齊、疊對、對準等)或尺寸(例如,線寬、臨界尺寸(CD)、厚度等),使得例如可自該一或多個特性判定圖案化製程之效能。若該一或多個特性係不可接受的(例如在特性之預定範圍之外),則可例如基於對該一或多個特性之量測而變更圖案化製程之一或多個變數,使得藉由圖案化製程而製造之另外基板具有可接受的特性。
幾十年來,隨著微影及其他圖案化製程技術之改進,功能性元件之尺寸已不斷地縮減,而每器件功能性元件(諸如電晶體)之量已穩定地增大。同時,對在疊對、臨界尺寸(CD)等方面之準確度要求已變得愈來愈嚴格。在圖案化製程中將不可避免地產生誤差,諸如疊對誤差、CD誤差等。舉例而言,可自光學像差、圖案化器件加熱、圖案化器件誤差及/或基板加熱產生成像誤差,且可依據例如疊對誤差、CD誤差等來特性化成像誤差。另外或替代地,可在圖案化製程之其他部分中(諸如在蝕刻、顯 影、烘烤等中)引入誤差,且相似地,可依據例如疊對誤差、CD誤差等來特性化該誤差。該誤差可直接造成在器件之運行方面之問題,包括器件運行之故障,或運行器件之一或多個電氣問題。
微影反饋式調控系統(lithography baseliner system)可用以監控微影裝置隨著時間推移之效能。當微影裝置之效能偏離可接受標準時,可採取諸如再校準、修復、停工等之措施。另外,微影反饋式調控系統可使能夠藉由例如修改微影裝置之一或多個設定(變數)而適時地控制微影裝置。因此,微影反饋式調控系統可實現例如在大容量製造(HVM)中之穩定效能。
實際上,微影反饋式調控系統可旨在將微影裝置保持至某一基線。為此,在一實施例中,微影反饋式調控系統獲得使用度量衡裝置(諸如以繞射為基礎之光學量測工具)而對監控晶圓採取之量測。在一實施例中,可使用包含適合於度量衡裝置之標記之某一圖案化器件圖案來曝光監控晶圓。根據量測,微影反饋式調控系統判定微影裝置已自其基線漂移多遠。在一實施例中,微影反饋式調控系統接著計算例如基板位階疊對及/或聚焦校正集合。微影裝置接著使用此等校正集合以作出特定校正以供曝光後續生產晶圓。
對於例如蝕刻工具、沈積工具等之非微影製程裝置,相似反饋式調控係合意的。因此,需要提供可較佳地監控及/或控制一或多個非微影製程裝置之效能之方法及/或裝置。
在一實施例中,提供一種方法,其包含:藉由一電腦硬體系統判定在一基板已由一或多個製程裝置根據一圖案化製程處理之後該一或多個製程裝置對該基板之一特性作出的一貢獻,該判定該貢獻係藉由自該基板之 該特性之值移除一微影裝置對該特性之一貢獻及一或多個微影前製程裝置對該特性之一貢獻來完成。
在一實施例中,提供一種方法,其包含:藉由一電腦硬體系統估計將被賦予至待藉由一圖案化製程處理之一基板之一特性,該估計該特性係藉由組合對該基板特定的與用於該圖案化製程中之一或多個製程裝置相關的一或多個製程變數之一第一群組之一貢獻與對該基板並非特定的與該一或多個製程裝置相關的一或多個製程變數之一第二群組之一貢獻來完成,來自該第一群組及/或該第二群組之至少一個製程變數係與一微影裝置上游之一製程裝置相關。
在一實施例中,提供一種方法,其包含:藉由一電腦硬體系統估計將被賦予至待由一或多個製程裝置處理之一基板之一特性,該估計該特性係藉由組合該一或多個製程裝置對該特性之一或多個貢獻與該特性之一或多個值來完成,該一或多個製程裝置中之至少一者係在一微影裝置上游。
在一實施例中,提供一種方法,其包含:判定一或多個第一製程裝置對一基板之一特性之一或多個貢獻;及藉由一電腦硬體系統且至少部分地基於該一或多個貢獻而產生修改資訊以調整在該一或多個第一製程裝置下游的一或多個第二製程裝置。
在一實施例中,提供一種非暫時性電腦程式產品,其包含用於致使一處理器系統執行本文中所描述之一方法的機器可讀指令。
在一實施例中,提供一種系統,其包含:一硬體處理器系統;及一非暫時性電腦可讀儲存媒體,其經組態以儲存機器可讀指令,其中該等機器可讀指令在經執行時致使該硬體處理器系統執行如本文中所描述之一方法。
2‧‧‧輻射源
11‧‧‧背向投影式焦平面
12‧‧‧透鏡系統
13‧‧‧干涉濾光器
14‧‧‧參考鏡面
15‧‧‧物鏡/透鏡系統
16‧‧‧部分反射表面
17‧‧‧偏振器
18‧‧‧偵測器
30‧‧‧基板目標
30'‧‧‧目標圖案/目標
108‧‧‧經量測輻射分佈
206‧‧‧參數化模型
208‧‧‧輻射分佈
210‧‧‧數值馬克士威求解程序
212‧‧‧比較經量測輻射分佈與所計算輻射分佈
600‧‧‧製程裝置反饋式調控系統
610‧‧‧沈積工具
611‧‧‧沈積腔室
612‧‧‧沈積腔室
620‧‧‧第一塗佈顯影系統組件
625‧‧‧第二塗佈顯影系統組件
630‧‧‧光學微影裝置
635‧‧‧圖案化器件
640‧‧‧蝕刻工具
641‧‧‧第一蝕刻腔室
642‧‧‧第二蝕刻腔室
650‧‧‧度量衡裝置
660‧‧‧軟體應用程式
670‧‧‧資料庫
710‧‧‧製程步驟
715‧‧‧基板層
720‧‧‧製程步驟
725‧‧‧可蝕刻層
730‧‧‧製程步驟
735‧‧‧抗蝕劑層
740‧‧‧製程步驟
750‧‧‧製程步驟
760‧‧‧製程步驟
762‧‧‧圖案線
764‧‧‧間隔
770‧‧‧製程步驟
780‧‧‧製程步驟
785‧‧‧最後經蝕刻基板
792‧‧‧晶粒
794‧‧‧晶粒
796‧‧‧晶粒
810‧‧‧步驟
820‧‧‧步驟
910‧‧‧可蝕刻層之厚度
915‧‧‧微影前製程裝置之貢獻
920‧‧‧與微影裝置相關之一或多個變數
925‧‧‧微影裝置之貢獻
930‧‧‧經組合貢獻
940‧‧‧最後經蝕刻基板之特性
950‧‧‧微影後製程裝置之貢獻/第三貢獻
1010‧‧‧變數
1015‧‧‧第一貢獻
1020‧‧‧變數
1025‧‧‧第二貢獻
1030‧‧‧特性/指紋
1035‧‧‧第四貢獻
1040‧‧‧工序
1050‧‧‧工序
1060‧‧‧工序
1065‧‧‧修改資訊
1070‧‧‧工序
1105‧‧‧製程變數之第一群組
1110‧‧‧第一貢獻
1120‧‧‧製程變數之第二群組
1125‧‧‧第二貢獻
1130‧‧‧特性
1140‧‧‧工序
1150‧‧‧工序
1160‧‧‧工序
1165‧‧‧修改資訊
1170‧‧‧工序
1210‧‧‧貢獻
1220‧‧‧經蝕刻基板之臨界尺寸均一性
1230‧‧‧第一貢獻
1240‧‧‧第二貢獻
1250‧‧‧沈積工具之貢獻
1260‧‧‧微影裝置之貢獻
1310‧‧‧臨界尺寸均一性
1320‧‧‧貢獻
1330‧‧‧第一貢獻
1340‧‧‧第二貢獻
1350‧‧‧沈積工具之貢獻
1360‧‧‧微影裝置之貢獻
1370‧‧‧焦點
1380‧‧‧第一聚焦組件
1390‧‧‧第二聚焦組件
1410‧‧‧焦點(F)
1415‧‧‧貢獻
1420‧‧‧移動標準偏差(MSDz)
1425‧‧‧貢獻
1430‧‧‧移動標準偏差(MSDx)
1435‧‧‧貢獻
1445‧‧‧將貢獻中之每一者組合在一起
1500‧‧‧電腦系統
1502‧‧‧匯流排
1504‧‧‧處理器
1505‧‧‧處理器
1506‧‧‧主記憶體
1508‧‧‧唯讀記憶體(ROM)
1510‧‧‧儲存器件
1512‧‧‧顯示器
1514‧‧‧輸入器件
1516‧‧‧游標控制件
1518‧‧‧通信介面
1520‧‧‧網路鏈路
1522‧‧‧區域網路
1524‧‧‧主機電腦
1526‧‧‧網際網路服務業者(ISP)
1528‧‧‧網際網路
1530‧‧‧伺服器
AD‧‧‧調整器
AM‧‧‧調整機構
AS‧‧‧對準感測器
B‧‧‧輻射光束
BD‧‧‧光束遞送系統
BK‧‧‧烘烤板
C‧‧‧目標部分
CH‧‧‧冷卻板
CO‧‧‧聚光器
DE‧‧‧顯影器
IF‧‧‧位置感測器
IL‧‧‧照明系統/照明器
IN‧‧‧積光器
I/O1‧‧‧輸入/輸出埠
I/O2‧‧‧輸入/輸出埠
LA‧‧‧微影裝置
LACU‧‧‧微影控制單元
LB‧‧‧裝載匣
LC‧‧‧微影製造單元
LS‧‧‧位階感測器
M1‧‧‧圖案化器件對準標記
M2‧‧‧圖案化器件對準標記
MA‧‧‧圖案化器件
MT‧‧‧圖案化器件支撐結構
P1‧‧‧基板對準標記
P2‧‧‧基板對準標記
PM‧‧‧第一定位器
PS‧‧‧投影系統
PU‧‧‧處理器/單元
PW‧‧‧第二定位器
RF‧‧‧參考框架
RO‧‧‧基板處置器或機器人
S‧‧‧照明光點
SC‧‧‧旋塗器
SCS‧‧‧監督控制系統
SO‧‧‧輻射源
TCU‧‧‧塗佈顯影系統控制單元
W‧‧‧基板
WTa‧‧‧基板台
WTb‧‧‧基板台
現在將參看隨附圖式而僅作為實例來描述實施例,在該等圖式中:圖1示意性地描繪微影裝置之實施例;圖2示意性地描繪微影製造單元或叢集之實施例;圖3示意性地描繪實例檢測裝置;圖4說明檢測裝置之照明光點與度量衡目標之間的關係;圖5示意性地描繪基於量測資料導出一或多個所關注變數之製程;圖6示意性地描繪製程裝置反饋式調控系統之實施例;圖7A、圖7B、圖7C、圖7D、圖7E、圖7F及圖7G示意性地描繪在基板上形成圖案及在可蝕刻層中蝕刻該圖案之製程;圖7H示意性地描繪使用度量衡裝置來量測經蝕刻基板之圖解;圖7I示意性地描繪最後經蝕刻基板之指紋;圖7J示意性地描繪沈積工具對最後經蝕刻基板之指紋的貢獻;圖7K示意性地描繪微影裝置對最後經蝕刻基板之指紋的貢獻;圖7L示意性地描繪蝕刻工具對最後經蝕刻基板之指紋的貢獻;圖8描繪根據本發明之一實施例的用以調整一或多個基板製造變數之方法的實例流程;圖9描繪根據本發明之一實施例的用以獲得非微影製程裝置對基板之特性之貢獻的方法之實例流程;圖10描繪根據本發明之一實施例的用以預測基板上之缺陷或其他誤差之方法的實例流程;圖11描繪根據本發明之一實施例的用以預測基板上之缺陷或其他誤差之方法的實例流程; 圖12示意性地描繪根據本發明之一實施例的獲得蝕刻工具對經蝕刻基板之臨界尺寸均一性之貢獻的實例;圖13示意性地描繪根據本發明之一實施例的預測基板上之缺陷或其他誤差之實例;圖14示意性地描繪模型化微影裝置對基板之特性之經組合貢獻的實例;及圖15示意性地描繪可實施本發明之實施例之電腦系統。
在詳細地描述實施例之前,有指導性的是呈現可供實施實施例之實例環境。
圖1示意性地描繪微影裝置LA。該裝置包含:- 照明系統(照明器)IL,其經組態以調節輻射光束B(例如UV輻射或DUV輻射);- 支撐結構(例如,光罩台)MT,其經建構以支撐圖案化器件(例如,光罩)MA,且連接至經組態以根據某些參數來準確地定位該圖案化器件之第一定位器PM;- 基板台(例如,晶圓台)WT,其經建構以固持基板(例如,抗蝕劑塗佈晶圓)W,且連接至經組態以根據某些參數來準確地定位該基板之第二定位器PW;及- 投影系統(例如,折射投影透鏡系統)PS,其經組態以將由圖案化器件MA賦予至輻射光束B之圖案投影至基板W之目標部分C(例如包含一或多個晶粒)上,該投影系統被支撐於參考框架(RF)上。
照明系統可包括用於導向、塑形或控制輻射的各種類型之光學組 件,諸如折射、反射、磁性、電磁、靜電或其他類型之光學組件,或其任何組合。
支撐結構以取決於圖案化器件之定向、微影裝置之設計及其他條件(諸如圖案化器件是否被固持於真空環境中)的方式來支撐圖案化器件。支撐結構可使用機械、真空、靜電或其他夾持技術來固持圖案化器件。支撐結構可為例如框架或台,其可視需要而固定或可移動。支撐結構可確保圖案化器件例如相對於投影系統處於所要位置。可認為本文中對術語「倍縮光罩」或「光罩」之任何使用皆與更一般之術語「圖案化器件」同義。
本文中所使用之術語「圖案化器件」應被廣泛地解譯為係指可用以在基板之目標部分中賦予圖案的任何器件。在一實施例中,圖案化器件為可用以在輻射光束之橫截面中向輻射光束賦予圖案以便在基板之目標部分中產生圖案的任何器件。應注意,舉例而言,若被賦予至輻射光束之圖案包括相移特徵或所謂的輔助特徵,則該圖案可不確切地對應於基板之目標部分中的所要圖案。通常,被賦予至輻射光束之圖案將對應於目標部分中所產生之器件(諸如積體電路)中的特定功能層。
圖案化器件可為透射的或反射的。圖案化器件之實例包括光罩、可程式化鏡面陣列,及可程式化LCD面板。光罩在微影中係熟知的,且包括諸如二元、交變相移及衰減相移之光罩類型,以及各種混合光罩類型。可程式化鏡面陣列之一實例使用小鏡面之矩陣配置,該等小鏡面中之每一者可個別地傾斜,以便使入射輻射光束在不同方向上反射。傾斜鏡面在由鏡面矩陣反射之輻射光束中賦予圖案。
本文中所使用之術語「投影系統」應被廣泛地解譯為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用之其他因素的任何 類型之投影系統,包括折射、反射、反射折射、磁性、電磁及靜電光學系統或其任何組合。可認為本文中對術語「投影透鏡」之任何使用皆與更一般之術語「投影系統」同義。
投影系統PS具有可非均一且可影響成像於基板W上之圖案之光學轉移功能。對於非偏振輻射,此類效應可由兩個純量映像相當良好地描述,該兩個純量映像描述依據射出投影系統PS之輻射之光瞳平面中之位置而變化的該輻射之透射(變跡)及相對相位(像差)。可將可被稱作透射映像及相對相位映像之此等純量映像表達為基底函數全集之線性組合。一特別適宜的集合為任尼克(Zernike)多項式,其形成單位圓上所定義之正交多項式集合。每一純量映像之判定可涉及判定此展開式中之係數。由於任尼克多項式在單位圓上正交,故可藉由依次計算經量測純量映像與每一任尼克多項式之內積且將此內積除以彼任尼克多項式之範數之平方來判定任尼克係數。
透射映像及相對相位映像係場及系統相依的。亦即,一般而言,每一投影系統PS將針對每一場點(亦即,針對投影系統PS之影像平面中之每一空間部位)具有一不同任尼克展開式。可藉由將例如來自投影系統PS之物件平面(亦即,圖案化器件MA之平面)中之類點源之輻射投影通過投影系統PS且使用剪切干涉計以量測波前(亦即,具有相同相位之點之軌跡)來判定投影系統PS在其光瞳平面中之相對相位。剪切干涉計係共同路徑干涉計且因此,有利的是,無需次級參考光束來量測波前。剪切干涉計可包含一繞射光柵,例如,投影系統之影像平面(亦即,基板台WT)中之二維柵格;及一偵測器,其經配置以偵測與投影系統PS之光瞳平面共軛的平面中之干涉圖案。干涉圖案係與輻射之相位相對於在剪切方向上之光瞳平 面中之座標的導數相關。偵測器可包含感測元件陣列,諸如電荷耦合器件(CCD)。
微影裝置之投影系統PS可不產生可見條紋,且因此,可使用相位步進技術(諸如移動繞射光柵)來增強波前判定之準確度。可在繞射光柵之平面中及在垂直於量測之掃描方向的方向上執行步進。步進範圍可為一個光柵週期,且可使用至少三個(均一地分佈)相位步進。因此,舉例而言,可在y方向上執行三個掃描量測,在x方向上針對一不同位置執行每一掃描量測。繞射光柵之此步進將相位變化有效地變換成強度變化,從而允許判定相位資訊。光柵可在垂直於繞射光柵之方向(z方向)上步進以校準偵測器。
可藉由將例如來自投影系統PS之物件平面(亦即,圖案化器件MA之平面)中之類點源之輻射投影通過投影系統PS且使用偵測器來量測與投影系統PS之光瞳平面共軛的平面中之輻射強度來判定投影系統PS在其光瞳平面中之透射(變跡)。可使用與用以量測波前以判定像差的偵測器同一個偵測器。
投影系統PS可包含複數個光學(例如透鏡)元件且可進一步包含調整機構AM,該調整機構經組態以調整該等光學元件中之一或多者以便校正像差(橫越貫穿場之光瞳平面之相位變化)。為了達成此校正,調整機構可操作而以一或多種不同方式操控投影系統PS內之一或多個光學(例如,透鏡)元件。投影系統可具有座標系,其中該投影系統之光軸在z方向上延伸。調整機構可操作以進行以下各項之任何組合:使一或多個光學元件位移;使一或多個光學元件傾斜;及/或使一或多個光學元件變形。光學元件之位移可在任何方向(x、y、z或其組合)上進行。光學元件之傾斜通常 在垂直於光軸之平面外,藉由圍繞在x及/或y方向上之軸線旋轉而進行,但對於非旋轉對稱之非球面光學元件可使用圍繞z軸之旋轉。光學元件之變形可包括低頻形狀(例如,像散)及/或高頻形狀(例如,自由形式非球面)。可例如藉由使用一或多個致動器以對光學元件之一或多個側施加力及/或藉由使用一或多個加熱元件以加熱光學元件之一或多個選定區來執行光學元件之變形。一般而言,沒有可能調整投影系統PS以校正變跡(橫越光瞳平面之透射變化)。當設計用於微影裝置LA之圖案化器件(例如光罩)MA時,可使用投影系統PS之透射映像。使用計算微影技術,圖案化器件MA可經設計為用以至少部分地校正變跡。
如此處所描繪,裝置屬於透射類型(例如,使用透射光罩)。替代地,該裝置可屬於反射類型(例如,使用如上文所提及之類型之可程式化鏡面陣列,或使用反射光罩)。
微影裝置可屬於具有兩個(雙載物台)或多於兩個台(例如,兩個或多於兩個基板台WTa、WTb、兩個或多於兩個圖案化器件台、在無專用於例如促進量測及/或清潔等之基板的情況下在投影系統下方之基板台WTa及台WTb)之類型。在此等「多載物台」機器中,可並行地使用額外台,或可對一或多個台進行預備步驟,同時將一或多個其他台用於曝光。舉例而言,可進行使用對準感測器AS之對準量測及/或使用位階感測器LS之位階(高度、傾角等)量測。
微影裝置亦可屬於如下類型:其中基板之至少一部分可由具有相對高折射率之液體(例如水)覆蓋,以便填充投影系統與基板之間的空間。亦可將浸潤液體施加至微影裝置中之其他空間,例如圖案化器件與投影系統之間的空間。浸潤技術在此項技術中被熟知用於增大投影系統之數值孔 徑。本文中所使用之術語「浸潤」並不意謂諸如基板之結構必須浸沒於液體中,而是僅意謂液體在曝光期間位於投影系統與基板之間。
參看圖1,照明器IL自輻射源SO接收輻射光束。舉例而言,當源為準分子雷射時,源及微影裝置可為單獨實體。在此等狀況下,不認為源形成微影裝置之部分,且輻射光束係憑藉包含例如合適導向鏡及/或光束擴展器之光束遞送系統BD而自源SO傳遞至照明器IL。在其他狀況下,舉例而言,當源為水銀燈時,源可為微影裝置之整體部分。源SO及照明器IL連同光束遞送系統BD在需要時可被稱作輻射系統。
照明器IL可包含經組態以調整輻射光束之角強度分佈之調整器AD。通常,可調整照明器之光瞳平面中之強度分佈的至少外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。此外,照明器IL可包含各種其他組件,諸如積光器IN及聚光器CO。照明器可用以調節輻射光束,以在其橫截面中具有所要均一性及強度分佈。
輻射光束B入射於被固持於支撐結構(例如光罩台)MT上之圖案化器件(例如光罩)MA上,且係由該圖案化器件而圖案化。在已橫穿圖案化器件MA之情況下,輻射光束B傳遞通過投影系統PS,投影系統PS將該光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置感測器IF(例如,干涉器件、線性編碼器、2-D編碼器或電容式感測器),可準確地移動基板台WT,例如以便將不同目標部分C定位在輻射光束B之路徑中。相似地,第一定位器PM及另一位置感測器(其未在圖1中被明確地描繪)可用以例如在自光罩庫之機械擷取之後或在掃描期間相對於輻射光束B之路徑來準確地定位圖案化器件MA。一般而言,可憑藉形成第一定位器PM之部分之長衝程模組(粗略定位)及短衝程模組(精細定位)來實現支撐結構MT之移 動。相似地,可使用形成第二定位器PW之部分之長衝程模組及短衝程模組來實現基板台WT之移動。在步進器(相對於掃描器)之狀況下,支撐結構MT可僅連接至短衝程致動器,或可固定。可使用圖案化器件對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件MA及基板W。儘管所說明之基板對準標記佔據專用目標部分,但該等標記可位於目標部分之間的空間中(此等標記被稱為切割道對準標記)。相似地,在多於一個晶粒被提供於圖案化器件MA上之情形中,圖案化器件對準標記可位於該等晶粒之間。
所描繪裝置可在以下模式中之至少一者中使用:
1. 在步進模式中,在將被賦予至輻射光束之整個圖案一次性投影至目標部分C上時,使支撐結構MT及基板台WT保持基本上靜止(亦即,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上移位,使得可曝光不同目標部分C。在步進模式中,曝光場之最大大小限制單次靜態曝光中所成像之目標部分C之大小。
2. 在掃描模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,同步地掃描支撐結構MT及基板台WT(亦即,單次動態曝光)。可藉由投影系統PS之放大率(縮小率)及影像反轉特性來判定基板台WT相對於支撐結構MT之速度及方向。在掃描模式中,曝光場之最大大小限制單次動態曝光中之目標部分之寬度(在非掃描方向上),而掃描運動之長度判定目標部分之高度(在掃描方向上)。
3. 在另一模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,使支撐結構MT保持基本上靜止,從而固持可程式化圖案化器件,且移動或掃描基板台WT。在此模式中,通常使用脈衝式輻射源,且在基板 台WT之每一移動之後或在掃描期間之順次輻射脈衝之間視需要而更新可程式化圖案化器件。此操作模式可易於應用於利用可程式化圖案化器件(諸如上文所提及之類型的可程式化鏡面陣列)之無光罩微影。
亦可使用對上文所描述之使用模式之組合及/或變化或完全不同的使用模式。
如圖2中所展示,微影裝置LA可形成微影製造單元LC(有時亦被稱作叢集)之部分,微影製造單元LC亦包括用以對基板執行曝光前製程及曝光後製程之裝置。通常,此等裝置包括用以沈積一或多個抗蝕劑層之一或多個旋塗器SC、用以顯影經曝光抗蝕劑之一或多個顯影器DE、一或多個冷卻板CH及/或一或多個烘烤板BK。基板處置器或機器人RO自輸入/輸出埠I/O1、I/O2拾取一或多個基板,將該等基板在不同製程裝置之間移動且將其遞送至微影裝置之裝載匣LB。常常被集體地稱作塗佈顯影系統(track)之此等裝置由塗佈顯影系統控制單元TCU控制,塗佈顯影系統控制單元TCU自身受監督控制系統SCS控制,監督控制系統SCS亦經由微影控制單元LACU而控制微影裝置。因此,不同裝置可經操作以最大化產出率及處理效率。
為了正確且一致地曝光由微影裝置曝光之基板,需要檢測經曝光基板以量測一或多個屬性,諸如後續層之間的疊對誤差、線厚度、臨界尺寸(CD)、焦點偏移、材料屬性等。因此,微影製造單元LC位於其中之製造設施通常亦包括度量衡系統MET,度量衡系統MET收納已在微影製造單元中經處理之基板W中的一些或全部。度量衡系統MET可為微影製造單元LC之部分,例如,其可為微影裝置LA之部分。
可將度量衡結果直接或間接地提供至監督控制系統SCS。若偵測到 誤差,則可對後續基板之曝光(尤其在可足夠迅速且快速完成檢測使得該批量之一或多個其他基板仍待曝光之情況下)及/或經曝光基板之後續曝光進行調整。又,已經曝光之基板可被剝離及重工以改良產率,或被捨棄,藉此避免對已知有缺陷之基板執行進一步處理。在基板之僅一些目標部分有缺陷之狀況下,可僅對良好的彼等目標部分執行進一步曝光。
在度量衡系統MET內,檢測裝置係用以判定基板之一或多個屬性,且詳言之,判定不同基板之一或多個屬性如何變化或同一基板之不同層在不同層間如何變化。檢測裝置可整合至微影裝置LA或微影製造單元LC中,或可為單機器件。為實現快速量測,需要使檢測裝置緊接在曝光之後量測經曝光抗蝕劑層中之一或多個屬性。然而,抗蝕劑中之潛影具有極低對比度-在已曝光至輻射的抗蝕劑之部分與尚未曝光至輻射的抗蝕劑之部分之間僅存在極小折射率差-且並非所有檢測裝置皆具有足夠敏感度來進行潛影之有用量測。因此,可在曝光後烘烤步驟(PEB)之後進行量測,曝光後烘烤步驟(PEB)通常為對經曝光基板進行之第一步驟且增大抗蝕劑之經曝光部分與未經曝光部分之間的對比度。在此階段,抗蝕劑中之影像可被稱作半潛像(semi-latent)。亦有可能進行對經顯影抗蝕劑影像之量測-此時,抗蝕劑之經曝光部分或未經曝光部分已被移除-或在諸如蝕刻之圖案轉印步驟之後進行經顯影抗蝕劑影像之量測。後者可能性限制重工有缺陷基板之可能性,但仍可提供有用資訊。
為了實現度量衡,可在基板上提供一或多個目標。在一實施例中,目標經專門設計且可包含週期性結構。在一實施例中,目標為器件圖案之一部分,例如為器件圖案之週期性結構。所使用目標可包含相對大週期性結構佈局(例如包含一或多個光柵),例如40微米乘40微米。在彼狀況下, 量測光束常常具有小於週期性結構佈局之光點大小(亦即,佈局填充不足,使得週期性結構中之一或多者並未完全由光點覆蓋)。此情形簡化目標之數學重新建構,此係由於可將目標視為無限的。然而,舉例而言,因此可將目標定位於產品特徵當中而非切割道中,目標之大小已縮減例如至20微米乘20微米或更小,或縮減至10微米乘10微米或更小。在此情形下,可使週期性結構佈局小於量測光點(亦即,週期性結構佈局填充過度)。通常使用暗場散射量測來量測此目標,其中阻擋零階繞射(對應於鏡面反射),且僅處理高階。可在PCT專利申請公開案第WO 2009/078708號及第WO 2009/106279號中找到暗場度量衡之實例,該等專利申請公開案之全文係特此以引用方式併入。美國專利申請公開案US2011-0027704、US2011-0043791及US2012-0242970中已描述該技術之進一步開發,該等專利申請公開案之全文係特此以引用方式併入。使用繞射階之暗場偵測的以繞射為基礎之疊對實現對較小目標之疊對量測。此等目標可小於照明光點且可由基板上之產品結構環繞。在一實施例中,可在一個影像中量測多個目標。
在一實施例中,基板上之目標可包含一或多個1-D週期性光柵,其經印刷成使得在顯影之後,長條係由固體抗蝕劑線形成。在一實施例中,目標可包含一或多個2-D週期性光柵,其經印刷成使得在顯影之後,該一或多個光柵係由抗蝕劑中之固體抗蝕劑導柱或通孔形成。長條、導柱或通孔可替代地經蝕刻至基板中或基板上(例如,經蝕刻至基板上之一或多個層中)。
在一實施例中,目標之(例如長條、導柱或通孔之)圖案對圖案化製程之一或多個處理特性(例如,微影投影裝置(特別是投影系統PS)中之光學 像差、焦點改變、劑量改變等)敏感,且此類特性之存在將使其自身表現為經印刷圖案中之變化。因此,經印刷目標之經量測資料可用以重新建構圖案以及特性中之一或多者。可根據印刷步驟及/或其他檢測製程之知識,將1-D光柵之一或多個參數(諸如線寬及/或形狀)或2-D光柵之一或多個參數(諸如導柱或通孔寬度或長度或形狀)輸入至由處理器PU執行之重新建構製程。
圖3描繪實例檢測裝置(例如散射計)。該檢測裝置可為單機器件,或併入於例如量測站處之微影裝置LA中抑或微影製造單元LC中。
該檢測裝置包含輻射投影儀(例如寬頻帶白光投影儀或具有在可見光及/或近紅外線中之各種波長的投影儀),該輻射投影儀將輻射投影至基板W上。在此器件中,由輻射源2發射之輻射係使用透鏡系統12而準直且透射通過干涉濾光器13及偏振器17、由部分反射表面16反射且經由物鏡15而聚焦至基板W上之光點S中,物鏡15具有高數值孔徑(NA),理想地為至少0.9或至少0.95。浸潤檢測裝置(使用相對高折射率之流體,諸如水)甚至可具有大於1之數值孔徑。
由基板W重新導向之輻射接著通過部分反射表面16而傳遞至偵測器18(例如光譜儀偵測器)中以便使重新導向輻射被偵測。偵測器18可位於背向投影式焦平面11處(亦即,位於透鏡系統15之焦距處),或平面11可運用輔助光學件(圖中未繪示)而再成像至偵測器18上。偵測器可為二維偵測器,使得可量測基板目標30之二維角度散射光譜。偵測器18可為例如CCD或CMOS感測器陣列,且可使用為例如每圖框40毫秒之積分時間。
參考光束可用以例如量測入射輻射之強度。為進行此量測,當輻射光束入射於部分反射表面16上時,將輻射光束之部分通過部分反射表面 16作為參考光束而透射朝向參考鏡面14。接著將參考光束投影至同一偵測器18之不同部分上或替代地投影至不同偵測器(圖中未繪示)上。
一或多個干涉濾光器13可用以選擇在為比如405奈米至790奈米或甚至更低(諸如200奈米至300奈米)之範圍內的所關注波長。該干涉濾光器可為可調諧的,而非包含不同濾光器之集合。可使用光柵來代替干涉濾光器。孔徑光闌或空間光調變器(圖中未繪示)可提供於照明路徑中以控制目標上之輻射之入射角之範圍。
偵測器18可量測在單一波長(或窄波長範圍)下之經重新導向輻射之強度、分離地在多個波長下之經重新導向輻射之強度,或遍及一波長範圍而積分之經重新導向輻射之強度。此外,偵測器可分離地量測橫向磁偏振輻射及橫向電偏振輻射之強度,及/或橫向磁偏振輻射與橫向電偏振輻射之間的相位差。在一實施例中,量測鏡面反射輻射之光譜(依據波長而變化的強度)。
根據此資料,可藉由處理器PU例如藉由嚴密耦合波分析及非線性回歸或藉由與經模擬光譜庫、強度分佈等的比較來重新建構導致偵測到之強度、光譜等的結構或剖面。一般而言,對於重新建構,結構之一般形式係已知的,且自供製造結構之製程之知識來假定一些變數,從而僅留下結構之少許變數以自經量測資料予以判定。此檢測裝置可經組態為正入射檢測裝置或斜入射檢測裝置。
如在微影裝置LA中,可在量測操作期間提供一或多個基板台以固持基板W。該等基板台可在形式上與圖1之基板台WT相似或相同。在檢測裝置與微影裝置整合之實例中,該等基板台可甚至為相同基板台。可將粗略定位器及精細定位器提供至第二定位器PW,該第二定位器經組態以相對 於量測光學系統準確地定位基板。提供各種感測器及致動器例如以獲取所關注目標之位置,且將所關注目標帶入至物鏡15下方之位置中。通常將對橫越基板W之不同部位處之目標進行許多量測。可在X及Y方向上移動基板支撐件以獲取不同目標,且可在Z方向上移動基板支撐件以獲得目標相對於光學系統之焦點之所要部位。舉例而言,當實務上光學系統可保持實質上靜止(通常在X及Y方向上,但可能亦在Z方向上)且僅基板移動時,方便地將操作考慮並描述為如同物鏡被帶入至相對於基板之不同部位。倘若基板與光學系統之相對位置正確,則原則上無關緊要的係,基板與光學系統中之哪一者在真實世界中移動,或其兩者是否皆移動,抑或光學系統之一部分之組合移動(例如,在Z方向及/或傾斜方向上),其中光學系統之其餘部分靜止且基板移動(例如,在X及Y方向上,且視情況亦在Z方向及/或傾斜方向上)。
除了藉由重新建構量測參數以外,此以繞射為基礎之度量衡或檢測之特定應用係在週期性目標內之特徵不對稱性之量測中。舉例而言,角度解析散射量測有用於量測產品及/或抗蝕劑圖案中之特徵之不對稱性。不對稱性量測之一特定應用係用於疊對誤差之量測,其中目標30包含疊置於另一組週期性特徵上的一組週期性特徵。使用圖3之器具之不對稱性量測之概念係例如在美國專利申請公開案US2006-066855中描述,該公開案之全文併入本文中。簡單地陳述,雖然目標之繞射光譜中之繞射階的位置僅藉由目標之週期性而判定,但繞射光譜中之不對稱性指示構成目標之個別特徵中的不對稱性。在圖3之器具中,其中偵測器18可為影像感測器,繞射階中之此不對稱性直接呈現為由偵測器18記錄之光瞳影像中之不對稱性。可藉由單元PU中之數位影像處理來量測此不對稱性,且相對於已知 疊對值來校準此不對稱性。舉例而言,可藉由比較繞射光譜之相對部分(例如,比較週期性光柵之繞射光譜中之-1階與+1階)而量測不對稱性。
圖4說明典型目標30之平面圖,及圖3之裝置中之照明光點S之範圍。為了獲得擺脫來自周圍結構之干涉的繞射光譜,在一實施例中,目標30為大於照明光點S之寬度(例如直徑)之週期性結構(例如光柵)。光點S之寬度可小於目標之寬度及長度。換言之,目標係由照明「填充不足」,且繞射信號基本上不含來自目標自身外部之產品特徵及其類似者之任何信號。照明配置2、12、13、17可經組態以提供橫越物鏡15之背焦平面之均一強度的照明。替代地,藉由例如在照明路徑中包括孔徑,照明可限於同軸方向或離軸方向。
在一實施例中,目標可為形成於基板上之複合度量衡目標。在一實施例中,該複合目標包含緊密定位在一起之四個週期性結構(在此狀況下,為光柵)。在一實施例中,週期性結構足夠緊密地定位在一起,使得其皆在由度量衡裝置之照明光束形成之量測光點內。在彼狀況下,四個週期性結構因此皆被同時照明且同時被量測。在一實施例中,4個週期性結構中之2個週期性結構使其伸長特徵(例如線)在第一方向(例如X方向)上延伸,且該等4個週期性結構之另外2個使其伸長特徵(例如線)在第一方向(例如Y方向)上延伸。在專用於疊對量測之實例中,週期性結構自身為由疊對週期性結構形成之複合週期性結構(例如複合光柵),亦即,週期性結構在形成於基板上之器件之不同層中被圖案化且使得一個層中之至少一個週期性結構與不同層中之至少一個週期性結構疊對。此目標之外部尺寸可在20微米×20微米內或在16微米×16微米內。另外,所有週期性結構用以量測一特定層對之間的疊對。
為了促進目標能夠量測多於單一層對,週期性結構可具有以不同方式偏置之疊對偏移,以便促進對形成有複合週期性結構之不同部分的不同層之間的疊對之量測。因此,舉例而言,用於基板上之目標之所有週期性結構將用以量測一個層對,且用於基板上之另一相同目標之所有週期性結構將用以量測另一層對,其中不同偏置促進區分該等層對。在一項實例中,兩個週期性結構為分別具有+d、-d之偏置的X方向週期性結構。另外兩個週期性結構可為分別具有偏移+d及-d之Y方向週期性結構。雖然說明四個週期性結構,但另一實施例可包括更大矩陣以獲得所要準確度。舉例而言,九個複合週期性結構之3×3陣列可具有偏置-4d、-3d、-2d、-d、0、+d、+2d、+3d、+4d。可在由感測器捕捉之影像中識別此等週期性結構之單獨影像。
圖5示意性地描繪基於使用度量衡所獲得之量測資料而判定目標圖案30'之一或多個所關注變數之值的實例製程。由偵測器18偵測到之輻射提供用於目標30'之經量測輻射分佈108。
對於給定目標30',可使用例如數值馬克士威求解程序210自參數化模型206計算/模擬輻射分佈208。參數化模型206展示構成目標及與該目標相關聯的各種材料之實例層。參數化模型206可包括用於在研究中的目標之部分之特徵及層之變數中的一或多者,該等變數可變化且被導出。如圖5中所展示,該等變數中之一或多者可包括一或多個層之厚度t、一或多個特徵之寬度w(例如CD)、一或多個特徵之高度h,及/或一或多個特徵之側壁角α。儘管圖中未繪示,但變數中之一或多者可進一步包括但不限於:層中之一或多者之折射率(例如真折射率或複折射率、折射率張量等)、一或多個層之消光係數、一或多個層之吸收率、在顯影期間之抗蝕劑損失、 一或多個特徵之基腳,及/或一或多個特徵之線邊緣粗糙度。該等變數之初始值可為針對經量測之目標所預期的值。接著在212處比較經量測輻射分佈108與所計算輻射分佈208以判定兩者之間的差。若存在差,則可變化參數化模型206之變數中之一或多者之值,計算新的所計算輻射分佈208且將其與經量測輻射分佈108比較直至在經量測輻射分佈108與所計算輻射分佈208之間存在足夠匹配為止。彼時,參數化模型206之變數的值提供實際目標30'之幾何形狀的良好或最佳匹配。在一實施例中,當經量測輻射分佈108與所計算輻射分佈208之間的差在容許臨限值內時存在足夠匹配。
目標之量測準確度及/或敏感度可相對於提供至目標上之輻射光束之一或多個特性而變化,輻射光束之一或多個特性例如,輻射光束之波長、輻射光束之偏振,及/或輻射光束之強度分佈(亦即,角強度分佈或空間強度分佈)。在一實施例中,輻射光束之波長範圍限於選自一範圍(例如,選自約400奈米至900奈米之範圍)的一或多個波長。另外,可提供輻射光束之一系列不同偏振,且可使用例如複數個不同孔徑來提供各種照明形狀。
為了監控包括至少一個圖案化步驟(例如光學微影步驟)之圖案化製程(例如,器件製造製程),檢測經圖案化基板且量測經圖案化基板之一或多個參數。舉例而言,該一或多個參數可包括:形成於經圖案化基板中或上之順次層之間的疊對誤差、例如形成於經圖案化基板中或上之特徵之臨界尺寸(CD)(例如,臨界線寬)、光學微影步驟之聚焦或聚焦誤差、光學微影步驟之劑量或劑量誤差、光學微影步驟之光學像差,等。可對產品基板自身之目標及/或對提供於基板上之專用度量衡目標執行此量測。
存在用於對在圖案化製程中形成之結構進行量測之各種技術,包括 使用電子束檢測(例如掃描電子顯微鏡)、以影像為基礎之量測或檢測工具及/或各種特殊化工具。如上文所論述,特殊化度量衡及/或檢測工具之快速且非侵入性形式為:輻射光束經導向至基板之表面上之目標上且量測散射(繞射/反射)光束之屬性。藉由評估光束在其已由基板散射之後之彼等屬性,可判定基板之一或多個屬性。此可被稱為以繞射為基礎之度量衡或檢測。
用以啟用圖案化製程之顯著態樣包括使該製程自身顯影、設置該製程以用於監控及控制,且接著實際上監控及控制該製程自身。在假定圖案化製程之原理之組態(諸如圖案化器件圖案、抗蝕劑類型、微影後製程步驟(諸如顯影、蝕刻等))的情況下,需要進行以下步驟:在圖案化製程中設置裝置以用於將圖案轉印至基板上;使一或多個度量衡目標顯影以監控該製程;設置度量衡製程以量測度量衡目標;及接著基於量測來實施監控及/或控制該製程之製程。
可識別設計佈局之被稱作臨界特徵或熱點之一或多個部分。在一實施例中,提取表示設計佈局中之複雜圖案的臨界特徵或熱點之集合(例如,約50至1000個臨界特徵或熱點,但可使用任何數目個臨界特徵或熱點)。如熟習此項技術者應瞭解,此等臨界特徵或熱點表示設計之小部分(亦即,電路、晶胞、圖案或設計剪輯),且臨界特徵或熱點尤其表示需要特定關注及/或驗證之小部分。可藉由經驗(包括由使用者提供之臨界特徵或熱點)、藉由試誤法或藉由執行全晶片模擬來識別臨界特徵或熱點。在一實施例中,熱點界定用於圖案化製程之製程窗之邊界(例如,劑量及焦點製程窗,經曝光特徵在該劑量及焦點製程窗內具有在容許範圍(例如±5%、±10)內之臨界尺寸值)。
在一實施例中,提供基於自一或多個經圖案化基板(例如生產基板、監控基板等)判定之特性而監控及/或控制微影裝置之上游或下游的製程裝置(例如蝕刻工具或沈積工具或化學機械平坦化工具等)之效能之監控及/或控制系統。
更特定言之,舉例而言,在一實施例中,可藉由分析最後經蝕刻基板、自目標基板判定最後經蝕刻基板之總體可變性及判定蝕刻工具對彼總體可變性之貢獻來判定蝕刻工具之效能。舉例而言,此判定可藉由將可歸因於亦貢獻於總體可變性的一或多個其他製程裝置之可變性考慮進去來完成。舉例而言,可自總體可變性減去微影裝置及沈積工具對總體可變性之貢獻,例如自目標或經設計基板及/或圖案組態之偏差或最後經蝕刻基板上之圖案之特性及/或最後經蝕刻基板之圖案之特性,以判定蝕刻工具對總體可變性之貢獻。舉例而言,此處之總體可變性可被認為係圖案及/或最後經蝕刻基板之指紋(例如特性之變動之空間分佈)。下文中更詳細地解釋此情況。
另外,雖然此實例參考蝕刻工具之效能,但可以相似方式評估一或多個其他非微影裝置。可分析來自最後經蝕刻基板之量測之結果,以將可歸因於亦貢獻於總體可變性的一或多個其他製程裝置之可變性考慮進去,以得到對可歸因於在研究中的非微影製程之可變性之貢獻。
參看圖6,在實例製造環境中示意性地展示實例製程裝置反饋式調控系統600。製造環境包含:沈積工具610、塗佈顯影系統之第一組件620(諸如塗佈顯影系統之抗蝕劑塗佈組件)、微影裝置630(其使用圖案化器件635)、塗佈顯影系統之第二組件625(諸如塗佈顯影系統之顯影組件及/或塗佈顯影系統之烘烤板組件)、蝕刻工具640,及一或多個度量衡裝置650 (其可為單機的或整合至如下文所論述之其他裝置中的一或多者中)。在一實施例中,沈積工具610及第一塗佈顯影系統組件620被稱作微影前製程裝置。在一實施例中,第二塗佈顯影系統組件625及蝕刻工具640被稱作微影後製程裝置。在一實施例中,製造環境可包含一或多個額外微影前製程裝置及/或一或多個額外微影後製程裝置(例如化學機械平坦化工具、另一沈積工具等)。沈積工具610可為原子層沈積(ALD)、化學氣相沈積(CVD)及/或物理氣相沈積(PVD)工具。雖然第一塗佈顯影系統組件620及第二塗佈顯影系統組件625常常為同一塗佈顯影系統之部分,但其可分離成不同的器件或塗佈顯影系統。
如應瞭解,製造環境無需使全部裝置皆被描繪。另外,可將該等裝置中之一或多者組合成一者。舉例而言,度量衡裝置650可為微影前製程裝置(例如沈積工具610及/或第一塗佈顯影系統組件620等)中之一或多者之部分、微影裝置630之部分,及/或微影後製程裝置(例如第二塗佈顯影系統組件625、蝕刻工具640等)中之一或多者之部分。
該製程裝置反饋式調控系統600包含軟體應用程式660。在一實施例中,軟體應用程式660可具備度量衡裝置650或整合至度量衡裝置650中(例如,在與度量衡裝置650相關聯的電腦中,諸如圖15中之電腦系統1500)。另外或替代地,軟體應用程式660可併入至該製程裝置反饋式調控系統600之另一部分中或提供於單機系統中,諸如提供於單機電腦系統(例如圖15中之電腦系統1500)、伺服器、處理器等中。在一實施例中,沈積工具610、第一塗佈顯影系統組件620、微影裝置630、第二塗佈顯影系統組件625、蝕刻工具640及/或度量衡裝置650係與軟體應用程式660通信,使得該沈積工具610、該第一塗佈顯影系統組件620、該微影裝置630、該 第二塗佈顯影系統組件625、該蝕刻工具640及/或該度量衡裝置650之結果、設計、資料等可由該軟體應用程式660同時地或在不同時間儲存及分析。
參看圖7A至圖7G,描繪用於結合製程裝置反饋式調控系統600而形成基板的製程步驟710、720、730、740、750、760、770、780。該基板可具有與習知基板(例如成圓盤形)相同的形狀,且可具有與習知基板相當的橫向尺寸(例如約200毫米、約300毫米或約450毫米)。如圖7A中示意性地所展示,在步驟710處,基板包括基板層715,該基板層以橫截面被示意性地展示。在一實施例中,在步驟710處之基板係生產基板。因此,基板層715可包括一或多個生產層,每一生產層經生產為除了具有裸矽以外亦具有功能特徵。在一實施例中,在步驟710處之基板係監控基板。因此,基板層715可為裸矽層。如圖6中示意性地所展示,在步驟710處處理具有基板層715之一或多個基板。
在以橫截面示意性地展示之圖7B中,在步驟720處之基板包括基板層715及形成於基板層715上之可蝕刻層725(例如沈積層)。可蝕刻層725可為例如氧化矽、氮化矽等之一或多個合適材料層。在一實施例中,沈積工具610可經組態以將可蝕刻層725施加至基板層715。在一實施例中,沈積工具610借助於例如ALD、CVD或PVD而施加沈積層作為可蝕刻層725。如圖6中示意性地所展示,在步驟720處形成各自具有基板層715及可蝕刻層725之一或多個基板。
在一實施例中,在將可蝕刻層725施加於基板層715上之後量測可蝕刻層725之厚度。在一實施例中,可在步驟720完成之後及在步驟730開始之前量測可蝕刻層725之厚度。在一實施例中,藉由度量衡裝置650或不 同度量衡裝置量測可蝕刻層725之厚度。在一實施例中,度量衡裝置650包括一感測器,該感測器經組態以量測可蝕刻層725之上部表面處之第一位置及可蝕刻層725之下部表面處之第二位置。因此,可將可蝕刻層725之厚度判定為第一位置與第二位置之間的差。在一實施例中,可藉由嵌入於沈積工具610中之感測器量測可蝕刻層725之厚度。在一實施例中,橫越基板量測厚度以導出橫越基板之厚度之空間分佈。
在一實施例中,基於沈積工具610之一或多個製程變數而估計可蝕刻層725之厚度,沈積工具610之該一或多個製程變數可用以判定已沈積至基板層715上之可蝕刻層材料之量。舉例而言,每單位時間由沈積工具610施加的沈積材料之體積(亦即,沈積工具610之沈積速率)可用以估計已由該沈積工具施加之可蝕刻層725之厚度。舉例而言,可將沈積工具610之各種製程變數(諸如沈積速率、沈積持續時間等)儲存於資料庫670中,或使沈積工具610之各種製程變數由軟體應用程式660可存取以判定或估計可蝕刻層725之厚度。舉例而言,可以實驗方式產生資料庫670以判定沈積工具610之各種製程變數如何影響可蝕刻層725之厚度。以此方式,在一實施例中,可在並不例如藉由度量衡裝置650直接量測可蝕刻層725之厚度的情況下來判定該可蝕刻層725之厚度。在一實施例中,基於先驗量測及/或實驗,在步驟720之後用於大複數個基板785的可蝕刻層725之厚度係已知的,該厚度在軟體應用程式660中經設定為固定值。
雖然描述對可蝕刻層之厚度之量測及分析,但可以相似方式量測/分析可蝕刻層725之一或多個不同或額外參數。
在一實施例中,軟體應用程式660可基於可蝕刻層725之參數(例如厚度)而判定沈積工具610對最後經蝕刻基板(在步驟770處基板已由蝕刻工具 640處理之後)之特性之貢獻。在一實施例中,該貢獻在數學上經模型化為可蝕刻層之參數之函數。舉例而言,數學模型與層厚度及蝕刻後CD偏差相關。在層厚度及蝕刻後CD之實例之內容背景中,可藉由針對不同平均/目標沈積厚度評估蝕刻後CD改變之可變性來導出數學模型。可預先經由建立例如層厚度與蝕刻後CD之間的關係之校準製程來獲得該模型。可藉由評估大量生產基板來導出模型,在生產基板中量測層厚度及蝕刻後CD,且該模型可對資料使用一或多個機器學習演算法以判定關係。最後經蝕刻基板之特性(例如參見圖7H中之基板785)可包含選自以下各項中之一或多個特性(或一或多個其空間分佈):最後經蝕刻基板785上之圖案的臨界尺寸(包括臨界尺寸之改變、平均臨界尺寸等)、臨界尺寸均一性、疊對、側壁角、特徵高度、底部表面傾角、圖案移位及/或之幾何不對稱性。在一實施例中,最後經蝕刻基板785之特性包含最後經蝕刻基板785上之圖案之一或多個指紋,或橫越最後經蝕刻基板785含有複數個相同圖案的最後經蝕刻基板785之一或多個指紋。
最後經蝕刻基板785上之圖案之指紋或橫越最後經蝕刻基板785含有複數個相同圖案的最後經蝕刻基板785之指紋可藉由考慮橫越一圖案(或若干圖案)之變化或橫越最後經蝕刻基板785之不同圖案之間之變化來判定。此等變化可關於最後經蝕刻基板785上之圖案的臨界尺寸、臨界尺寸均一性、疊對、側壁角、特徵高度、底部表面傾角、圖案移位及/或幾何不對稱性存在,或關於橫越最後經蝕刻基板785之複數個相同圖案中的一或多者之臨界尺寸、臨界尺寸均一性、疊對、側壁角、特徵高度、底部表面傾角、圖案移位及/或幾何不對稱性存在。可藉由度量衡裝置650量測圖案之指紋或最後經蝕刻基板785之指紋。
在一實施例中,數學模型用以將可蝕刻層725之經量測參數(例如厚度)轉換成沈積工具610對特性之貢獻。在一實施例中,可蝕刻層725之參數可對於用以執行沈積之沈積工具610之沈積腔室611、612係特定的,且可對於特定基板並非特定的(且因此可橫越基板而使用)。因此,可參考沈積工具610之適用沈積腔室611、612而判定沈積工具610對特性之貢獻,且將該貢獻儲存於資料庫670中以供另外使用。在一實施例中,預特性化沈積工具610對特性之貢獻,且參考用於特定圖案化製程之沈積製程中的沈積工具610之沈積腔室611、612自資料庫670獲得沈積工具610對特性之貢獻。
如圖7C示意性地所展示,可在步驟730處將抗蝕劑層735(例如光阻)提供於可蝕刻層725上。在一實施例中,第一塗佈顯影系統組件620可經組態以在步驟730處將抗蝕劑層735施加於可蝕刻層725上,例如施加於複數個可蝕刻層725中之每一者上以形成基板之群組,如圖6中示意性地所展示。在一實施例中,提供抗蝕劑層735之第一塗佈顯影系統組件620包含塗佈顯影系統之抗蝕劑塗佈組件。如圖6中示意性地所展示,在步驟730處形成各自具有一基板層715、一可蝕刻層725及一抗蝕劑層735之一或多個基板。與上文針對沈積所描述之量測及分析製程相似的量測及分析製程可在此階段用於抗蝕劑層(例如判定抗蝕劑厚度之空間分佈、抗蝕劑折射率等)。
參看圖7D,基板包括已在圖案化製程中曝光之基板層715、可蝕刻層725及抗蝕劑層735,如在步驟740處所展示。在一實施例中,在步驟740處之微影裝置630經組態以在施加於一或多個基板上(730)之抗蝕劑層725中產生一或多個圖案,如圖6中示意性地所展示。在圖7D中,圖案被 展示為嵌入於基板之抗蝕劑層735中,此係由於抗蝕劑尚未被顯影。微影裝置630可包含光學微影裝置,諸如關於圖1所描述之光學微影裝置,或例如奈米壓印微影工具。舉例而言,光學微影裝置630可曝光一或多個基板之抗蝕劑層735以將圖案自圖案化器件635(參見圖6)轉印至一或多個基板上之抗蝕劑層735,如在步驟740處所展示。當基板係生產基板時,圖案化器件635可用以在步驟740處在基板上產生功能器件之圖案。替代地,當基板係監控基板時,圖案化器件635可用以出於度量衡目的而產生圖案設計。舉例而言,圖案化器件635可用以產生週期性結構,諸如線及空間光柵。
在一實施例中,軟體應用程式660可經組態以判定微影裝置630對最後經蝕刻基板785之特性之貢獻。微影裝置630對最後經蝕刻基板785之特性之貢獻係自與微影裝置630相關之一或多個變數導出。在一實施例中,與微影裝置630相關之一或多個變數之群組可包括對基板並非特定之一或多個第一變數。因此,微影裝置630之貢獻包括自對特定基板並非特定之該一或多個第一變數導出的微影裝置630之第一貢獻(且因此可橫越圖案化製程之基板而使用)。另外,可將微影裝置630之第一貢獻儲存於資料庫670中以供未來使用。在一實施例中,可預特性化微影裝置630之第一貢獻,且自資料庫670獲得該微影裝置630之第一貢獻。在一實施例中,一或多個第一變數可包括但不限於:由微影裝置630進行之照明之一或多個變數、微影裝置630之投影系統之一或多個變數、焦點、劑量、疊對、雷射頻寬、曝光持續時間、光學像差、高頻雷射頻寬改變、高頻雷射波長改變、瓊斯(Jones)光瞳等。另外或替代地,與微影裝置630相關之一或多個變數之群組可包括對特定基板特定之一或多個第二變數。因此,微影裝置 630之貢獻可包括自對特定基板特定之一或多個第二變數導出的微影裝置630之第二貢獻。在一實施例中,一或多個第二變數可包括但不限於:微影裝置630之基板載物台之移動的移動標準偏差(MSD),及/或微影裝置630之基板載物台之移動的移動平均值(MA)。
與上文針對沈積所描述之量測及分析製程相似的量測及分析製程可在此階段用於經圖案化抗蝕劑。舉例而言,可自微影裝置內之感測器(例如干涉計、曝光感測器等)、自機器之器件(例如致動器信號、雷射信號等)等導出一或多個第一變數及/或第二變數之值。
在一實施例中,參看圖6,在藉由微影裝置630進行圖案化之後,第二塗佈顯影系統組件625用以在微影裝置630之圖案轉印之後顯影經曝光抗蝕劑以在步驟750處形成一或多個經圖案化基板。如圖7E中所展示,在顯影之後,可在經圖案化基板中明確看到自圖案化器件635轉移至抗蝕劑層735之圖案,如在步驟750處所展示。具體言之,四個圖案線展示於圖7E中之抗蝕劑層735中。然而,可在步驟750處在經圖案化基板之抗蝕劑層735中產生任何合適數目個圖案線(或另一類型之圖案)。在一實施例中,第二塗佈顯影系統組件625為塗佈顯影系統之顯影組件及/或塗佈顯影系統之烘烤板組件。在一實施例中,第一塗佈顯影系統組件620及第二塗佈顯影系統組件625係不同塗佈顯影系統。在一實施例中,第一塗佈顯影系統組件620及第二塗佈顯影系統組件625係同一塗佈顯影系統之不同組件。
與上文針對沈積所描述之量測及分析製程相似的量測及分析製程可在此階段用於經顯影/經烘烤抗蝕劑。舉例而言,可使用量測裝置判定抗蝕劑之折射率之值、抗蝕劑之厚度之值等。
圖7F示意性地展示在步驟760處在蝕刻之後經蝕刻基板的側視圖橫截面。如所展示,未由抗蝕劑層735(具體言之,抗蝕劑層735中之圖案)覆蓋之可蝕刻層725之一部分被蝕刻,此係因為抗蝕劑層735中之抗蝕劑至少部分地抵抗蝕刻。在一實施例中,蝕刻工具640在步驟760處經組態以蝕刻可蝕刻層725或將抗蝕劑層735中之一或多個圖案轉印至可蝕刻層725以形成經蝕刻基板。如圖7G中所展示,在步驟770處,在完成蝕刻之後,在需要時自經蝕刻基板移除抗蝕劑層735。具體言之,四個圖案線產生於可蝕刻層725中,如圖7G中所展示。然而,可在最後經蝕刻基板785之可蝕刻層725中產生任何合適數目個圖案線(或另一類型之圖案)。可蝕刻層725中之一或多個圖案(例如圖案線)可經組態以由度量衡裝置640量測。
如圖7H中示意性地所展示,在步驟780處,度量衡裝置650可經組態以評估最後經蝕刻基板785之特性。舉例而言,度量衡裝置650可經組態以量測最後經蝕刻基板785上之度量衡目標之圖案的特性。在一實施例中,度量衡裝置650可為可量測最後經蝕刻基板785(具體言之,最後經蝕刻基板785上之度量衡目標之圖案)之特性的光學(例如以繞射為基礎之)度量衡工具。在一實施例中,特性可包含選自以下各者之一或多個特性:最後經蝕刻基板785上之圖案的臨界尺寸(例如圖案線762之寬度及/或鄰近圖案線之間的間隔764)、臨界尺寸均一性、疊對、側壁角、特徵高度、底部表面傾角、圖案移位及/或幾何形狀不對稱性,或橫越最後經蝕刻基板785之複數個相同圖案中之每一者的幾何形狀不對稱性。在一實施例中,特性可包含最後經蝕刻基板785上之圖案之一或多個指紋,或含有複數個相同圖案之最後經蝕刻基板之一或多個指紋、該一或多個指紋係選自以下各者之一或多個特性與相關聯:臨界尺寸、疊對、側壁角、特徵高度、底部表 面傾角、圖案移位,及/或幾何形狀不對稱性。在一實施例中,度量衡裝置650亦可包括用以量測表面之位置(例如最後經蝕刻基板785之表面之高度及/或旋轉位置)之位階感測器。
在一實施例中,軟體應用程式660可經組態以判定蝕刻工具640對最後經蝕刻基板785之特性之貢獻。具體言之,蝕刻工具640對特性之貢獻可藉由自最後經蝕刻基板785之特性移除在蝕刻工具640上游的一或多個製程裝置之一或多個貢獻來獲得。如圖6中所展示,在蝕刻工具640上游的一或多個製程裝置包括沈積工具610、第一塗佈顯影系統組件620、微影裝置630及第二塗佈顯影系統組件625。在一實施例中,第一塗佈顯影系統組件620及第二塗佈顯影系統組件625相比於沈積工具610及微影裝置630通常可對最後經蝕刻基板785之特性的貢獻極小且甚至可忽略。因此,在蝕刻工具640上游的一或多個製程裝置之一或多個貢獻可包括沈積工具610之貢獻及微影裝置630之貢獻。因此,蝕刻工具640對最後經蝕刻基板785之特性之貢獻可藉由自最後經蝕刻基板785之特性移除沈積工具610(微影前製程裝置)之貢獻及微影裝置630之貢獻來判定。在一實施例中,微影裝置630對最後經蝕刻基板785之特性之貢獻可包括對特定基板並非特定的微影裝置630之第一貢獻及/或對特定基板特定的微影裝置630之第二貢獻。在一實施例中,蝕刻工具640對最後經蝕刻基板785之特性之貢獻對特定經圖案化基板並非特定的。在一實施例中,蝕刻工具640對最後經蝕刻基板785之特性之貢獻對用於蝕刻之蝕刻工具640之蝕刻腔室641、642係特定的。因此,在判定之後,可參考用於蝕刻之蝕刻工具640之蝕刻腔室641、642將蝕刻工具640之貢獻儲存於資料庫670中。
在一實例狀況下,沈積工具610、微影裝置630及蝕刻工具640之現有 變數可使得沈積工具610可對最後經蝕刻基板785上之圖案之指紋(例如CD指紋)作出例如0.2奈米貢獻,微影裝置630可對最後經蝕刻基板785上之圖案之指紋作出例如0.4奈米貢獻,且蝕刻工具640可對最後經蝕刻基板785上之圖案之指紋作出例如0.2奈米貢獻。因此,沈積工具610、微影裝置630及蝕刻工具640對最後經蝕刻基板785上之圖案之指紋的累積貢獻將為0.9奈米,其低於例如為1奈米之假想臨限值。
在該實例中,在步驟720之後,若沈積工具610對最後經蝕刻基板785上之圖案之指紋的貢獻經判定為0.5奈米,則此指示在不調整微影裝置630及/或蝕刻工具640的情況下,沈積工具610、微影裝置630及蝕刻工具640之累積貢獻將為1.1奈米,其將比假想臨限值高0.1奈米。或者在該實例中,在步驟760之後,若蝕刻工具640對最後經蝕刻基板785上之圖案之指紋的貢獻經判定為0.5奈米,則此指示在不調整沈積工具610及/或微影裝置630的情況下,沈積工具610、微影裝置630及蝕刻工具640之累積貢獻將為1.1奈米,其將比假想臨限值高0.1奈米。在一些實例中,此等情形可產生缺陷及/或導致產率損失。
為了補救此情形,可在操作沈積工具610、微影裝置630及/或蝕刻工具640之前在適用時調整沈積工具610之一或多個變數(例如厚度、厚度均一性或任何其他合適變數)、微影裝置630之一或多個變數(例如劑量、焦點及/或任何其他合適變數)及/或蝕刻工具640之一或多個變數(例如蝕刻速率、蝕刻類型、操作溫度及/或任何其他合適變數),以將累積貢獻縮減至低於臨限值之值,例如低於1奈米。
在另一實例中,在顯影之後抗蝕劑層735上之圖案之臨界尺寸(CD_Litho)處於例如30奈米。在蝕刻之後,最後經蝕刻基板785之可蝕刻 層725上之圖案之臨界尺寸(CD_etch)處於例如25奈米。CD_etch與CD_litho之間的差(亦即,5奈米)被稱作微影-蝕刻偏置,其可基於沈積工具610之貢獻,例如基於可蝕刻層715之厚度(例如,當可蝕刻層715之厚度處於例如100奈米時,微影-蝕刻偏置係5奈米)。微影-蝕刻偏置可進一步基於蝕刻工具640之一或多個可變設定而判定,該一或多個可變設定例如,蝕刻工具640之蝕刻腔室641、642之蝕刻速率、蝕刻類型、操作溫度及/或其他變數。因此,沈積工具610、微影裝置630及蝕刻工具640可皆貢獻於CD_etch。如以上所描述,CD_etch可藉由將CD_litho與微影-蝕刻偏置相加來判定。具體言之,可藉由調整微影裝置630之一或多個變數(例如劑量及/或與微影裝置相關之任何其他合適變數)來改變CD_litho。可藉由調整可引起可蝕刻層715之不同厚度(例如最初目標為處於100奈米)的沈積工具610之一或多個變數(例如沈積速率、沈積持續時間等)來改變微影-蝕刻偏置。另外或替代地,亦可藉由調整蝕刻工具640之一或多個變數(例如蝕刻速率、蝕刻類型、操作溫度)來改變微影-蝕刻偏置。在步驟720之後,若可蝕刻層715之厚度經量測為110奈米(其大於例如目標100奈米),則此指示可增大微影-蝕刻偏置,例如自5奈米增大至8奈米。因此,在不調整微影裝置630及/或蝕刻工具640的情況下,CD_etch可歸因於微影-蝕刻偏置增大而自目標值偏離。為了補救此情形,舉例而言,可將CD_litho自例如25奈米改變目標至較小值,例如在操作微影裝置630之前為23奈米使得所得CD_etch可為目標或可更接近目標。舉例而言,此可藉由例如取決於劑量寬容度而改變微影裝置630之劑量來完成(其中劑量寬容度指示臨界尺寸(具體言之,CD_litho)相對於劑量值改變之敏感度)。應注意,此可藉由代替調整微影裝置630之劑量或除了調整微影裝置630之劑量以外 亦調整微影裝置630之一或多個其他合適變數來完成。除了在操作微影裝置630之前調整與微影裝置630相關之一或多個變數以外或代替在操作微影裝置630之前調整與微影裝置630相關之一或多個變數,亦可在操作蝕刻工具610之前調整與沈積工具610相關之一或多個變數及/或亦可在操作蝕刻工具640之前調整與蝕刻工具640相關之一或多個變數。關於此前饋方法之較多細節將在圖8中加以描述。
因此,在一實施例中,可進行前饋類型校正(其將關於圖8更詳細地加以描述)。舉例而言,在一實施例中,與微影裝置630相關之一或多個變數(例如劑量、焦點等)可基於在操作微影裝置630之前沈積工具610之貢獻予以調整。舉例而言,在一實施例中,與蝕刻工具640相關之一或多個變數可在操作蝕刻工具640之前基於沈積工具610及/或微影裝置630對最後經蝕刻基板785之特性的總貢獻予以調整。與蝕刻工具640相關之一或多個變數可包括但不限於:蝕刻速率、蝕刻類型、操作溫度及/或蝕刻工具640之任何其他合適變數。進行此調整使得對與蝕刻工具640相關的一或多個變數之調整可補償沈積工具610及微影裝置630對最後經蝕刻基板785之特性之總貢獻的至少一部分,藉此縮減最後經蝕刻基板785之特性自最後經蝕刻基板785之特性之目標值的偏差。在一些實例中,當沈積工具610及/或微影裝置630對特性之累積貢獻將偏離目標過多時,可在步驟720處重工經圖案化基板(例如,將剝離抗蝕劑層735)而非藉由蝕刻工具640處理經圖案化基板。
圖7I至圖7L展示根據如以上所描述之實施例判定蝕刻工具640對最後經蝕刻基板785之指紋之貢獻的方法之實例。圖7I係展示最後經蝕刻基板785之示意圖。最後經蝕刻基板785包含形成於其中之複數個晶粒792、 794、796等。晶粒792、794、796等中之每一者包含相同圖案或經圖案化組態,該圖案或經圖案化組態待由度量衡裝置650量測,但在不同圖案之間(或在不同基板之間)可存在各種特性之微小變動。在一實施例中,特定特性(例如臨界尺寸等)之變動可被認為係自經設計或目標圖案之偏差。在一實施例中,特定特性之彼等變動可被認為係自最後經蝕刻基板785上之圖案之群組之平均圖案的偏差。可量化或以其他方式特性化該等變動。在一項實例中,彼等變動可橫越基板總共被視為最後經蝕刻基板785之指紋。對於此簡單實例,該等變動或圖7I中之最後經蝕刻基板785之指紋展示每一晶粒中由如在該晶粒中展示之數字(例如,按奈米或任何量度單位計)所表達的變動,此取決於對關聯圖案自目標(或經設計)圖案組態或最後經蝕刻基板785之平均圖案之偏差的量化。舉例而言,在一實施例中,用於晶粒792、794、796等中之圖案之指紋的值分別係2奈米、1奈米、-2奈米等。此等變動係使用由度量衡裝置650進行之量測來判定(例如,自由度量衡裝置650進行之量測減去某一值(諸如用於目標或設計圖案組態之目標或設計值,或對最後經蝕刻基板785上之(晶粒792、794、796等中的)圖案之群組之量測的平均值))。
圖7J示意性地展示沈積工具610對最後經蝕刻基板785之指紋的貢獻。沈積工具610之貢獻可根據基於可蝕刻層725之厚度的數學模型來估計。舉例而言,如圖7J中所展示,數學模型可假想地基於該數學模型將0.5奈米貢獻歸因於每一晶粒792、794、796等中之圖案。
圖7K示意性地展示微影裝置630對最後經蝕刻基板785之指紋的貢獻。微影裝置之貢獻可基於如以上所描述與微影裝置630相關之一或多個變數來估計。舉例而言,如圖7K中所展示,微影裝置630可分別將1奈 米、0.5奈米、-3奈米等歸因於晶粒792、794、796等中之圖案。
圖7L示意性地展示蝕刻工具640對最後經蝕刻基板785之指紋的貢獻。如圖7L中所展示的蝕刻工具640之貢獻可藉由自如圖7I中所展示的最後經蝕刻基板785之指紋減去如圖7J中所展示的沈積工具610之貢獻及如圖7K中所展示的微影裝置630之貢獻而導出。具體言之,舉例而言,蝕刻工具640可分別將0.5奈米、0奈米、0.5奈米等歸因於晶粒792、794、796等中之圖案。
在一實施例中,軟體應用程式660可經組態以使用蝕刻工具640對特性之經判定貢獻(視情況在容許範圍內)來產生修改資訊。舉例而言,軟體應用程式660可經組態以判定蝕刻工具640之經判定貢獻是否符合或超越用於該蝕刻工具640(或另一製程裝置)之貢獻之臨限值(例如在容許範圍內)(該臨限值可包括容許範圍)。在一實施例中,軟體應用程式660判定蝕刻工具640(或另一製程裝置)之經判定貢獻自臨限值的偏差(例如差)。舉例而言,在一假想實例中,用於蝕刻工具640對最後經蝕刻基板785上之圖案之特性(例如臨界尺寸、指紋等)的貢獻之臨限值可經設定為0.2奈米。若蝕刻工具640對最後經蝕刻基板785上之圖案之特性的經判定貢獻為0.5奈米,則藉由軟體應用程式660判定偏差為0.3奈米。在一實施例中,軟體應用程式660判定蝕刻工具640對特性之經判定貢獻橫越基板是否符合臨限值的空間分佈。
另外或替代地,軟體應用程式660可經組態以直接使用來自度量衡裝置650之量測資料(例如,橫越最後經蝕刻基板785之特性或特性之空間分佈,例如臨界尺寸、臨界尺寸均一性、側壁角、特徵高度、底部表面傾角、圖案移位、幾何不對稱性等)來產生修改資訊。舉例而言,軟體應用 程式660可經組態以判定由度量衡裝置650量測之特性之量測值是否符合該特性之目標值(該目標值可包括容許範圍)。在一實施例中,軟體應用程式660判定由度量衡裝置650量測之特性之量測值與至少一個特性之目標值之間的偏差(例如差)。在一實施例中,偏差可為臨界尺寸誤差、側壁角誤差、特徵高度誤差、底部表面傾角誤差、圖案移位誤差等。在一實施例中,軟體應用程式660判定由度量衡裝置650量測之特性之量測值是否符合橫越最後經蝕刻基板785之特性之目標值的空間分佈。
可回應於蝕刻工具640(或另一製程裝置)之經判定貢獻不符合或超越臨限值及/或由度量衡裝置650量測之特性之量測值不符合或超越該特性之目標值(其可包括容許範圍)之判定而採取措施。在一實施例中,軟體應用程式660可藉由在圖形使用者介面(GUI)上顯示資訊連同通知而向使用者通知此判定。
在一實施例中,軟體應用程式660經組態以產生修改資訊以修改蝕刻工具640之一或多個變數(例如,一或多個蝕刻腔室611、612之蝕刻速率、蝕刻類型及/或操作溫度),例如以校正蝕刻工具640(或另一製程裝置)之經判定貢獻與臨限值之間的偏差及/或由度量衡裝置650量測之特性之量測值與該特性之目標值之間的偏差(例如消除該偏差或將該偏差縮減至容許範圍內)。在一實施例中,修改可為空間上特定的(例如,應用空間上不同修改)或橫越圖案/基板施加均一偏移。在一實施例中,可使用修改資訊以至少部分地基於偏差(例如差)而調整蝕刻工具640。具體言之,可產生修改資訊以調整蝕刻工具640之一或多個變數。舉例而言,可使用修改資訊以空間地基於偏差或者特性之量測值之空間分佈而修改一或多個蝕刻變數(例如蝕刻速率、蝕刻類型、操作溫度等)。
在一實施例中,可使用修改資訊以調整一或多個變數(例如蝕刻速率、蝕刻類型、操作溫度等)以匹配於兩個或多於兩個蝕刻工具640之效能,及/或匹配於同一蝕刻工具640之不同蝕刻腔室,及/或匹配於不同蝕刻工具640之不同蝕刻腔室。因此,供評估偏差之目標值為另一蝕刻工具640及/或蝕刻腔室641、642對特性之貢獻。舉例而言,經蝕刻基板785可藉由使用蝕刻工具640之第一蝕刻腔室641、使用蝕刻工具640之第二蝕刻腔室642,或即使用蝕刻工具640之第一蝕刻腔室641又使用蝕刻工具640之第二蝕刻腔室642來蝕刻可蝕刻層725而形成。為了使第一蝕刻腔室641與第二蝕刻腔室642之間的效能匹配,軟體應用程式660可經組態以判定第一蝕刻腔室641對由蝕刻工具640之該第一蝕刻腔室641處理之第一圖案之特性的第一貢獻與第二蝕刻腔室642對由該蝕刻工具640之該第二蝕刻腔室642處理之第二圖案之同一特性的第二貢獻之間的偏差。軟體應用程式660可經進一步組態以產生修改資訊以調整第一蝕刻腔室641及/或第二蝕刻腔室642之一或多個蝕刻變數(例如蝕刻速率、蝕刻類型、操作溫度等),以便校正第一貢獻與第二貢獻之間的偏差。因此,在一實施例中,修改資訊可造成(蝕刻工具640之第一蝕刻腔室641之)第一貢獻在一容許範圍內匹配於(蝕刻工具640之第二蝕刻腔室642之)第二貢獻。因而,在修改之後,蝕刻工具640之第一蝕刻腔室641之效能可在一容許範圍內匹配至蝕刻工具640之第二蝕刻腔室642之效能。
雖然論述已集中於監控或評估蝕刻工具,但在一實施例中,製程裝置反饋式調控系統600可用以監控另一非微影製程裝置,諸如第二塗佈顯影系統(或其組件,諸如顯影工具或烘烤工具)、沈積工具、化學機械拋光/平坦化(CMP)工具或改變基板之實體特性之其他非微影製程工具。在一或 多個此類工具之狀況下,層725無需為可蝕刻的,且當然,基板之處理無需涉及蝕刻(例如塗佈顯影系統之顯影組件或烘烤組件)。
因此,當評估工具係塗佈顯影系統時,修改資訊可用以調整一或多個塗佈顯影系統變數,諸如烘烤工具之烘烤溫度(例如全域改變或空間分佈改變)。當評估工具係沈積工具時,修改資訊可用以調整一或多個沈積變數(例如沈積速率之全域或空間改變、沈積之空間變動等)。當評估工具係CMP工具時,修改資訊可用以調整一或多個平坦化變數(例如平坦化速率之全域或空間改變、平坦化之空間變動等)。
在一實施例中,量測值及/或修改資訊可對特定裝置係特定的,例如對蝕刻工具之蝕刻腔室係特定的,對複數個蝕刻工具當中之一蝕刻工具係特定的,等。因此,監控及/或控制可對工具及/或其部分係特定的。因此,舉例而言,基於在功能器件之特定圖案化製程中正使用何種工具及/或其部分,可將適當修改資訊應用於正用以在該圖案化製程中處理一或多個基板之該(該等)工具及/或部分。
另外,蝕刻工具640(或如以上所描述之非微影製程工具,例如第二塗佈顯影系統組件625、沈積工具、CMP工具等)中之偏差可在例如非微影製程工具(例如沈積工具610、第一塗佈顯影系統組件620)或微影裝置630之另一工具中經校正,或反之亦然。因此,對於正被評估之工具無需修改資訊。舉例而言,可調整微影裝置630之一或多個微影變數。在一實施例中,一或多個微影變數包括劑量及/或焦點。作為一實例,可產生修改資訊以例如藉由以下操作而調整微影裝置之一或多個修改裝置:藉由使用調整機構AM以校正或應用光學像差、藉由使用調整器AD以校正或修改照明強度分佈、藉由使用圖案化器件支撐結構MT之定位器PM以校正或修 改圖案化器件支撐結構MT之位置、藉由使用基板台WT之定位器PW以校正或修改基板台WT之位置等。
因此,在評估非微影製程工具之實例中,可產生修改資訊以修改該非微影製程工具之一或多個變數,及/或在該非微影製程工具上游或下游的一或多個製程裝置之一或多個變數。該一或多個製程工具可包括例如沈積工具610、第一塗佈顯影系統組件620、微影裝置630、第二塗佈顯影系統組件625、蝕刻工具640等。
在一實施例中,軟體應用程式660使用一或多個數學模型以判定特性之偏差,該特性之偏差可由選自以下各項中之一或多者校正:沈積工具610、第一塗佈顯影系統組件620、微影裝置630、第二塗佈顯影系統組件625、蝕刻工具640,及/或一或多個其他製程裝置,例如化學機械平坦化工具(圖中未繪示)。軟體應用程式660可經進一步組態以提供使得能夠組態選自以下工具中之一或多個工具之修改資訊:沈積工具610、第一塗佈顯影系統組件620、微影裝置630、第二塗佈顯影系統組件625、蝕刻工具640,及/或用以校正偏差(例如消除偏差或將偏差縮減至容許範圍內)之一或多個其他製程裝置。在一實施例中,數學模型中之一或多者定義一旦經參數化就擬合資料之基底函數之集合。在一實施例中,模型包括可對選自以下裝置中之一或多個裝置作出之修改:沈積工具610、第一塗佈顯影系統組件620、微影裝置630、第二塗佈顯影系統組件625、蝕刻工具640,及/或一或多個其他製程裝置(例如化學機械平坦化工具)。軟體應用程式660可判定可校正偏差是否在特定範圍內。亦即,可對處理裝置中之經指定一或多者作出之修改之範圍可結合可對適用製程工具之一或多個變數作出的修改之量而具有上限、下限及/或此兩者。
舉例而言,在一實施例中,可藉由以下方程式模型化微影裝置630在x方向上之可校正偏差,亦即,在座標(x,y)處之△x:△x=k1+k3x+k5y+k7x2+k9xy+k11y2+k13x3+k15x2y+k17xy2+k19y3(1)
其中k1係參數(其可恆定),且k3、k5、k7、k9、k11、k13、k15、k17及k19分別為用於項x、y、x2、xy、y2、x3、x2y、xy2及y3之參數(其可恆定)。k1、k3、k5、k7、k9、k11、k13、k15、k17及k19中之一或多者可為零。
相關地,在一實施例中,可藉由以下方程式模型化微影裝置630在y方向上之可校正偏差,亦即,在座標(x,y)處之△y:△y=k2+k4y+k6x+k8y2+k10yx+k12x2+k14y3+k16y2x+k18yx2+k20x3(2)
其中k2係參數(其可恆定),且k4、k6、k8、k10、k12、k14、k16、k18及k20分別為用於項y、x、y2、yx、x2、y3、y2x、yx2及x3之參數(其可恆定)。k2、k4、k6、k8、k10、k12、k14、k16、k18及k20中之一或多者可為零。
在一實施例中,提供由兩個或多於兩個製程裝置(例如選自如下各者中之兩個或多於兩個製程裝置:沈積工具610、第一塗佈顯影系統組件620、微影裝置630、第二塗佈顯影系統組件625及/或蝕刻工具640)對偏差校正之共同最佳化。
在一實施例中,針對不同類型之偏差分離地或組合地執行共同最佳化,諸如,針對臨界尺寸誤差、圖案移位誤差等分離地或組合地執行共同最佳化。在一實施例中,沈積工具610、第一塗佈顯影系統組件620、微影裝置630、第二塗佈顯影系統組件625及/或蝕刻工具640可較佳能夠校正 某些類型之誤差,且因此,在兩個或多於兩個選定製程工具之合適不同變數在中對偏差校正適當地加權或分配。
在一實施例中,軟體應用程式650經組態以識別供施加至基板(750、760)及供運用製程裝置反饋式調控系統進行量測之一或多個圖案目標,且產生用於該一或多個目標之度量衡配方。此內容背景中之度量衡配方為與用以量測一或多個度量衡目標之度量衡裝置640自身相關聯及/或與量測製程相關聯之一或多個變數(及一或多個關聯值),諸如,量測光束之一或多個波長、量測光束之偏振之一或多個類型、量測光束之一或多個劑量值、量測光束之一或多個雷射頻寬、供量測光束使用之檢測裝置之一或多個孔徑設定、用以將量測光束定位於目標上之對準標記、所使用之對準方案、複數個目標之取樣方案、目標之佈局,及用以量測目標及/或目標之所關注點之移動方案,等。
在一實施例中,一或多個目標可經設計及經檢核以用於圖案化製程。舉例而言,複數個目標設計可經評估以識別最小化殘餘變化(系統性及/或隨機)之一或多個目標。在一實施例中,複數個目標設計可經評估以識別效能匹配於功能器件的一或多個目標,例如識別臨界尺寸、圖案移位等之量度匹配於器件之臨界尺寸、圖案移位等的目標。目標可經設計例如以用於量測臨界尺寸(CD)、圖案移位、側壁角、特徵高度、底部表面傾角、目標中之幾何不對稱性等或選自其之任何組合。
參看圖8,描繪前饋方法之實例流程。前饋方法可用以基於在一或多個第二製程裝置上游或下游的一或多個第一製程裝置對基板之特性之一或多個貢獻來調整該一或多個第二製程裝置之一或多個變數。在步驟810處,藉由軟體應用程式660估計一或多個第一製程裝置對最後經蝕刻基板 (例如最後經蝕刻基板785)之特性之一或多個貢獻,如先前所論述,其中一或多個第二製程裝置在該適用一或多個第一製程裝置上游或下游。在一實施例中,一或多個第一製程裝置中之至少一者係在微影裝置(例如微影裝置630)下游,諸如蝕刻工具640。在一實施例中,一或多個第二製程裝置中之至少一者係微影裝置630。在一實施例中,藉由如先前所論述之軟體應用程式660使用在由一或多個第一製程裝置處理之後之量測來判定該一或多個第一製程裝置對最後經蝕刻基板(例如最後經蝕刻基板785)之特性之一或多個貢獻,其中一或多個第二製程裝置在該適用一或多個第一製程裝置下游。在一實施例中,一或多個第一製程裝置中之至少一者在微影裝置(例如微影裝置630)上游。舉例而言,一或多個第一製程裝置可包括沈積工具(例如沈積工具610)及/或塗佈顯影系統之抗蝕劑塗佈組件(例如第一塗佈顯影系統組件620)。在一實施例中,一或多個第二製程裝置中之至少一者係微影裝置630及/或蝕刻工具640。
在步驟820處,藉由電腦硬體系統(例如軟體應用程式660)至少部分地基於所估計或以其他方式判定之一或多個貢獻而產生及輸出關於一或多個第二製程裝置之資訊。在一實施例中,在適當時,一或多個第二製程裝置可為來自以下各者中之一或多者:沈積工具(例如沈積工具610)、塗佈顯影系統之抗蝕劑塗佈組件(例如第一塗佈顯影系統組件620)、微影裝置(例如微影裝置630)、塗佈顯影系統之顯影組件(例如第二塗佈顯影系統組件625)、塗佈顯影系統之烘烤板組件(例如第二塗佈顯影系統組件625),及/或蝕刻工具(例如蝕刻工具640)。在一實施例中,關於一或多個第二製程裝置之資訊為可用以調整第二製程裝置中的至少一者之修改資訊。在一實施例中,可產生修改資訊以調整一或多個第二製程裝置之一或多個變 數。舉例而言,一或多個第二製程裝置之一或多個變數可包括但不限於:微影裝置(例如微影裝置630)之劑量及/或焦點,及/或蝕刻工具(例如蝕刻工具640)之蝕刻速率、蝕刻類型,及/或操作溫度。
舉例而言,一或多個第一製程裝置可包括沈積工具(例如沈積工具610)。因此,在步驟810處例如基於由沈積工具610施加之可蝕刻層(例如可蝕刻層725)之厚度而估計沈積工具610對經蝕刻基板(例如最後經蝕刻基板785)之特性的貢獻。在一實施例中,第二製程裝置可包含微影裝置(例如微影裝置630)及/或蝕刻工具(例如蝕刻工具640)。因此,可在步驟820處基於沈積工具之經判定貢獻而產生修改資訊以用於調整蝕刻工具之一或多個變數及/或調整微影裝置之一或多個變數。具體言之,蝕刻工具之一或多個變數可包括蝕刻工具之蝕刻速率、蝕刻工具之蝕刻類型,及/或蝕刻工具之操作溫度。微影裝置之一或多個變數可包括微影裝置之劑量及/或焦點。
在另一實例中,一或多個第一製程裝置可包括沈積工具(例如沈積工具610)及微影裝置(例如微影裝置630)。在步驟810處分別估計沈積工具對例如經蝕刻基板(例如最後經蝕刻基板785)之特性之貢獻及微影裝置對例如經蝕刻基板之特性之貢獻。第二製程裝置可為蝕刻工具。因此,當微影裝置及沈積工具之總貢獻符合或超越臨限值(例如屬於臨限範圍)時,可在步驟820處基於沈積工具及微影裝置之經判定貢獻而產生修改資訊以用於調整蝕刻工具之一或多個變數。具體言之,蝕刻工具之一或多個變數可包括蝕刻工具之蝕刻速率、蝕刻工具之蝕刻類型,或蝕刻工具之操作溫度。在一實施例中,當沈積工具及微影裝置對指紋之總貢獻過大時,例如在依據蝕刻工具之貢獻進行考慮時落在臨限範圍之外時,已由沈積工具及微影 裝置處理之基板(例如經圖案化基板750)可被重工而非由蝕刻工具處理。
在另一實例中,一或多個第一製程裝置可包括蝕刻工具(例如蝕刻工具640)。在步驟810處(例如自使用先驗經處理基板而判定之模型)估計蝕刻工具對例如經蝕刻基板(例如最後經蝕刻基板785)之特性之貢獻。第二製程裝置可為微影工具。因此,當蝕刻工具之貢獻超越或符合臨限值(例如屬於臨限範圍)時,可在步驟820處基於蝕刻工具之所估計貢獻而產生修改資訊以用於調整微影工具之一或多個變數。具體言之,微影裝置之一或多個變數可包括劑量及/或焦點。在一實施例中,當蝕刻工具對指紋之貢獻過大時,例如在依據沈積工具及/或微影工具之貢獻進行考慮時落在臨限範圍之外時,已由沈積工具處理之基板(例如經圖案化基板750)可被重工而非由微影工具處理。
參看圖9,描繪用於判定微影後製程裝置(例如蝕刻工具)對基板之特性之貢獻的方法之實例流程。如所展示,在930處獲得及組合微影前製程裝置對基板之特性之貢獻915及微影裝置(例如微影裝置630)對基板之特性之貢獻925。雖然元件930(及圖式中之其他相似元件)展示加號,但運算無需為加法,例如其可為乘法、卷積等。另外,微影後製程裝置之貢獻950可藉由自最後經蝕刻基板785之特性940移除微影前製程裝置915及微影裝置925之經組合貢獻930來判定。雖然特性940(及圖中之其他相似元件)之移除展示負號,但運算無需為減法,例如其可為除法、反卷積等。由度量衡裝置(例如度量衡裝置650)量測最後經蝕刻基板785之特性940之值。因此,換言之,可藉由分別移除(例如經由減法、反卷積等)微影前製程裝置之貢獻915及微影製程裝置之貢獻925來導出微影後製程裝置對最後經蝕刻基板785之特性之貢獻950。
如以上所描述,沈積工具之貢獻915可自由沈積工具(例如沈積工具610)形成之可蝕刻層之厚度910導出。微影裝置之貢獻925可自與微影裝置相關之一或多個變數920之群組導出。
在一實施例中,微影後製程裝置可包含塗佈顯影系統之顯影組件(例如第二塗佈顯影系統組件625)、塗佈顯影系統之烘烤板組件(例如第二塗佈顯影系統組件625),及/或蝕刻工具(例如蝕刻工具640)。微影前製程裝置915可包括沈積工具(例如沈積工具610)及/或塗佈顯影系統之抗蝕劑塗佈組件(例如第一塗佈顯影系統組件620)。如以上所描述,相比於沈積工具610之貢獻及微影裝置630之貢獻,塗佈顯影系統(例如第一塗佈顯影系統組件620及/或第二塗佈顯影系統組件625)之貢獻可為小或甚至可忽略的。因此,在一實施例中,微影前裝置之貢獻915可僅指沈積工具(例如沈積工具610)之貢獻,微影後裝置之貢獻950可僅指蝕刻工具(例如蝕刻工具640)之貢獻。
參看圖10,描繪用以預測基板上之缺陷或其他誤差及調整一或多個製程裝置之一或多個變數之方法的實例流程。如所展示,將被賦予至待由一或多個製程裝置處理之基板之一或多個特性1030係藉由組合對該一或多個製程裝置特定之一或多個貢獻(例如第一貢獻1015、第二貢獻1025及第三貢獻950)與對正被處理之基板特定之第四貢獻1035來估計,以獲得用以預測缺陷或其他誤差之一或多個特性1030。在一實施例中,一或多個製程裝置中之至少一者係在微影裝置上游(亦即,微影前製程裝置)。
在一實施例中,一或多個製程裝置可包括沈積工具(例如沈積工具610)、微影裝置(例如微影裝置630)及蝕刻工具(例如蝕刻工具640)。因此,第一貢獻1015可為沈積工具(例如沈積工具610)之貢獻、第二貢獻 1025可為微影裝置(例如微影裝置630)之貢獻,且第三貢獻950可為蝕刻工具(例如蝕刻工具640)之貢獻。在一實施例中,一或多個製程裝置可進一步包括一或多個塗佈顯影系統(例如第一塗佈顯影系統組件620及/或第二塗佈顯影系統組件625)。因此,一或多個貢獻可包括第一塗佈顯影系統組件620之貢獻及/或第二塗佈顯影系統組件625之貢獻。然而,如以上所描述,相比於沈積工具之第一貢獻1015、微影裝置之第二貢獻1025及蝕刻工具之第三貢獻950,第一塗佈顯影系統組件620之貢獻及/或第二塗佈顯影系統組件625之貢獻可為小或甚至可忽略的。因此,在一實施例中,第一塗佈顯影系統組件620之貢獻及/或第二塗佈顯影系統組件625之貢獻可被忽略。
如先前所提及,沈積工具(例如沈積工具610)之第一貢獻1015可自由沈積工具(例如沈積工具610)在基板中形成之可蝕刻層之變數(例如厚度)1010導出。在一實施例中,第一貢獻1015針對特定基板並非特定的(且因此可橫越圖案化製程之基板而使用)。在一實施例中,第一貢獻1015對用以執行沈積之沈積工具(例如沈積腔室610)之沈積腔室(例如沈積腔室611或沈積腔室612)係特定的。因此,在一實施例中,可預特性化沈積工具對指紋1030之第一貢獻1015且自資料庫(例如資料庫670)獲得該第一貢獻1015,且此外,可關於用於沈積製程中之沈積工具(例如沈積工具610)之沈積腔室(例如沈積腔室611或沈積腔室612)而獲得沈積工具對指紋1030之第一貢獻1015。
微影裝置(例如微影裝置630)之第二貢獻1025可自與該微影裝置相關之一或多個變數1020之群組導出。在一實施例中,與微影裝置(例如微影裝置630)相關之一或多個變數1020之群組可包括對基板並非特定之一或多 個第一變數。因此,微影裝置(例如微影裝置630)之第二貢獻1025之至少一部分可自對特定基板並非特定之一或多個第一變數導出(且因此可橫越圖案化製程之基板而使用)。因此,可預特性化第二貢獻1025之至少一部分且自資料庫(例如資料庫670)獲得該第二貢獻1025之至少一部分。在一實施例中,一或多個第一變數可包括但不限於以下各者中之一或多者:由微影裝置進行之照明之一或多個變數、微影裝置之投影系統之一或多個變數、焦點、劑量、疊對、雷射頻寬、曝光持續時間、光學像差、高頻雷射頻寬改變,及/或高頻雷射波長改變。
在一實施例中,可藉由如圖9中所描述之製程獲得蝕刻工具(例如蝕刻工具640)之第三貢獻950。可藉由如先前所描述之製程預特性化蝕刻工具之第三貢獻950且自資料庫(例如資料庫670)獲得該蝕刻工具之第三貢獻950,且此外,蝕刻工具之第三貢獻950可關於用於蝕刻之蝕刻工具(例如蝕刻工具640)之蝕刻腔室(例如蝕刻腔室641或蝕刻腔室642)係特定的,此係由於蝕刻工具之第三貢獻950可對蝕刻工具之蝕刻腔室係特定的,且可對特定基板並非特定的(且因此可橫越圖案化製程之基板而使用)。
在一實施例中,一或多個製程裝置之第四貢獻1035對供執行缺陷或其他誤差預測之一或多個基板係特定的。在一實施例中,第四貢獻1035為與微影裝置相關且對供執行預測之一或多個特定基板特定的一或多個變數。舉例而言,對基板特定之微影裝置之一或多個變數可包括但不限於:微影裝置之基板載物台之MSD移動、微影裝置之基板載物台之移動之MA及/或焦點中的一或多者。在一實施例中,第四貢獻1035為與沈積工具相關且對供執行預測之一或多個特定基板特定的一或多個變數。舉例而言,沈積工具之一或多個變數可為厚度。
在工序1040處,判定用於在研究中之特定一或多個基板之該特性(或該等特性)1030的一或多個值是否超越或符合臨限值。若否,則在工序1050處未預測到缺陷或其他誤差待產生於一或多個基板上。方法接著在工序1070處完成。否則,在工序1060處預測到缺陷或其他誤差待產生於一或多個基板上。在1065處,若干選項係可能的。舉例而言,在一或多個基板仍正被處理的情況下,可提供信號以捨棄預測到缺陷或其他誤差之一或多個基板、重工預測到缺陷或其他誤差之一或多個基板,等。另外或替代地,可基於所估計特性產生修改資訊1065以調整一或多個製程裝置中之一或多者。舉例而言,在一或多個基板仍正被處理的情況下,可針對微影後裝置產生修改資訊,其中第四貢獻1035與例如微影裝置相關。作為另一實例,可產生修改資訊以用於處理後續基板以補救潛在缺陷或其他誤差。在一實施例中,可產生修改資訊1065以調整一或多個製程裝置之一或多個變數。舉例而言,一或多個變數可包含沈積工具(例如沈積工具610)之一或多個沈積變數,諸如沈積工具之沈積速率及/或沈積工具之操作持續時間。舉例而言,一或多個變數可包含微影裝置(例如微影裝置630)之一或多個微影變數,諸如微影裝置(例如微影裝置630)之劑量及/或焦點。對於另一實例,一或多個變數可包含蝕刻工具(例如蝕刻工具640)之一或多個蝕刻變數,諸如蝕刻工具之蝕刻速率、蝕刻工具之蝕刻類型及/或蝕刻工具之操作溫度。方法接著在工序1070處完成。
參看圖11,描繪用以預測基板上之缺陷或其他誤差之方法的實例流程。如所展示,將被賦予至待由一或多個製程裝置處理之一或多個基板之特性係藉由組合該一或多個製程裝置之一或多個製程變數之第一群組1105對特性1130之第一貢獻1110與該一或多個製程裝置之一或多個製程變數 之第二群組1120對特性1130之第二貢獻1125來估計。具體言之,一或多個製程變數之第一群組1105之第一貢獻1110可對正供預測缺陷或其他誤差之一或多個基板並非特定的。因此,可基於一或多個製程變數之第一群組來預特性化第一貢獻1110且自資料庫(例如資料庫670)獲得第一貢獻1110。與上文所論述相似地,貢獻1110可對某些一或多個製程裝置及/或其組件(例如蝕刻腔室)係特定的。
一或多個製程變數之第一群組1105可包括沈積工具之一或多個沈積變數,諸如沈積工具之沈積速率及/或沈積工具之操作持續時間。另外,一或多個製程變數之第一群組1105可包括與微影裝置相關的對基板並非特定之一或多個變數。舉例而言,一或多個變數可包括但不限於以下各者中之一或多者:由微影裝置進行之照明之一或多個變數、微影裝置之投影系統之一或多個變數、焦點、劑量、疊對、雷射頻寬、曝光持續時間、光學像差、高頻雷射頻寬改變,及/或高頻雷射波長改變。另外,一或多個製程變數之第一群組1105可包括蝕刻工具(例如蝕刻工具640)之一或多個蝕刻變數,諸如,蝕刻工具(具體言之,蝕刻工具之蝕刻腔室)之蝕刻速率、蝕刻工具(具體言之,蝕刻工具之蝕刻腔室)之蝕刻類型,及/或蝕刻工具(具體言之,蝕刻工具之蝕刻腔室)之操作溫度。
在一實施例中,一或多個製程裝置之一或多個製程變數之第二群組1120可包括與微影裝置相關的對在研究中之特定一或多個基板特定之一或多個變數。舉例而言,一或多個變數可包括但不限於以下各者中之一或多者:微影裝置之基板載物台之移動之MSD、微影裝置之基板載物台之移動之MA,及/或焦點。在一實施例中,一或多個製程裝置之一或多個製程變數之第二群組1120可包括與沈積工具相關的對在研究中之特定一或多個 基板特定之一或多個變數。舉例而言,一或多個變數可包括厚度。
在1140處,判定所估計該特性(或該等特性)1130是否超越或符合臨限值。若是,則在1150處預測到無缺陷或其他誤差產生於基板上。方法接著在1170處完成。否則,在1160預測到缺陷或其他誤差待產生於基板上。可提供與上文關於圖10所描述相似的步驟。舉例而言,可基於所估計特性1130產生修改資訊1165以調整來自第一群組及/或第二群組之一或多個製程變數。方法接著在1170處完成。
圖12描繪根據本發明之一實施例用以判定在經蝕刻基板之臨界尺寸均一性方面之第一貢獻1110之方法的實例圖解。如所展示,對經蝕刻基板(例如最後經蝕刻基板785)之臨界尺寸均一性之貢獻1110可藉由自經蝕刻基板之經量測臨界尺寸均一性1220移除微影裝置(例如微影裝置630)對該經蝕刻基板之臨界尺寸均一性之貢獻1260及沈積工具(例如沈積工具610)對該經蝕刻基板之臨界尺寸均一性之貢獻1250來獲得。如應瞭解,可藉由評估複數個基板而判定貢獻1110。
如上文所提及,在一實施例中,沈積工具之貢獻1250可基於由該沈積工具施加於基板上之沈積層之厚度。在一實施例中,可預特性化沈積工具之貢獻1250且自資料庫(例如資料庫670)獲得該沈積工具之貢獻1250,且此外,沈積工具之貢獻1250可對用於沈積之沈積工具(例如沈積工具610)之沈積腔室(例如沈積腔室611或沈積腔室612)係特定的。如以上所描述,在一實施例中,可藉由使用針對與經蝕刻基板之經量測臨界尺寸均一性1220相關聯之沈積之特定條件(例如沈積工具之設置參數及/或由沈積工具量測或以其他方式提供之資料)進行數學模型化來判定貢獻1250。
在一實施例中,微影裝置之貢獻1260可自與微影裝置相關之變數之 群組導出。舉例而言,與微影裝置相關之變數之群組可包括焦點及基板在基板之法線方向上之移動之移動標準偏差。因此,微影裝置之貢獻1260可包括自微影裝置之焦點導出的對經蝕刻基板之臨界尺寸均一性之第一貢獻1230,及自基板在基板之法線方向上之移動之移動標準偏差導出的對經蝕刻基板之臨界尺寸均一性之第二貢獻1240。在一實施例中,與微影裝置相關之變數之群組可包括一或多個其他或額外變數。如以上所描述,在一實施例中,可藉由使用針對由與經蝕刻基板之經量測臨界尺寸均一性1220相關聯的微影裝置進行之圖案轉印之特定條件(例如微影裝置之設置參數及/或由微影裝置量測或以其他方式提供之資料)進行數學模型化來判定貢獻1260。
因此,可藉由一或多個製程裝置之貢獻1210之函數F獲得貢獻1110。在一實施例中,貢獻1110可為或可相關於一或多個製程裝置(例如沈積工具、微影裝置及/或蝕刻工具)之指紋。在一實施例中,函數F可為使用用於複數個基板之資料的貢獻1210之全域擬合函數(例如使用數學模型而擬合,該數學模型例如相似於如上文所提及之方程式(1)或方程式(2))。在一實施例中,貢獻1110可指示一或多個製程裝置相對於經蝕刻基板之臨界尺寸均一性1220之不可校正偏差或誤差。在一實施例中,可將貢獻1110儲存於資料庫(例如資料庫670)中。在一些實例中,可將貢獻1110(或其他貢獻)轉換成橫越經蝕刻基板(例如最後經蝕刻基板785)之一或多個圖案之平均臨界尺寸值的百分比且接著將該貢獻儲存於資料庫(例如資料庫670)中。
圖13描繪根據本發明之一實施例的用以預測一或多個基板上之缺陷或其他誤差之方法的實例圖解。如所展示,經蝕刻基板(例如最後經蝕刻 基板785)上之熱點之經預測臨界尺寸均一性1310係藉由組合一或多個製程裝置對臨界尺寸均一性之貢獻1320(諸如例如呈指紋形式之貢獻1110)、微影裝置基於適用於一或多個基板之經量測及/或工具資料對臨界尺寸均一性之貢獻1360及沈積工具基於適用於一或多個基板之經量測及/或工具資料對臨界尺寸均一性之貢獻1350來估計。
在一實施例中,沈積工具(例如沈積工具610)對臨界尺寸均一性之貢獻1350可基於由該沈積工具施加於在研究中之一或多個基板上之可蝕刻層(例如沈積層)之厚度來特性化。在一實施例中,可使用用於沈積工具及/或腔室之數學模型來判定沈積工具之貢獻1350。在一實施例中,基於適用於一或多個基板之經量測資料(例如經量測厚度)及/或工具資料(例如設置參數、工具操作信號等)而判定沈積工具之貢獻1350。
在一實施例中,微影裝置之貢獻1360可自與微影裝置相關之變數之群組導出。舉例而言,與微影裝置相關之變數之群組可包括焦點及基板在基板之法線方向上之移動之移動標準偏差(但其可為另外或不同變數)。因此,微影裝置之貢獻1360可包括自微影裝置之焦點1370導出的對經蝕刻基板之臨界尺寸均一性之第一貢獻1330,及自基板在基板之法線方向上之移動之移動標準偏差導出的對經蝕刻基板之臨界尺寸均一性之第二貢獻1340。具體言之,可藉由組合對在研究中之一或多個基板並非特定之一或多個第一聚焦組件1380及對在研究中之一或多個基板特定之一或多個第二聚焦組件1390來模型化微影裝置之焦點1370。在一實施例中,一或多個第一聚焦組件1380可包括但不限於微影裝置之焦點指紋。在一實施例中,一或多個第二聚焦組件1390可包括但不限於微影裝置之影響焦點之位階量測及/或伺服參數。在一實施例中,可使用例如圖14中所展示之 方法來判定微影裝置之經組合貢獻1360。在一實施例中,可使用用於微影裝置之數學模型來判定貢獻1360。在一實施例中,基於適用於一或多個基板之經量測資料(例如用於聚焦之經量測高度資訊)及/或工具資料(例如設置參數、工具操作信號等)而判定微影裝置之貢獻1360。
在一實施例中,可如圖12中所描述來判定貢獻1320(例如呈貢獻1110之形式)。可自資料庫(例如資料庫670)獲得貢獻1320且貢獻1320可對用於獲得在研究中之一或多個基板之一或多個製程裝置係特定的(例如,對在研究中之一或多個基板之蝕刻中所使用的蝕刻工具之蝕刻腔室係特定的)。
在估計出經蝕刻基板上之熱點之臨界尺寸均一性1310之後,可基於該所估計臨界尺寸均一性1310而判定在熱點處是否將產生缺陷或其他誤差。舉例而言,若所估計臨界尺寸均一性1310符合或超越臨限值,則可判定出在熱點處將不產生缺陷或其他誤差。否則,可判定出將在熱點處產生缺陷或其他誤差。接著可採取適當動作,諸如使用者信號、基板之重工/捨棄、修改資訊之產生,等。
圖14示意性地展示模型化對基板上之CD之經組合貢獻的實例,該貢獻屬於諸如以下各者之多個可模型化處理變數之改變(例如誤差):焦點(F)1410、基板在基板之法線方向上之移動之移動標準偏差(MSDz)1420,及基板在平行於基板之方向上之移動的移動標準偏差(MSDx)1430。因此,在此實例中,焦點(F)1410對CD之貢獻之實例被展示為貢獻1415,移動標準偏差(MSDz)1420對CD之貢獻之實例被展示為貢獻1425,且移動標準偏差(MSDx)1430對CD之貢獻之實例被展示為貢獻1435。接著將此等貢獻中之每一者組合在一起(1445)。在一實例中,將經組合貢獻表達為 CD(x,y)=a 1 * CD(F)2(x,y)+b 1 * CD(MSD x )(x,y)+c 1 * CD(MSD z )(x,y)+…。在一實施例中,貢獻1415、1425、1435可分別為焦點(F)1410分佈、移動標準偏差(MSDz)1420分佈及移動標準偏差(MSDx)1430分佈,在此狀況下,CD模型將用以將其組合成CD分佈。此外,可存在此處未展示之交叉項(諸如依據F乘以MSD而變化的CD,等)。為了獲得CD之絕對值,可將CD之標稱值或經模擬值與該等貢獻組合。諸如a1、b1、c1之係數為度量衡資料CD對於可模型化處理變數或其函數之敏感度。MSD為在微影裝置中之圖案轉印期間基板之定位誤差之移動標準偏差(MSD)且因此表示該定位誤差之高頻部分。在此實例中,貢獻係橫越一基板,但在一實施例中,貢獻中之一或多者可依據晶粒/場(其可接著橫越基板而重複,此取決於(例如)例項中之每一者處之適用條件)。貢獻(或其轉譯成絕對值)可經特性化為指紋,此係由於指紋可橫越基板/晶粒/場而在空間上界定。應注意,圖14中之方法不限於模型化微影裝置對基板之特性之貢獻。其可用以模型化與製造製程中之一或多個製程裝置相關的任一或多個變數對經處理基板之特性之經組合貢獻。該一或多個變數可包含一或多個微影變數、一或多個沈積變數、一或多個塗佈顯影系統變數、一或多個蝕刻變數、一或多個平坦化變數,等。
在一實施例中,本文中所描述之貢獻可對圖案化製程之不同特定部分係特定的,即使在同一製程裝置內亦係特定的。因此,對製程裝置或組件特定亦涵蓋此特定性。
在一實施例中,理想地依據圖案化製程設置而判定本文中所描述之貢獻。因此,在一實施例中,針對器件圖案、器件層等之特定組合來判定貢獻。在一實施例中,本文中之資料係基於使用與圖案化製程之器件圖案 相關聯之度量衡目標來量測之資料而產生。在一實施例中,本文中之資料屬於器件圖案自身。
在一實施例中,本文中所描述之貢獻係用於監控一或多個製程裝置之效能。亦即,與當前經量測資料組合之貢獻可用以判定一或多個製程裝置之當前效能(例如以識別是否存在漂移)。可回應於自來自該組合之資料之分析而採取一或多個措施,諸如校準/重新校準,從而產生修改資訊(例如以用於前饋或回饋應用)等。
在一實施例中,運用一或多個器件圖案而圖案化之一或多個基板係用以判定貢獻。在一實施例中,一或多個經圖案化監控基板係用以判定貢獻(例如,圖案可為器件圖案或與器件圖案相關之另一圖案)。
在一實施例中,微影裝置在由微影反饋式調控使用一或多個監控基板曝光之後被監控及/或控制。舉例而言,可量測監控基板之一或多個特性(例如臨界尺寸)以導出微影基板之一或多個變數(例如焦點、劑量等)之量度。若該一或多個特性及/或經導出變數之量測值自其目標值變化(例如在諸如來自基線設置之臨限範圍之外),則微影反饋式調控可調整微影裝置之一或多個變數(例如劑量、焦點等)。以此方式,可例如自操作基線監控及/或控制微影裝置之漂移。
因此,在一實施例中,可提供用於一或多個非微影製程裝置(例如蝕刻器、沈積腔室、平坦化工具等)之反饋式調控以實現匹配(例如在不同蝕刻器、不同沈積腔室等之間匹配)、穩定性控制(例如以監控漂移),及/或偏移/指紋之監控。因此,在一實施例中,量測蝕刻之後之CD(例如對使用光學檢測裝置之度量衡目標,使用例如電子束檢測來量測諸如臨界器件/熱點之器件圖案),且接著(例如根據裝置類型)導出用於一或多個製程裝 置之一或多個指紋。舉例而言,微影裝置焦點及MSD指紋及膜厚度指紋量測係用以隔離例如蝕刻工具之蝕刻指紋。該一或多個指紋可接著與每基板量測資料組合以實現預測及控制(例如使用微影裝置劑量校正(例如基於監控特徵或熱點之已知劑量敏感度)、蝕刻校正(蝕刻速率、溫度改變(例如一或多個區帶中之溫度改變等)及/或膜厚度改變。
在一實施例中,提供一種方法,其包含:藉由一電腦硬體系統判定在一基板已由一或多個製程裝置根據一圖案化製程處理之後該一或多個製程裝置對該基板之一特性作出的一貢獻,該判定該貢獻係藉由自該基板之該特性之值移除一微影裝置對該特性之一貢獻及一或多個微影前製程裝置對該特性之一貢獻來完成。
在一實施例中,該一或多個製程裝置包含一蝕刻工具。在一實施例中,該一或多個微影前製程裝置對該特性之該貢獻包含一沈積工具對該特性之一貢獻。在一實施例中,該沈積工具之該貢獻係自該基板之由該沈積工具形成的一可蝕刻層之一特性導出。在一實施例中,該可蝕刻層之該特性係該可蝕刻層之一厚度。在一實施例中,該微影裝置對該特性之該貢獻係自與該微影裝置相關之一或多個變數之一群組導出。在一實施例中,該一或多個第一變數包含選自以下各項中之一或多者:由該微影裝置進行之照明之一或多個變數、該微影裝置之一投影系統之一或多個變數、焦點、劑量、疊對、該微影裝置之一基板載物台之移動之一移動標準偏差、該微影裝置之一基板載物台之移動之一移動平均值、雷射頻寬、曝光持續時間、光學像差、一高頻雷射頻寬改變,及/或一高頻雷射波長改變。在一實施例中,該方法進一步包含:使用該一或多個製程裝置之該貢獻判定用於在研究中之一或多個基板之該特性是否符合或超越一臨限值;及回應於 相對於該臨限值之一判定,產生修改資訊以調整該一或多個微影前製程裝置、該微影裝置及/或一或多個微影後製程裝置且輸出該修改資訊。在一實施例中,該修改資訊係用以修改該一或多個微影前製程裝置、該微影裝置及/或該一或多個微影後製程裝置之一變數,且其中該變數包含一沈積工具之一沈積變數、一微影裝置之一微影變數,及/或一蝕刻工具之一蝕刻變數。在一實施例中,該變數包含該沈積工具之該沈積變數,該沈積變數包含該沈積工具之一沈積速率或該沈積工具之一操作持續時間。在一實施例中,該變數包含該微影裝置之該微影變數,該微影變數包含:由該微影裝置進行之照明之一或多個變數、該微影裝置之一投影系統之一或多個變數、焦點、劑量、疊對、該微影裝置之一基板載物台之移動之一移動標準偏差、該微影裝置之一基板載物台之移動之一移動平均值、雷射頻寬、曝光持續時間、光學像差、一高頻雷射頻寬改變,及/或一高頻雷射波長改變。在一實施例中,該變數包含該蝕刻工具之該蝕刻變數,該蝕刻變數包含該蝕刻工具之一蝕刻類型及/或該蝕刻工具之一蝕刻速率。在一實施例中,該產生修改資訊包含產生修改資訊以調整一微影後製程裝置之一第一組件及/或一第二組件之一變數。在一實施例中,該微影後製程裝置係一蝕刻工具,該第一組件係該蝕刻工具之一第一蝕刻腔室,該第二組件係該蝕刻工具之一第二蝕刻腔室,且該第一組件及/或該第二組件之該變數包含該蝕刻工具之該第一蝕刻腔室及/或該蝕刻工具之該第二蝕刻腔室之一蝕刻速率、該蝕刻工具之該第一蝕刻腔室及/或該蝕刻工具之該第二蝕刻腔室之一蝕刻類型,或該蝕刻工具之該第一蝕刻腔室及/或該蝕刻工具之該第二蝕刻腔室之一操作溫度。在一實施例中,該一或多個基板已由該一或多個製程裝置之一第一腔室處理,且該修改資訊致使用於由該第一腔 室處理之該一或多個基板的該特性之一或多個值與用於由該一或多個製程裝置之一第二腔室處理的一或多個基板之該特性之一或多個值較接近地匹配。在一實施例中,藉由運用一度量衡裝置量測該基板上之一或多個度量衡目標來獲得該基板之該特性之該等值。在一實施例中,該基板之該特性包含選自以下特性中之一或多個特性:一圖案之臨界尺寸、臨界尺寸均一性、疊對、側壁角、底部表面傾角、特徵高度、圖案移位及/或幾何不對稱性。在一實施例中,該基板之該特性包含橫越該基板上之一圖案之該特性之一或多個指紋,或橫越該橫越該基板含有複數個該等圖案的基板之該特性之一或多個指紋。
在一實施例中,提供一種方法,其包含:藉由一電腦硬體系統估計將被賦予至待藉由一圖案化製程處理之一基板之一特性,該估計該特性係藉由組合對該基板特定的與用於該圖案化製程中之一或多個製程裝置相關的一或多個製程變數之一第一群組之一貢獻與對該基板並非特定的與該一或多個製程裝置相關的一或多個製程變數之一第二群組之一貢獻來完成,來自該第一群組及/或該第二群組之至少一個製程變數係與一微影裝置上游之一製程裝置相關。
在一實施例中,該方法進一步包含基於該所估計特性判定是否一缺陷或其他誤差待產生於該基板上。在一實施例中,該方法進一步包含基於該所估計特性而產生修改資訊以調整來自該第一群組及/或該第二群組之一或多個製程變數。在一實施例中,一或多個製程變數之該第二群組包含與一沈積工具、一微影裝置及/或一蝕刻工具相關之一或多個變數。在一實施例中,一或多個製程變數之該第二群組包含該沈積工具之一沈積速率或該沈積工具之一操作持續時間。在一實施例中,一或多個製程變數之該 第二群組包含選自以下變數中之一或多個變數:與由該微影裝置進行之照明相關之一或多個變數、該微影裝置之一投影系統之一或多個變數、焦點、劑量、疊對、雷射頻寬、曝光持續時間、光學像差、一高頻雷射頻寬改變,及/或一高頻雷射波長改變。在一實施例中,一或多個製程變數之該第二群組包含一蝕刻工具之一蝕刻速率、一蝕刻工具之一蝕刻類型,或該蝕刻工具之一操作溫度。在一實施例中,一或多個製程變數之該第一群組包含與一微影裝置相關之一或多個變數。在一實施例中,與一微影裝置相關之該一或多個變數包含該微影裝置之一基板載物台之移動之一移動標準偏差,或該微影裝置之一基板載物台之移動之一移動平均值。在一實施例中,該基板之該特性包含選自以下特性中之一或多個特性:一圖案之臨界尺寸、臨界尺寸均一性、疊對、側壁角、特徵高度、底部表面傾角、圖案移位及/或幾何不對稱性。在一實施例中,該基板之該特性包含橫越該基板上之一圖案之該特性之一或多個指紋,或橫越該橫越該基板含有複數個該等圖案的基板之該特性之一或多個指紋。
在一實施例中,提供一種方法,其包含:藉由一電腦硬體系統估計將被賦予至待由一或多個製程裝置處理之一基板之一特性,該估計該特性係藉由組合該一或多個製程裝置對該特性之一或多個貢獻與該特性之一或多個值來完成,該一或多個製程裝置中之至少一者係在一微影裝置上游。
在一實施例中,該方法進一步包含基於該所估計特性判定是否一缺陷待產生於該基板上。在一實施例中,該一或多個製程裝置包含選自以下各項中之一或多者:一沈積工具、一微影裝置,及/或一蝕刻工具。在一實施例中,該一或多個製程裝置對該特性之該一或多個貢獻包含一沈積工具對該特性之一貢獻。在一實施例中,該沈積工具對該特性之該貢獻係自 由該沈積工具在該基板中形成的一可蝕刻層之一特性導出。在一實施例中,該可蝕刻層之該特性係該可蝕刻層之一厚度。在一實施例中,該一或多個製程裝置對該特性之該一或多個貢獻包含一微影裝置對該特性之一貢獻。在一實施例中,該微影裝置對該特性之該貢獻係自與該微影裝置相關之一或多個變數之一群組導出。在一實施例中,與該微影裝置相關之該一或多個變數包含選自以下變數中之一或多個變數:由該微影裝置進行之照明之一或多個變數、該微影裝置之一投影系統之一或多個變數、焦點、劑量、疊對、該微影裝置之一基板載物台之移動之一移動標準偏差、該微影裝置之一基板載物台之移動之一移動平均值、雷射頻寬、曝光持續時間、光學像差、一高頻雷射頻寬改變,及/或一高頻雷射波長改變。在一實施例中,該一或多個製程裝置對該特性之該一或多個貢獻包含一蝕刻工具對該特性之一貢獻。在一實施例中,該方法進一步包含基於該所估計特性而產生修改資訊以調整該一或多個製程裝置中之一或多者且輸出該修改資訊。在一實施例中,該修改資訊係用以修改該一或多個製程裝置中之該一或多者的一或多個變數。在一實施例中,該一或多個變數包含一沈積工具之一沈積變數、一微影裝置之一微影變數,及/或一蝕刻工具之一蝕刻變數。在一實施例中,該一或多個變數包含該沈積工具之該沈積變數,該沈積變數包含該沈積工具之一沈積速率或該沈積工具之一操作持續時間。在一實施例中,該一或多個變數包含該微影裝置之該微影變數,該微影變數包含:由該微影裝置進行之照明之一或多個變數、該微影裝置之一投影系統之一或多個變數、焦點、劑量、疊對、該微影裝置之一基板載物台之移動之一移動標準偏差、該微影裝置之一基板載物台之移動之一移動平均值、雷射頻寬、曝光持續時間、光學像差、一高頻雷射頻寬改變,及/或 一高頻雷射波長改變。在一實施例中,該一或多個變數包含該蝕刻工具之該蝕刻變數,該蝕刻變數包含該蝕刻工具之一蝕刻速率、該蝕刻工具之一蝕刻類型,或該蝕刻工具之一操作溫度。在一實施例中,該基板之該特性之該一或多個值係自一量測予以判定或自來自該一或多個製程裝置中之一或多者之一信號予以判定。在一實施例中,該基板之該特性包含選自以下特性中之一或多個特性:一圖案之臨界尺寸、臨界尺寸均一性、疊對、側壁角、特徵高度、底部表面傾角、圖案移位及/或幾何不對稱性。在一實施例中,該基板之該特性包含橫越該基板上之一圖案之該特性之一或多個指紋,或橫越該橫越該基板含有複數個該等圖案的基板之該特性之一或多個指紋。
在一實施例中,提供一種方法,其包含:判定一或多個第一製程裝置對一基板之一特性之一或多個貢獻;及藉由一電腦硬體系統且至少部分地基於該一或多個貢獻而產生修改資訊以調整在該一或多個第一製程裝置下游的一或多個第二製程裝置。
在一實施例中,該一或多個第一製程裝置中之至少一者係在一微影裝置上游。在一實施例中,該一或多個第一製程裝置包含一沈積工具。在一實施例中,該一或多個第二製程裝置包含一微影裝置及/或一蝕刻工具。在一實施例中,該一或多個第一製程裝置對該特性之該一或多個貢獻包含該沈積工具對該特性之一貢獻。在一實施例中,該沈積工具對該特性之該貢獻係自由該沈積工具在該基板中形成的一可蝕刻層之一特性導出。在一實施例中,該可蝕刻層之該特性係該可蝕刻層之一厚度。在一實施例中,該修改資訊係用以修改該一或多個第二製程裝置之一變數。在一實施例中,該變數包含一微影裝置之一微影變數。在一實施例中,該變數包含 該蝕刻工具之一蝕刻變數。在一實施例中,該基板之該特性包含選自以下特性中之一或多個特性:一圖案之臨界尺寸、臨界尺寸均一性、疊對、側壁角、特徵高度、底部表面傾角、圖案移位及/或幾何不對稱性。在一實施例中,該基板之該特性包含橫越該基板上之一圖案之該特性之一或多個指紋,或橫越該橫越該基板含有複數個該等圖案的基板之該特性之一或多個指紋。
雖然本申請案中之論述將考慮關於經設計為量測形成於基板上之器件之一或多個層之間的疊對之度量衡製程及度量衡目標之實施例,但本文中之實施例同樣適用於其他度量衡製程及目標,諸如用以量測(例如圖案化器件與基板之間的)對準之製程及目標、用以量測臨界尺寸之製程及目標,等。因此,本文中對疊對度量衡目標、疊對資料等之參考應被認為經合適修改以啟用其他種類之度量衡製程及目標。
參看圖15,展示電腦系統1500。電腦系統1500包括用於傳達資訊之一匯流排1502或其他通信機構,及與匯流排1502耦接以用於處理資訊之一處理器1504(或多個處理器1504及1505)。電腦系統1500亦包括耦接至匯流排1502以用於儲存待由處理器1504執行之資訊及指令的主記憶體1506,諸如隨機存取記憶體(RAM)或其他動態儲存器件。主記憶體1506亦可用於在待由處理器1504執行之指令之執行期間儲存暫時性變數或其他中間資訊。電腦系統1500進一步包括耦接至匯流排1502以用於儲存用於處理器1504之靜態資訊及指令的唯讀記憶體(ROM)1508或其他靜態儲存器件。提供諸如磁碟或光碟之儲存器件1510,且將該儲存器件耦接至匯流排1502以用於儲存資訊及指令。
電腦系統1500可經由匯流排1502耦接至用於向電腦使用者顯示資訊 之顯示器1512,諸如陰極射線管(CRT)或平板顯示器或觸控面板顯示器。包括文數字按鍵及其他按鍵之輸入器件1514耦接至匯流排1502以用於將資訊及命令選擇傳達至處理器1504。另一類型之使用者輸入器件為用於將方向資訊及命令選擇傳達至處理器1504且用於控制顯示器1512上之游標移動的游標控制件1516,諸如,滑鼠、軌跡球或游標方向按鍵。此輸入器件通常具有在兩個軸線(第一軸線(例如,x)及第二軸線(例如,y))上之兩個自由度,其允許該器件指定在平面中之位置。亦可將觸控面板(螢幕)顯示器用作輸入器件。
電腦系統1500可適合於回應於處理器1504執行主記憶體1506中含有之一或多個指令之一或多個序列而充當圖6中之軟體應用程式660。可將此等指令自另一電腦可讀媒體(諸如儲存器件1510)讀取至主記憶體1506中。主記憶體1506中含有之指令序列之執行致使處理器1504執行由如本文中所描述的軟體應用程式660實施之製程。呈多處理配置之一或多個處理器亦可用以執行主記憶體1506中含有之指令序列。在替代實施例中,可代替或結合軟體指令而使用硬連線電路系統。因此,實施例不限於硬體電路系統及軟體之任何特定組合。
如本文中所使用之術語「電腦可讀媒體」係指參與將指令提供至處理器1504以供執行之任何媒體。此媒體可採取許多形式,包括但不限於非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括例如光碟或磁碟,諸如儲存器件1510。揮發性媒體包括動態記憶體,諸如主記憶體1506。傳輸媒體包括同軸纜線、銅線及光纖,包括包含匯流排1502之電線。傳輸媒體亦可採取聲波或光波之形式,諸如在射頻(RF)及紅外線(IR)資料通信期間產生之聲波或光波。電腦可讀媒體之常見形式包括例如軟 碟、軟性磁碟、硬碟、磁帶、任何其他磁媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或卡匣、如下文所描述之載波,或可供電腦讀取之任何其他媒體。
可在將一或多個指令之一或多個序列攜載至處理器1504以供執行時涉及各種形式之電腦可讀媒體。舉例而言,最初可將該等指令承載於遠端電腦之磁碟上。遠端電腦可將指令載入至其動態記憶體中,且使用數據機經由電話線而發送指令。在電腦系統1500本端之數據機可接收電話線上之資料,且使用紅外線傳輸器將資料轉換成紅外線信號。耦接至匯流排1502之紅外線偵測器可接收紅外線信號中所攜載之資料且將資料置放於匯流排1502上。匯流排1502將資料攜載至主記憶體1506,處理器1504自該主記憶體擷取並執行指令。由主記憶體1506接收之指令可視情況在供處理器1504執行之前或之後儲存於儲存器件1510上。
電腦系統1500亦可包括耦接至匯流排1502之通信介面1518。通信介面1518提供對網路鏈路1520之雙向資料通信耦合,網路鏈路1520連接至區域網路1522。舉例而言,通信介面1518可為整合式服務數位網路(ISDN)卡或數據機以提供對對應類型之電話線之資料通信連接。作為另一實例,通信介面1518可為區域網路(LAN)卡以提供對相容LAN之資料通信連接。亦可實施無線鏈路。在任何此實施中,通信介面1518發送且接收攜載表示各種類型之資訊之數位資料串流的電信號、電磁信號或光信號。
網路鏈路1520通常經由一或多個網路而向其他資料器件提供資料通信。舉例而言,網路鏈路1520可經由區域網路1522向主機電腦1524或向 由網際網路服務業者(ISP)1526操作之資料設備提供連接。ISP 1526又經由全球封包資料通信網路(現在通常被稱作「網際網路」1528)而提供資料通信服務。區域網路1522及網際網路1528兩者皆使用攜載數位資料串流之電信號、電磁信號或光信號。經由各種網路之信號及在網路鏈路1520上且經由通信介面1518之信號(該等信號將數位資料攜載至電腦系統1500及自電腦系統1500攜載數位資料)為輸送資訊的載波之例示性形式。
電腦系統1500可經由網路、網路鏈路1520及通信介面1518而發送訊息及接收資料,包括程式碼。在網際網路實例中,伺服器1530可能經由網際網路1528、ISP 1526、區域網路1522及通信介面1518而傳輸用於應用程式之所請求程式碼。根據一或多個實施例,一個此類經下載應用程式提供例如本文中所揭示之方法。所接收程式碼可在其被接收時由處理器1504執行,及/或儲存於儲存器件1510或其他非揮發性儲存器中以供稍後執行。以此方式,電腦系統1500可獲得呈載波之形式之應用程式碼。
本發明之一實施例可採取如下形式:電腦程式,其含有描述如本文中所揭示之方法的機器可讀指令之一或多個序列;或資料儲存媒體(例如半導體記憶體、磁碟或光碟),其中儲存有此電腦程式。另外,可以兩個或兩個以上電腦程式來體現機器可讀指令。該兩個或多於兩個電腦程式可儲存於一或多個不同記憶體及/或資料儲存媒體上。
本文中所描述之任何控制器可在一或多個電腦程式由位於微影裝置之至少一個組件內之一或多個電腦處理器讀取時各自或組合地可操作。控制器可各自或組合地具有用於接收、處理及發送信號之任何合適組態。一或多個處理器經組態以與控制器中之至少一者通信。舉例而言,每一控制器可包括用於執行包括用於上文所描述之方法之機器可讀指令的電腦程式 之一或多個處理器。控制器可包括用於儲存此類電腦程式之資料儲存媒體,及/或用以收納此媒體之硬體。因此,控制器可根據一或多個電腦程式之機器可讀指令而操作。儘管在本文中可特定地參考檢測裝置在IC製造中之使用,但應理解,本文中所描述之檢測裝置可具有其他應用,諸如製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、平板顯示器、液晶顯示器(LCD)、薄膜磁頭,等。熟習此項技術者應瞭解,在此等替代應用之內容背景中,可認為本文中對術語「晶圓」或「晶粒」之任何使用分別與更一般之術語「基板」或「目標部分」同義。可在曝光之前或之後在例如塗佈顯影系統(通常將抗蝕劑層施加至基板且顯影經曝光抗蝕劑之工具)、度量衡工具及/或檢測工具中處理本文中所提及之基板。適用時,可將本文之揭示內容應用於此等及其他基板處理工具。另外,可將基板處理多於一次,例如以便產生多層IC,使得本文中所使用之術語「基板」亦可指已經含有多個經處理層之基板。
在以下經編號條項中揭示了本發明之另外實施例:
1. 一種方法,其包含:藉由一電腦硬體系統判定在一基板已由一或多個製程裝置根據一圖案化製程處理之後該一或多個製程裝置對該基板之一特性作出的一貢獻,該判定該貢獻係藉由自該基板之該特性之值移除一微影裝置對該特性之一貢獻及一或多個微影前製程裝置對該特性之一貢獻來完成。
2. 如條項1之方法,其中該一或多個製程裝置包含一蝕刻工具。
3. 如條項1或條項2之方法,其中該一或多個微影前製程裝置對該特性之該貢獻包含一沈積工具對該特性之一貢獻。
4. 如條項3之方法,其中該沈積工具之該貢獻係自該基板之由該沈 積工具形成的一可蝕刻層之一特性導出。
5. 如條項4之方法,其中該可蝕刻層之該特性係該可蝕刻層之一厚度。
6. 如條項1至5中任一項之方法,其中該微影裝置對該特性之該貢獻係自與該微影裝置相關之一或多個變數之一群組導出。
7. 如條項6之方法,其中該一或多個第一變數包含選自以下各項中之一或多者:由該微影裝置進行之照明之一或多個變數、該微影裝置之一投影系統之一或多個變數、焦點、劑量、疊對、該微影裝置之一基板載物台之移動之一移動標準偏差、該微影裝置之一基板載物台之移動之一移動平均值、雷射頻寬、曝光持續時間、光學像差、一高頻雷射頻寬改變,及/或一高頻雷射波長改變。
8. 如條項1至7中任一項之方法,其進一步包含:使用該一或多個製程裝置之該貢獻判定用於在研究中之一或多個基板之該特性是否符合或超越一臨限值;及回應於相對於該臨限值之一判定,產生修改資訊以調整該一或多個微影前製程裝置、該微影裝置及/或一或多個微影後製程裝置且輸出該修改資訊。
9. 如條項8之方法,其中該修改資訊係用以修改該一或多個微影前製程裝置、該微影裝置及/或該一或多個微影後製程裝置之一變數,且其中該變數包含一沈積工具之一沈積變數、一微影裝置之一微影變數,及/或一蝕刻工具之一蝕刻變數。
10. 如條項9之方法,其中該變數包含該沈積工具之該沈積變數,該沈積變數包含該沈積工具之一沈積速率或該沈積工具之一操作持續時間。
11. 如條項9或條項10之方法,其中該變數包含該微影裝置之該微影變數,該微影變數包含由該微影裝置進行之照明之一或多個變數、該微影裝置之一投影系統之一或多個變數、焦點、劑量、疊對、該微影裝置之一基板載物台之移動之一移動標準偏差、該微影裝置之一基板載物台之移動之一移動平均值、雷射頻寬、曝光持續時間、光學像差、一高頻雷射頻寬改變,及/或一高頻雷射波長改變。
12. 如條項9至11中任一項之方法,其中該變數包含該蝕刻工具之該蝕刻變數,該蝕刻變數包含該蝕刻工具之一蝕刻類型及/或該蝕刻工具之一蝕刻速率。
13. 如條項8至12中任一項之方法,其中該產生修改資訊包含產生修改資訊以調整一微影後製程裝置之一第一組件及/或一第二組件之一變數。
14. 如條項13之方法,其中該微影後製程裝置係一蝕刻工具,該第一組件係該蝕刻工具之一第一蝕刻腔室,該第二組件係該蝕刻工具之一第二蝕刻腔室,且該第一組件及/或該第二組件之該變數包含該蝕刻工具之該第一蝕刻腔室及/或該蝕刻工具之該第二蝕刻腔室之一蝕刻速率、該蝕刻工具之該第一蝕刻腔室及/或該蝕刻工具之該第二蝕刻腔室之一蝕刻類型,或該蝕刻工具之該第一蝕刻腔室及/或該蝕刻工具之該第二蝕刻腔室之一操作溫度。
15. 如條項8至14中任一項之方法,其中該一或多個基板已由該一或多個製程裝置之一第一腔室處理,且該修改資訊致使用於由該第一腔室處理之該一或多個基板的該特性之一或多個值與用於由該一或多個製程裝置之一第二腔室處理的一或多個基板之該特性之一或多個值較接近地匹配。
16. 如條項1至15中任一項之方法,其中藉由運用一度量衡裝置量測該基板上之一或多個度量衡目標來獲得該基板之該特性之該等值。
17. 如條項1至16中任一項之方法,其中該基板之該特性包含選自以下特性中之一或多個特性:一圖案之臨界尺寸、臨界尺寸均一性、疊對、側壁角、底部表面傾角、特徵高度、圖案移位及/或幾何不對稱性。
18. 如條項17之方法,其中該基板之該特性包含橫越該基板上之一圖案之該特性之一或多個指紋,或橫越該橫越該基板含有複數個該等圖案的基板之該特性之一或多個指紋。
19. 一種方法,其包含:藉由一電腦硬體系統估計將被賦予至待藉由一圖案化製程處理之一基板之一特性,該估計該特性係藉由組合對該基板特定的與用於該圖案化製程中之一或多個製程裝置相關的一或多個製程變數之一第一群組之一貢獻與對該基板並非特定的與該一或多個製程裝置相關的一或多個製程變數之一第二群組之一貢獻來完成,來自該第一群組及/或該第二群組之至少一個製程變數係與一微影裝置上游之一製程裝置相關。
20. 如條項19之方法,其進一步包含基於該所估計特性判定是否一缺陷或其他誤差待產生於該基板上。
21. 如條項19或條項20之方法,其進一步包含基於該所估計特性而產生修改資訊以調整來自該第一群組及/或該第二群組之一或多個製程變數。
22. 如條項19至21中任一項之方法,其中一或多個製程變數之該第二群組包含與一沈積工具、一微影裝置及/或一蝕刻工具相關之一或多個變數。
23. 如條項22之方法,其中一或多個製程變數之該第二群組包含該沈積工具之一沈積速率或該沈積工具之一操作持續時間。
24. 如條項22或條項23之方法,其中一或多個製程變數之該第二群組包含選自以下變數中之一或多個變數:與由該微影裝置進行之照明相關之一或多個變數、該微影裝置之一投影系統之一或多個變數、焦點、劑量、疊對、雷射頻寬、曝光持續時間、光學像差、一高頻雷射頻寬改變,及/或一高頻雷射波長改變。
25. 如條項22至24中任一項之方法,其中一或多個製程變數之該第二群組包含一蝕刻工具之一蝕刻速率、一蝕刻工具之一蝕刻類型,或該蝕刻工具之一操作溫度。
26. 如條項19至25中任一項之方法,其中一或多個製程變數之該第一群組包含與一微影裝置相關之一或多個變數。
27. 如條項26之方法,其中與一微影裝置相關之該一或多個變數包含該微影裝置之一基板載物台之移動之一移動標準偏差,或該微影裝置之一基板載物台之移動之一移動平均值。
28. 如條項19至27中任一項之方法,其中該基板之該特性包含選自以下特性中之一或多個特性:一圖案之臨界尺寸、臨界尺寸均一性、疊對、側壁角、特徵高度、底部表面傾角、圖案移位及/或幾何不對稱性。
29. 如條項28之方法,其中該基板之該特性包含橫越該基板上之一圖案之該特性之一或多個指紋,或橫越該橫越該基板含有複數個該等圖案的基板之該特性之一或多個指紋。
30. 一種方法,其包含:藉由一電腦硬體系統估計將被賦予至待由一或多個製程裝置處理之 一基板之一特性,該估計該特性係藉由組合該一或多個製程裝置對該特性之一或多個貢獻與該特性之一或多個值來完成,該一或多個製程裝置中之至少一者係在一微影裝置上游。
31. 如條項30之方法,其進一步包含基於該所估計特性判定是否一缺陷待產生於該基板上。
32. 如條項30或條項31之方法,其中該一或多個製程裝置包含選自以下各項中之一或多者:一沈積工具、一微影裝置及/或一蝕刻工具。
33. 如條項30至32中任一項之方法,其中該一或多個製程裝置對該特性之該一或多個貢獻包含一沈積工具對該特性之一貢獻。
34. 如條項33之方法,其中該沈積工具對該特性之該貢獻係自由該沈積工具在該基板中形成的一可蝕刻層之一特性導出。
35. 如條項34之方法,其中該可蝕刻層之該特性係該可蝕刻層之一厚度。
36. 如條項30至35中任一項之方法,其中該一或多個製程裝置對該特性之該一或多個貢獻包含一微影裝置對該特性之一貢獻。
37. 如條項36之方法,其中該微影裝置對該特性之該貢獻係自與該微影裝置相關之一或多個變數之一群組導出。
38. 如條項37之方法,其中與該微影裝置相關之該一或多個變數包含選自以下變數中之一或多個變數:由該微影裝置進行之照明之一或多個變數、該微影裝置之一投影系統之一或多個變數、焦點、劑量、疊對、該微影裝置之一基板載物台之移動之一移動標準偏差、該微影裝置之一基板載物台之移動之一移動平均值、雷射頻寬、曝光持續時間、光學像差、一高頻雷射頻寬改變,及/或一高頻雷射波長改變。
39. 如條項30至38中任一項之方法,其中該一或多個製程裝置對該特性之該一或多個貢獻包含一蝕刻工具對該特性之一貢獻。
40. 如條項30至39中任一項之方法,其進一步包含基於該所估計特性而產生修改資訊以調整該一或多個製程裝置中之一或多者且輸出該修改資訊。
41. 如條項40之方法,其中該修改資訊係用以修改該一或多個製程裝置中之該一或多者的一或多個變數。
42. 如條項41之方法,其中該一或多個變數包含一沈積工具之一沈積變數、一微影裝置之一微影變數,及/或一蝕刻工具之一蝕刻變數。
43. 如條項42之方法,其中該一或多個變數包含該沈積工具之該沈積變數,該沈積變數包含該沈積工具之一沈積速率或該沈積工具之一操作持續時間。
44. 如條項42或條項43之方法,其中該一或多個變數包含該微影裝置之該微影變數,該微影變數包含由該微影裝置進行之照明之一或多個變數、該微影裝置之一投影系統之一或多個變數、焦點、劑量、疊對、該微影裝置之一基板載物台之移動之一移動標準偏差、該微影裝置之一基板載物台之移動之一移動平均值、雷射頻寬、曝光持續時間、光學像差、一高頻雷射頻寬改變,及/或一高頻雷射波長改變。
45. 如條項42至44中任一項之方法,其中該一或多個變數包含該蝕刻工具之該蝕刻變數,該蝕刻變數包含該蝕刻工具之一蝕刻速率、該蝕刻工具之一蝕刻類型,或該蝕刻工具之一操作溫度。
46. 如條項30至45中任一項之方法,其中該基板之該特性之該一或多個值係自一量測予以判定或自來自該一或多個製程裝置中之一或多者之一 信號予以判定。
47. 如條項30至46中任一項之方法,其中該基板之該特性包含選自以下特性中之一或多個特性:一圖案之臨界尺寸、臨界尺寸均一性、疊對、側壁角、特徵高度、底部表面傾角、圖案移位及/或幾何不對稱性。
48. 如條項47之方法,其中該基板之該特性包含橫越該基板上之一圖案之該特性之一或多個指紋,或橫越該橫越該基板含有複數個該等圖案的基板之該特性之一或多個指紋。
49. 一種方法,其包含:判定一或多個第一製程裝置對一基板之一特性之一或多個貢獻;及藉由一電腦硬體系統且至少部分地基於該一或多個貢獻而產生修改資訊以調整在該一或多個第一製程裝置下游的一或多個第二製程裝置。
50. 如條項49之方法,其中該一或多個第一製程裝置中之至少一者係在一微影裝置上游。
51. 如條項50之方法,其中該一或多個第一製程裝置包含一沈積工具。
52. 如條項49至51中任一項之方法,其中該一或多個第二製程裝置包含一微影裝置及/或一蝕刻工具。
53. 如條項49至52中任一項之方法,其中該一或多個第一製程裝置對該特性之該一或多個貢獻包含該沈積工具對該特性之一貢獻。
54. 如條項53之方法,其中該沈積工具對該特性之該貢獻係自由該沈積工具在該基板中形成的一可蝕刻層之一特性導出。
55. 如條項54之方法,其中該可蝕刻層之該特性係該可蝕刻層之一厚度。
56. 如條項49至55中任一項之方法,其中該修改資訊用以修改該一或多個第二製程裝置之一變數。
57. 如條項56之方法,其中該變數包含一微影裝置之一微影變數。
58. 如條項56或條項57之方法,其中該變數包含該蝕刻工具之蝕刻變數。
59. 如條項49至58中任一項之方法,其中該基板之該特性包含選自以下特性中之一或多個特性:一圖案之臨界尺寸、臨界尺寸均一性、疊對、側壁角、特徵高度、底部表面傾角、圖案移位及/或幾何不對稱性。
60. 如條項59之方法,其中該基板之該特性包含橫越該基板上之一圖案之該特性之一或多個指紋,或橫越該橫越該基板含有複數個該等圖案的基板之該特性之一或多個指紋。
61. 一種包含機器可讀指令之非暫時性電腦程式產品,該等機器可讀指令用於致使一處理器系統執行如條項1至60中任一項之方法。
62. 一種系統,其包含:一硬體處理器系統;及一非暫時性電腦可讀儲存媒體,其經組態以儲存機器可讀指令,其中該等機器可讀指令在經執行時致使該硬體處理器系統執行如條項1至60中任一項之方法。
儘管在上文可已特定地參考在光學微影之內容背景中之本發明之實施例的使用,但應理解,本發明可用於其他應用(例如奈米壓印微影)中,且在內容背景允許的情況下不限於光學微影。在奈米壓印微影之狀況下,圖案化器件為壓印模板或模具。本文中所使用之術語「輻射」及「光束」涵蓋所有類型之電磁輻射,包括紫外線(UV)輻射(例如具有為或為約365 奈米、355奈米、248奈米、193奈米、157奈米或126奈米之波長)及極紫外線(EUV)輻射(例如,具有在5奈米至20奈米之範圍內之波長);以及粒子束(諸如離子束或電子束)。
術語「透鏡」在內容背景允許的情況下可指各種類型之光學組件中之任一者或其組合,包括折射、反射、磁性、電磁及靜電光學組件。
本文中對超越或超過臨限值之參考可包括具有低於特定值或低於或等於特定值之某物、具有高於特定值或高於或等於特定值之某物、基於(例如)參數而排名高於或低於其他某物(通過例如分類)的某物,等。
本文中對校正誤差或誤差之校正之參考包括消除誤差或將誤差縮減至容許範圍內。
如本文中所使用之術語「最佳化(optimizing/optimization)」係指或意謂調整微影裝置、圖案化製程等使得微影或圖案化處理之結果及/或製程具有較理想特性,諸如設計佈局在基板上的投影之較高準確度、較大製程窗等。因此,如本文中所使用之術語「最佳化(optimizing/optimization)」係指或意謂識別用於一或多個變數之一或多個值的製程,該一或多個值相比於用於彼等一或多個變數之一或多個值之初始集合提供在至少一個相關度量方面的改良,例如局部最佳。應相應地解釋「最佳」及其他相關術語。在一實施例中,可反覆地應用最佳化步驟,以提供一或多個度量之進一步改良。
在一系統之最佳化製程中,可將該系統或製程之優值(figure of merit)表示為成本函數。最佳化製程歸結為尋找最佳化(例如最小化或最大化)成本函數之系統或製程之參數集合(設計變數)的製程。成本函數可取決於最佳化之目標而具有任何合適形式。舉例而言,成本函數可為系統或 製程之某些特性(評估點)相對於此等特性之預期值(例如理想值)之偏差的加權均方根(RMS);成本函數亦可為此等偏差之最大值(亦即,最差偏差)。本文中之術語「評估點」應被廣泛地解譯為包括系統或製程之任何特性。歸因於系統或製程之實施的實務性,系統之設計變數可限於有限範圍及/或可相互相依。在微影裝置或圖案化製程之狀況下,約束常常與硬體之實體屬性及特性(諸如可調諧範圍,及/或圖案化器件可製造性設計規則)相關聯,且評估點可包括基板上之抗蝕劑影像上之實體點,以及諸如劑量及焦點之非實體特性。
雖然上文已描述本發明之特定實施例,但應瞭解,可以與所描述之方式不同的其他方式來實踐本發明。舉例而言,本發明可採取如下形式:電腦程式,其含有描述如上文所揭示之方法的機器可讀指令之一或多個序列;或資料儲存媒體(例如半導體記憶體、磁碟或光碟),其中儲存有此電腦程式。
以上之描述意欲為說明性,而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡明之申請專利範圍之範疇的情況下對所描述之本發明進行修改。

Claims (16)

  1. 一種用於監控一製程裝置(process apparatus)之方法,其包含:藉由一電腦硬體系統判定在一基板已由一或多個製程裝置根據一圖案化製程處理之後該一或多個製程裝置對該基板之一特性(characteristic)作出的一貢獻(contribution),該判定該貢獻係藉由自該基板之該特性之值移除一微影裝置對該特性之一貢獻及一或多個微影前(pre-lithography)製程裝置對該特性之一貢獻來完成。
  2. 如請求項1之方法,其中該一或多個製程裝置包含一蝕刻工具。
  3. 如請求項1或請求項2之方法,其中該一或多個微影前製程裝置對該特性之該貢獻包含一沈積工具對該特性之一貢獻。
  4. 如請求項3之方法,其中該沈積工具之該貢獻係自該基板之由該沈積工具形成的一可蝕刻層之一特性導出。
  5. 如請求項4之方法,其中該可蝕刻層之該特性係該可蝕刻層之一厚度。
  6. 如請求項1之方法,其中該微影裝置對該特性之該貢獻係自與該微影裝置相關之一或多個變數之一群組導出,該等變數選自以下各者:由該微影裝置進行之照明之一或多個變數、該微影裝置之一投影系統之一或多個變數、焦點、劑量、疊對、該微影裝置之一基板載物台之移動之一移動標準偏差、該微影裝置之一基板載物台之移動之一移動平均值、雷射頻寬、曝光持續時間、光學像差、一高頻雷射頻寬改變,及/或一高頻雷射波長改變。
  7. 如請求項1之方法,其進一步包含:使用該一或多個製程裝置之該貢獻判定用於在研究中之一或多個基板之該特性是否符合或超越一臨限值;及回應於相對於該臨限值之一判定,產生修改資訊以調整該一或多個微影前製程裝置、該微影裝置及/或一或多個微影後製程裝置且輸出該修改資訊。
  8. 如請求項7之方法,其中該修改資訊係用以修改該一或多個微影前製程裝置、該微影裝置及/或該一或多個微影後製程裝置之一變數,且其中該變數包含一沈積工具之一沈積變數、一微影裝置之一微影變數,及/或一蝕刻工具之一蝕刻變數。
  9. 如請求項8之方法,其中該變數包含該沈積工具之該沈積變數,該沈積變數包含該沈積工具之一沈積速率或該沈積工具之一操作持續時間。
  10. 如請求項8之方法,其中該變數包含該蝕刻工具之該蝕刻變數,該蝕刻變數包含該蝕刻工具之一蝕刻類型及/或該蝕刻工具之一蝕刻速率。
  11. 如請求項7之方法,其中該產生修改資訊包含:產生修改資訊以調整一微影後製程裝置之一第一組件及/或一第二組件之一變數。
  12. 如請求項11之方法,其中該微影後製程裝置係一蝕刻工具,該第一組件係該蝕刻工具之一第一蝕刻腔室,該第二組件係該蝕刻工具之一第二蝕刻腔室,且該第一組件及/或該第二組件之該變數包含該蝕刻工具之該第一蝕刻腔室及/或該蝕刻工具之該第二蝕刻腔室之一蝕刻速率、該蝕刻工具之該第一蝕刻腔室及/或該蝕刻工具之該第二蝕刻腔室之一蝕刻類型,或該蝕刻工具之該第一蝕刻腔室及/或該蝕刻工具之該第二蝕刻腔室之一操作溫度。
  13. 如請求項1之方法,其中該基板之該特性包含選自以下參數中之一或多個參數之一或多個值及/或指紋:一圖案之臨界尺寸、臨界尺寸均一性、疊對、側壁角、底部表面傾角、特徵高度、圖案移位及/或幾何不對稱性。
  14. 一種用於監控一製程裝置之方法,其包含:藉由一電腦硬體系統估計將被賦予至待藉由一圖案化製程處理之一基板之一特性,該估計該特性係藉由組合對該基板特定的與用於該圖案化製程中之一或多個製程裝置相關的一或多個製程變數之一第一群組之一貢獻與對該基板並非特定的與該一或多個製程裝置相關的一或多個製程變數之一第二群組之一貢獻來完成,來自該第一群組及/或該第二群組之至少一個製程變數係與一微影裝置上游之一製程裝置相關。
  15. 一種包含機器可讀指令之非暫時性電腦程式產品,該等機器可讀指令用於致使一處理器系統執行如請求項1之方法。
  16. 一種用於監控一製程裝置之系統,其包含:一硬體處理器系統;及一非暫時性電腦可讀儲存媒體,其經組態以儲存機器可讀指令,其中該等機器可讀指令在經執行時致使該硬體處理器系統執行如請求項1之方法。
TW106128022A 2016-09-02 2017-08-18 監控製程裝置之方法及系統及相關聯電腦程式產品 TWI649614B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP16187040.7A EP3290911A1 (en) 2016-09-02 2016-09-02 Method and system to monitor a process apparatus
??EP16187040 2016-09-02

Publications (2)

Publication Number Publication Date
TW201812443A TW201812443A (zh) 2018-04-01
TWI649614B true TWI649614B (zh) 2019-02-01

Family

ID=56853531

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106128022A TWI649614B (zh) 2016-09-02 2017-08-18 監控製程裝置之方法及系統及相關聯電腦程式產品
TW107147685A TW201921274A (zh) 2016-09-02 2017-08-18 監控製程裝置之方法及系統及相關聯電腦程式產品

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW107147685A TW201921274A (zh) 2016-09-02 2017-08-18 監控製程裝置之方法及系統及相關聯電腦程式產品

Country Status (7)

Country Link
US (3) US10571806B2 (zh)
EP (1) EP3290911A1 (zh)
JP (2) JP6982059B2 (zh)
KR (2) KR102223858B1 (zh)
CN (1) CN109642876A (zh)
TW (2) TWI649614B (zh)
WO (1) WO2018041513A1 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3321740A1 (en) * 2016-11-11 2018-05-16 ASML Netherlands B.V. Determining an optimal operational parameter setting of a metrology system
EP3396458A1 (en) * 2017-04-28 2018-10-31 ASML Netherlands B.V. Method and apparatus for optimization of lithographic process
DE112018008256B3 (de) 2017-06-22 2023-08-31 Asml Netherlands B.V. Verfahren zum Bestimmen eines Beitrags einer Vorrichtung aus einer Vielzahl von Vorrichtungen zu einem Parameterfingerabdruck, System und Computerprogrammprodukt
US10580673B2 (en) * 2018-01-05 2020-03-03 Kla Corporation Semiconductor metrology and defect classification using electron microscopy
EP3588191A1 (en) 2018-06-29 2020-01-01 ASML Netherlands B.V. Tuning patterning apparatus based on optical characteristic
EP3647873A1 (en) * 2018-11-02 2020-05-06 ASML Netherlands B.V. Method to characterize post-processing data in terms of individual contributions from processing stations
CN114026500A (zh) * 2019-07-03 2022-02-08 Asml荷兰有限公司 在半导体制造过程中应用沉积模式的方法
US20220327364A1 (en) * 2019-08-30 2022-10-13 Asml Netherlands B.V. Semiconductor device geometry method and system
US20230084130A1 (en) * 2020-02-12 2023-03-16 Asml Netherlands B.V. Methods of tuning a model for a lithographic process and associated apparatuses
EP3872567A1 (en) * 2020-02-25 2021-09-01 ASML Netherlands B.V. Systems and methods for process metric aware process control
US11481922B2 (en) * 2020-04-07 2022-10-25 Kla Corporation Online navigational drift correction for metrology measurements
CN111430261B (zh) * 2020-05-21 2023-01-24 中国科学院微电子研究所 一种光刻机工艺稳定性检测方法及装置
TWI761975B (zh) * 2020-09-29 2022-04-21 新加坡商鴻運科股份有限公司 機台製程參數的異常監測裝置、方法及可讀存儲介質
CN114428444B (zh) * 2020-10-29 2024-01-26 中芯国际集成电路制造(上海)有限公司 套刻量测系统矫正方法
CN113016060B (zh) * 2020-11-20 2024-05-24 长江存储科技有限责任公司 基于实时虚拟计量学的前馈式运行批次间晶片生产控制系统
CN113283162B (zh) * 2021-04-30 2022-10-28 晋城鸿智纳米光机电研究院有限公司 塑料光学镜片面形误差预测方法、电子装置及存储介质
TW202310131A (zh) * 2021-08-24 2023-03-01 日商東京威力科創股份有限公司 基板處理裝置、模型資料產生裝置、基板處理方法、及模型資料產生方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010037472A2 (en) * 2008-09-30 2010-04-08 Asml Netherlands B.V. Method and system for determining a lithographic process parameter
US20130080984A1 (en) * 2011-09-23 2013-03-28 Kla-Tencor Corporation Process aware metrology
TW201539116A (zh) * 2014-03-03 2015-10-16 Taiwan Semiconductor Mfg Co Ltd 用於具焦點監測與控制之微影製程之方法及結構

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100337600B1 (ko) 2000-04-06 2002-05-22 윤종용 노광 시간 조절 시스템
US6625512B1 (en) 2000-07-25 2003-09-23 Advanced Micro Devices, Inc. Method and apparatus for performing final critical dimension control
US6891627B1 (en) * 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
JP2002270482A (ja) 2001-03-06 2002-09-20 Toshiba Corp 露光工程の制御方法、露光工程の制御装置および製造装置
US7265382B2 (en) * 2002-11-12 2007-09-04 Applied Materials, Inc. Method and apparatus employing integrated metrology for improved dielectric etch efficiency
JP2005197362A (ja) 2004-01-05 2005-07-21 Toshiba Corp 露光処理システムおよび露光処理方法
US20050185174A1 (en) * 2004-02-23 2005-08-25 Asml Netherlands B.V. Method to determine the value of process parameters based on scatterometry data
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7478019B2 (en) * 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7530048B2 (en) * 2005-04-09 2009-05-05 Cadence Design Systems, Inc. Defect filtering optical lithography verification process
US7916284B2 (en) * 2006-07-18 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
NL1036516A1 (nl) 2008-03-05 2009-09-08 Asml Netherlands Bv Lithographic apparatus and method.
US7974723B2 (en) 2008-03-06 2011-07-05 Applied Materials, Inc. Yield prediction feedback for controlling an equipment engineering system
NL2003806A (en) 2008-12-15 2010-06-16 Asml Netherlands Bv Method for a lithographic apparatus.
NL2003919A (en) * 2008-12-24 2010-06-28 Asml Netherlands Bv An optimization method and a lithographic cell.
NL2005162A (en) 2009-07-31 2011-02-02 Asml Netherlands Bv Methods and scatterometers, lithographic systems, and lithographic processing cells.
CN102483582B (zh) 2009-08-24 2016-01-20 Asml荷兰有限公司 量测方法和设备、光刻设备、光刻处理单元和包括量测目标的衬底
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
WO2014065269A1 (ja) 2012-10-24 2014-05-01 東京エレクトロン株式会社 補正値算出装置、補正値算出方法及びコンピュータプログラム
JP2014192162A (ja) 2013-03-26 2014-10-06 Renesas Electronics Corp 半導体集積回路装置の製造方法
NL2012872A (en) * 2013-06-12 2014-12-15 Asml Netherlands Bv Method of determining critical-dimension-related properties, inspection apparatus and device manufacturing method.
US10401279B2 (en) * 2013-10-29 2019-09-03 Kla-Tencor Corporation Process-induced distortion prediction and feedforward and feedback correction of overlay errors
US10466596B2 (en) * 2014-02-21 2019-11-05 Kla-Tencor Corporation System and method for field-by-field overlay process control using measured and estimated field parameters
JP6393397B2 (ja) * 2014-06-30 2018-09-19 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置の照射線量決定方法、検査装置およびデバイス製造方法
US10151986B2 (en) * 2014-07-07 2018-12-11 Kla-Tencor Corporation Signal response metrology based on measurements of proxy structures
US10430719B2 (en) * 2014-11-25 2019-10-01 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
WO2016096668A1 (en) * 2014-12-17 2016-06-23 Asml Netherlands B.V. Hotspot aware dose correction
KR102162234B1 (ko) * 2015-06-17 2020-10-07 에이에스엠엘 네델란즈 비.브이. 레시피간 일치도에 기초한 레시피 선택
WO2017063827A1 (en) * 2015-10-12 2017-04-20 Asml Netherlands B.V. Indirect determination of a processing parameter
US10962886B2 (en) * 2015-12-31 2021-03-30 Asml Netherlands B.V. Selection of measurement locations for patterning processes
US10504759B2 (en) * 2016-04-04 2019-12-10 Kla-Tencor Corporation Semiconductor metrology with information from multiple processing steps
US10475712B2 (en) * 2016-09-30 2019-11-12 Kla-Tencor Corporation System and method for process-induced distortion prediction during wafer deposition
US10768533B2 (en) * 2016-10-20 2020-09-08 Kla-Tencor Corporation Method and system for generating programmed defects for use in metrology measurements
US10281827B2 (en) * 2016-12-15 2019-05-07 Taiwan Semiconductor Manufacturing Co., Ltd Noise reduction for overlay control
US10262831B2 (en) * 2016-12-21 2019-04-16 Kla-Tencor Corporation Method and system for weak pattern quantification

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010037472A2 (en) * 2008-09-30 2010-04-08 Asml Netherlands B.V. Method and system for determining a lithographic process parameter
US20130080984A1 (en) * 2011-09-23 2013-03-28 Kla-Tencor Corporation Process aware metrology
TW201539116A (zh) * 2014-03-03 2015-10-16 Taiwan Semiconductor Mfg Co Ltd 用於具焦點監測與控制之微影製程之方法及結構

Also Published As

Publication number Publication date
TW201812443A (zh) 2018-04-01
JP6982059B2 (ja) 2021-12-17
KR102308128B1 (ko) 2021-10-05
US11733610B2 (en) 2023-08-22
US10571806B2 (en) 2020-02-25
CN109642876A (zh) 2019-04-16
KR20210028272A (ko) 2021-03-11
EP3290911A1 (en) 2018-03-07
WO2018041513A1 (en) 2018-03-08
US20240004299A1 (en) 2024-01-04
KR20190045282A (ko) 2019-05-02
JP2021073510A (ja) 2021-05-13
KR102223858B1 (ko) 2021-03-09
US20200124968A1 (en) 2020-04-23
TW201921274A (zh) 2019-06-01
JP2019530207A (ja) 2019-10-17
JP7212079B2 (ja) 2023-01-24
US20190196334A1 (en) 2019-06-27

Similar Documents

Publication Publication Date Title
TWI649614B (zh) 監控製程裝置之方法及系統及相關聯電腦程式產品
TWI721298B (zh) 度量衡方法及相關之電腦程式產品
US11506566B2 (en) Method of processing data, method of obtaining calibration data
TWI686677B (zh) 用於判定圖案化製程之參數之方法
TWI788885B (zh) 基於計算度量衡之取樣方案
TWI765277B (zh) 用於在半導體製造程序中應用沉積模型之方法
TWI749355B (zh) 用於校正圖案化程序之度量衡資料之方法及相關的電腦程式產品
TWI708116B (zh) 引導式圖案化裝置檢測
WO2017202665A1 (en) Focus and overlay improvement by modifying a patterning device
US20220404718A1 (en) Matching pupil determination
TWI646577B (zh) 監控製程裝置的方法與裝置
TWI643028B (zh) 二維或三維形狀之階層式表示
TW202032286A (zh) 用於度量衡最佳化之方法