TWI639884B - 相移式光罩及其製作方法 - Google Patents

相移式光罩及其製作方法 Download PDF

Info

Publication number
TWI639884B
TWI639884B TW106140736A TW106140736A TWI639884B TW I639884 B TWI639884 B TW I639884B TW 106140736 A TW106140736 A TW 106140736A TW 106140736 A TW106140736 A TW 106140736A TW I639884 B TWI639884 B TW I639884B
Authority
TW
Taiwan
Prior art keywords
phase
phase shift
dummy pattern
shift layer
shifting
Prior art date
Application number
TW106140736A
Other languages
English (en)
Other versions
TW201925907A (zh
Inventor
Yi-Kai Lai
賴義凱
Original Assignee
Powerchip Technology Corporation
力晶科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Powerchip Technology Corporation, 力晶科技股份有限公司 filed Critical Powerchip Technology Corporation
Priority to TW106140736A priority Critical patent/TWI639884B/zh
Priority to CN201711306818.6A priority patent/CN109828432B/zh
Priority to US15/928,059 priority patent/US20190155142A1/en
Application granted granted Critical
Publication of TWI639884B publication Critical patent/TWI639884B/zh
Publication of TW201925907A publication Critical patent/TW201925907A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本發明提供一種相移式光罩,用於在曝光製程中轉移一佈局圖。相移式光罩包括一基板與一圖案化相移層。圖案化相移層設置於基板上並具有至少一元件圖案開口與複數個虛設圖案開口,元件圖案開口與虛設圖案開口暴露出基板表面,且虛設圖案開口環設於元件圖案開口的周圍。圖案化相移層具有一預定厚度,使得曝光製程中通過圖案化相移層之曝光光束與通過元件圖案開口或者虛設圖案開口之曝光光束相位差為180度,並且圖案化相移層的光線穿透率為100%。

Description

相移式光罩及其製作方法
本發明係關於一種光罩及其製作方法,尤指一種相移式光罩及其製作方法。
一般半導體元件須經由繁複的半導體製程所完成,其中晶片上的各種電路佈局則需以複數道微影製程加以定義形成。在微影製程中,曝光的解析度(resolution)是微影品質的重要指標,而相移式光罩(phase shift mask,PSM)即是為了提高微影製程的解析度而發展出的一種光罩。然而,在習知製作相移式光罩的方法中,主要以鉬矽(MoSi)材料製作相移層,其必須包括多道蝕刻製程,在製作過程中難以避免這些蝕刻製程對基板表面或相移層造成的傷害,使得光罩上圖案的特徵尺寸(critical dimensions,CD)均勻度(uniformity)下降。此外,習知相移式光罩中的鉬矽材料的光線穿透率只有6%左右,因此解析度較低,也存在有側葉效應(side lobe effect),亦即在曝光製程中,例如接觸洞等電路圖案的鄰近處會被曝出原來佈局圖上所沒有的缺陷圖案。因此,提高微影製程解析度並減少側葉效應仍為目前業界亟待解決的問題。
本發明提供一種相移式光罩及其製作方法,以提高微影製程解析度並減少側葉效應。
本發明之實施例提供一種相移式光罩,用於在曝光製程中轉移一佈局圖。本發明提供的相移式光罩包括一基板與一圖案化相移層。圖案化相移層設置於基板上並具有至少一元件圖案開口與複數個虛設圖案開口,元件圖案開口與虛設圖案開口暴露出基板表面,且虛設圖案開口環設於元件圖案開口的周圍。其中圖案化相移層具有一預定厚度,使得曝光製程中通過圖案化相移層之曝光光束與通過元件圖案開口或者虛設圖案開口之曝光光束相位差為180度,並且圖案化相移層的光線穿透率為100%。其中該至少一元件圖案開口係對應於該佈局圖之至少一元件圖案,並且係於該曝光製程中轉移至一目標基底上。
本發明之實施例另提供一種相移式光罩的製作方法,其應用於經由一曝光製程以轉移一佈局圖,且佈局圖包括至少一元件圖案。相移式光罩的製作方法包括在一基板上形成具有一預定厚度之一相移層,然後於基板上定義出至少一預定元件區域、複數個虛設圖案區域與一背景區域,其中預定元件區域對應於佈局圖的元件圖案。接著對相移層進行一局部照射製程,以一能量束照射相移層,其中能量束照射背景區域而不照射預定元件區域與虛設圖案區域。然後圖案化相移層,移除預定元件區域與虛設圖案區域內之部分相移層並保留背景區域內之部分相移層,以於相移層中形成至少一元件圖案開口與複數個虛設圖案開口,其中元件圖案開口與虛設圖案開口暴露出基板表面。
本發明提供具有預定厚度的相移層製作相移式光罩中的圖案化相移層,且本發明相移層材料具有100%光線穿透率的特性,並搭配搭配虛設圖案開口之設計,可以有效提高微影製程的解析度,改善側葉效應問題。再者,本發明在製作相移式光罩的製程中不需進行蝕刻製程,可以避免習知光罩製作中因蝕刻製程而造成的光罩缺陷。
為使熟習本發明所屬技術領域之一般技藝者能更進一步瞭解本發明,下文特列舉本發明之較佳實施例,並配合所附圖式,詳細說明本發明的相移式光罩及其製作方法及所欲達成的功效。為了方便表示而能夠輕易了解,圖式並未以成品之實際尺寸或比例繪示,因此圖式中元件之尺寸或比例僅用以示意而並非欲以限制本發明的範圍。
請參考第1圖至第8圖,第1圖為本發明一實施例之相移式光罩欲轉移之佈局圖的示意圖,第2圖至第7圖為本發明之一實施例之相移式光罩的製作方法示意圖,其中第2圖、第4圖與第6圖為上視圖,而第3圖、第5圖與第7圖分別為沿第2圖、第4圖與第6圖之剖線A-A’繪示的剖面示意圖,而第8圖為本發明之相移式光罩的製作方法的步驟流程圖。請參考第1圖,本實施例的相移式光罩用來將佈局圖(layout)150的圖案轉移至一基底上,其中佈局圖150的元件圖案151是以接觸洞圖案為例,且本實施例的元件圖案151是以陣列形式均勻分佈在佈局圖150中,但不以此為限,佈局圖151所包含的元件圖案也可以為其他形式或具有形狀與排列方式。如第2圖、第3圖及第8圖所示,根據本發明相移式光罩的製作方法,首先進行步驟S10,提供一基板100,並在基板100上形成具有低交聯度的一相移層102。其中,基板100為透明基板,其材料可包括透明材料,例如(但不限於)石英。相移層102例如為全面形成於基板100的表面上,其形成方法可包括旋轉塗佈法(spin coating method),但不限於此。相移層102具有一預定厚度D,其材料包括一低交聯度材料(low crosslinking degree material),舉例而言,本實施例相移層102的材料為具有籠狀結構(cage-like structure)的混合有機矽氧烷聚合物(hybrid organic siloxane polymer,HOSP),其交聯程度較低,因此在下文中以低交聯度材料102L稱之。然而,相移層102的材料並不限於HOSP,在其他實施例中,相移層102的材料可包括甲基矽倍半氧化物(methylsilsesquioxane,MSQ)、氫矽倍半氧化物(hydrogen silsesquioxane,HSQ)或其他交聯材料。另一方面,於基板100上可定義出至少一預定元件區域(predetermined device region)104P、複數個虛設圖案區域(dummy pattern region)104D與一背景區域(background region)104B。在本實施例中,基板100上包括複數個預定元件區域104P,其中各預定元件區域104P的位置及形狀分別對應於第1圖佈局圖150的一元件圖案151。虛設圖案區域104D可在相鄰的預定元件區域104P之間或預定元件區域104P外的區域規律性地成陣列方式排列,本實施例中的虛設圖案區域104D分別為四邊等長正方形區域,但不以此為限。背景區域104B是指基板100上預定元件區域104P與虛設圖案區域104D以外的部分,亦即在製作完光罩後不具有圖案開口的部分。需特別注意的是,虛設圖案區域104D並未包括在佈局圖150中,而是根據本發明的相移式光罩製作方式所額外設置。
如第4圖與第5圖所示,接著進行步驟S12,對相移層102進行一局部照射製程,以一能量束(energy beam)106照射相移層102,其中能量束106僅照射背景區域104B而不照射預定元件區域104P與虛設圖案區域104D,使得被能量束106照射到的背景區域104B之低交聯度材料102L產生結構變化。在本實施例中,籠狀結構的HOSP在受到能量束106照射後會具有網狀結構(network structure),其交聯程度較高,因此在下文中以高交聯度材料(high crosslinking degree material)102H稱之。換言之,經局部照射製程之後,背景區域104B內的相移層102會形成具有網狀結構的高交聯度材料102H,而預定元件區域104P與虛設圖案區域104D內的相移層102仍為具有籠狀結構的低交聯度材料102L。在本實施例中,低交聯度材料102L與高交聯度材料102H的光線穿透率均為100%。此外,本實施例中之能量束106可例如為電子束(electron beam),而局部照射製程可例如為電子束照射製程,但不限於此。
接著如第6圖與第7圖所示,進行步驟S14,對相移層102進行一圖案化製程108,移除預定元件區域104P與虛設圖案區域104D內未被照射之部分相移層102,並保留背景區域內104B被照射之部分相移層102,亦即移除預定元件區域104P與虛設圖案區域104D內之低交聯度材料102L並保留背景區域104B內之高交聯度材料102H,以於相移層102中形成複數個元件圖案開口110與複數個虛設圖案開口112,暴露出基板100的表面,藉此形成一圖案化相移層114,且圖案化相移層114具有預定厚度D。元件圖案開口110與虛設圖案開口112分別對應上述的預定元件區域104P與虛設圖案區域104D的圖案形成,換言之,元件圖案開口110對應於佈局圖150的元件圖案151,用來在曝光製程中將元件圖案開口110轉移至一目標基底上。如前所述,佈局圖150中並不包括對應虛設圖案開口112的圖案,亦即虛設圖案開口112是本發明額外設計設置於相移式光罩中,以提升曝光製程(exposure process)的品質,虛設圖案開口112不會在曝光製程中被轉移至目標基底上。根據本實施例,虛設圖案開口112成陣列方式設置於圖案化相移層114中並環設於各元件圖案開口110周圍,其中各元件圖案開口110與虛設圖案開口112之間的距離大於0微米,亦即各元件圖案開口110與虛設圖案開口112彼此相隔而具有一定的距離。再者,虛設圖案開口112之間的距離d1小於或等於虛設圖案開口112的尺寸w1,例如為虛設圖案開口112的長、寬或直徑。舉例而言,虛設圖案開口112的尺寸w1小於或等於一微影設備(lithographic apparatus,例如曝光機台)的解析極限(resolution limit)。本實施例中的元件圖案開口110與虛設圖案開口112的圖案以矩形作為範例,但不以此為限。在其他實施例中,元件圖案開口110與虛設圖案開口112的圖案可分別依不同需求而具不同的形狀,例如可以其中一者或兩者皆為圓形。
在本實施例中,圖案化製程108可例如為一顯影製程,並可藉由溶劑移除低交聯度材料102L並保留高交聯度材料102H。舉例而言,當相移層102的材料為HOSP時,顯影製程中所使用的溶劑可為乙酸正丙酯(propyl acetate)。在其他實施例中,當相移層102的材料為MSQ時,可選擇酒精作為溶劑,而當相移層102的材料為HSQ時,可選擇氫氧化四甲基銨(TMAH)作為溶劑。根據以上說明可知,藉由本實施例的方法可簡易地製作出一相移式光罩10。
因此,根據前述的方法可製作出本發明之相移式光罩10,其中相移式光罩10包括基板100與圖案化相移層114。圖案化相移層114設置於基板100上並具有至少一元件圖案開口110與複數個虛設圖案開口112,其中元件圖案開口110與虛設圖案開口112暴露出基板100的表面。本實施例之圖案化相移層114具有複數個元件圖案開口110,虛設圖案開口112環設於各元件圖案開口110周圍,其中虛設圖案開口112的尺寸小於或等於微影設備的極限。圖案化相移層114的材料包括高交聯度材料102H,且高交聯度材料H包括HOSP、MSQ或HSQ,但不以此為限。
請參考第9圖與第10圖,第9圖為本發明實施例將相移式光罩應用於曝光製程的示意圖,其中相移式光罩10為沿第6圖之剖線A-A’繪示的剖面示意圖,而第10圖為本發明實施例之相移式光罩的曝光成效示意圖。如前所述,本實施例的相移式光罩10可應用於曝光製程中,以用於將第1圖中的佈局圖150轉移至一目標基底200上。本實施例的目標基底200舉例為矽晶圓(silicon wafer),但不限於此。詳細而言,目標基底200表面可具有一光阻層202,相移式光罩10上的佈局圖150可先藉由曝光、顯影及烘烤轉移至光阻層202上,之後可再藉由蝕刻將佈局圖150轉移至目標基底200上。本實施例之相移式光罩10包括基板100與圖案化相移層114。圖案化相移層114設置於基板100上並具有至少一元件圖案開口110與複數個虛設圖案開口112,其中元件圖案開口110與虛設圖案開口112暴露出基板100的表面,且虛設圖案開口112的尺寸小於或等於微影設備的極限。需注意的是,圖案化相移層114的材料包括光線穿透率為100%的高交聯度材料102H,其材料例如(但不限於)包括HOSP、MSQ或HSQ。如第9圖所示,在本實施例之相移式光罩10中,位於兩元件圖案開口110之間的高交聯度材料102H與虛設圖案開口112交替設置。在一實例中,當微影製程所使用的曝光光束L的波長為193奈米時,本實施例的HOSP的厚度為約183.3奈米,而HOSP的折射係數(refractive index)為約1.525。藉此,在進行微影製程(如曝光製程)時,光束L從基板100相反於圖案化相移層114的一側向下照射並穿透相移式光罩10,其中光束L通過圖案化相移層114之高交聯度材料102H(或可視為背景區域104B之高交聯度材料102H)及通過元件圖案開口110或虛設圖案開口112之相位差可為180度。舉例而言,當光束L通過高交聯度材料102H的相位為0度時,則光束L通過元件圖案開口110或虛設圖案開口112的相位為180度,反之亦然。由於相位角與相移層的折射係數、相移層的厚度、以及微影製程的曝光光束波長有關,因此相移層114的厚度(即前述的預定厚度D)必須符合以下關係式: P = 2π*(n-1) *d /λ;其中P為相位角,n為相移層114的折射係數,d為相移層114的厚度,λ為微影製程的曝光光束波長。
在第10圖中,(a)圖表繪示出光束L經過第9圖之相移式光罩10後於目標基底200上的振幅分佈,而(b)圖表繪示出光束L經過第9圖之相移式光罩10後於目標基底200上的強度分佈。如(a)圖表所示,振幅分佈A1對應於通過元件圖案開口110的光束L,振幅分佈A2對應於通過虛設圖案開口112的光束L,而振幅分佈A3對應於通過高交聯度材料102H的光束L。根據上述說明,通過高交聯度材料102H之光束L與通過元件圖案開口110或通過虛設圖案開口112的光束L之間的相位差為180度,其中(a)圖表以正值表示振幅分佈A1與振幅分佈A2,以負值表示振幅分佈A3,因此通過高交聯度材料102H之光束L分別會與通過元件圖案開口110及通過虛設圖案開口112的光束L產生破壞性干涉(destructive interference),其結果如(b)圖表所示,通過高交聯度材料102H之光束L與通過虛設圖案開口112的光束L在目標基底200上的強度大體上皆為0,而在目標基底200上則僅有對應於元件圖案開口110的光束L之強度分佈I存在。換言之,光阻層202實際上僅受到通過元件圖案開口110的光束L照射。值得注意的是,通過元件圖案開口110的光束L之強度分佈I相較通過元件圖案開口110的光束L之振幅分佈A1銳利(sharp),例如強度分佈I的寬度小於振幅分佈A1的寬度,且圖形更加陡峭,因此可提升曝光的解析度。另一方面,透過振幅分佈A1、振幅分佈A2與振幅分佈A3彼此之間的破壞性干涉也可有效抑制側葉效應,以提升微影製程的良率或品質。
本實施例的相移式光罩10可應用於佈局圖包括孤立區(isolation region)、半密集區(semi-dense region)或密集區(dense region)的元件圖案。根據模擬的結果,相較於習知的相移式光罩,本實施例的相移式光罩10在形成孤立區、半密集區及密集區的元件圖案時,正規化影像對數斜率(normalized image log-slope,NILS)分別提升9.09%、7.33%及14.29%,而在5%曝光寬容度(exposure latitude,EL)下之聚焦深度(depth of focus,DOF)則分別提升33.33%、15.38%及133.33%。此外,在利用相移式光罩10形成孤立區、半密集區及密集區的元件圖案中皆未發現側葉效應,而在同樣條件下,使用傳統以鉬矽材料製作光線穿透率6%的相移式光罩時,形成孤立區與半密集區的元件圖案時都會發生側葉效應。換言之,相較於習知的相移式光罩,本實施例的相移式光罩10可提升曝光製程的條件寬容度(condition window)。
此外,雖然本實施例的相移式光罩10是以用來形成接觸洞圖案為例,但其亦可用於形成佈局圖中其他種類的圖案,例如邏輯電路(logic circuit)中的邏輯胞(logic cell),且不限於此。本實施例的相移式光罩10不僅可應用於半導體晶圓(semiconductor wafer)上以生產半導體元件,亦可應用於玻璃(glass)基板、高分子(polymer)基板或是石英(quartz)基板以生產其他種類的元件。再者,雖然本實施例之元件圖案開口110與虛設圖案開口112係利用第6圖之排列方式來說明,但任何呈規律性與交錯排列之元件圖案開口110與虛設圖案開口112的設計,以及高交聯度材料102H的利用,均包含在本發明範圍之內。
綜上所述,本發明之相移式光罩及其製造方法提供具有預定厚度的相移層製作相移式光罩中的圖案化相移層,且本發明相移層材料具有100%光線穿透率的特性,並搭配虛設圖案開口之設計,藉此利用100%的光線穿透率以及破壞性干涉提升曝光的解析度可改善側葉效應問題,有效提高微影製程的解析度。此外,本發明之相移式光罩的製造方法以交聯材料作為相移層材料,僅需以能量束照射交聯材料使其產生結構改變,不需包括蝕刻製程,使得基板表面或相移層並不會因蝕刻製程而造成傷害,以提升光罩上圖案的特徵尺寸均勻度。另一方面,本發明之相移式光罩並不需要形成鉻膜(chrome film)於其上。換言之,相較於習知的相移式光罩,本發明之相移式光罩的製作方法較為簡易,並可節省製作時間與成本。 以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。
10‧‧‧相移式光罩
100‧‧‧基板
102‧‧‧相移層
102L‧‧‧低交聯度材料
102H‧‧‧高交聯度材料
104B‧‧‧背景區域
104D‧‧‧虛設圖案區域
104P‧‧‧預定元件區域
106‧‧‧能量束
108‧‧‧圖案化製程
110‧‧‧元件圖案開口
112‧‧‧虛設圖案開口
114‧‧‧圖案化相移層
150‧‧‧佈局圖
151‧‧‧元件圖案
200‧‧‧目標基底
202‧‧‧光阻層
A1~A3‧‧‧振幅分佈
D‧‧‧預定厚度
d1‧‧‧距離
I‧‧‧強度分佈
L‧‧‧光束
w1‧‧‧尺寸
第1圖為本發明一實施例之相移式光罩欲轉移之佈局圖的示意圖。 第2圖至第7圖為本發明之一實施例之相移式光罩的製作方法示意圖。 第8圖為本發明之相移式光罩的製作方法的步驟流程圖。 第9圖為本發明實施例將相移式光罩應用於曝光製程的示意圖。 第10圖為本發明實施例之相移式光罩的曝光成效示意圖。

Claims (17)

  1. 一種相移式光罩,用於一曝光製程中轉移一佈局圖(layout),包括:一基板;以及一圖案化相移層,設置於該基板上,該圖案化相移層具有至少一元件圖案開口(device pattern aperture)與複數個虛設圖案開口(dummy pattern aperture),該至少一元件圖案開口與該等虛設圖案開口暴露出該基板表面,且該等虛設圖案開口環設於該至少一元件圖案開口的周圍,其中該圖案化相移層具有一預定厚度,使得該曝光製程中通過該圖案化相移層之曝光光束與通過該元件圖案開口或者虛設圖案開口之曝光光束相位差為180度,並且該圖案化相移層的光線穿透率為100%;其中該至少一元件圖案開口係對應於該佈局圖之至少一元件圖案,並且係於該曝光製程中轉移至一目標基底上。
  2. 如請求項1所述之相移式光罩,其中該至少一元件圖案開口與該等虛設圖案開口之間的距離大於0微米。
  3. 如請求項1所述之相移式光罩,其中該等虛設圖案開口之間的距離小於或等於該等虛設圖案開口的寬度。
  4. 如請求項1所述之相移式光罩,其中該等虛設圖案開口的尺寸小於或等於一微影設備的解析極限(resolution limit)。
  5. 如請求項1所述之相移式光罩,其中該等虛設圖案開口成陣列方式設置於該圖案化相移層中。
  6. 如請求項1所述之相移式光罩,其中該圖案化相移層之該預定厚度符合以下關係式:P=2 π *(n-1)*d/λ;其中P為相位角,n為該圖案化相移層折射係數,d為該預定厚度,λ為該曝光製程的曝光光束波長。
  7. 如請求項1所述之相移式光罩,其中該等虛設圖案開口不會於該曝光製程中轉移至該目標基底上。
  8. 一種相移式光罩的製作方法,該相移式光罩應用於經由一曝光製程以轉移一佈局圖,且該佈局圖包括至少一元件圖案,該製作方法包括:在一基板上形成具有一預定厚度之一相移層,於該基板上定義出至少一預定元件區域(predetermined device region)、複數個虛設圖案區域(dummy pattern region)與一背景區域(background region),其中該至少一預定元件區域對應於該佈局圖的該至少一元件圖案;對該相移層進行一局部照射製程,以一能量束(energy beam)照射該相移層,其中該能量束照射該背景區域而不照射該至少一預定元件區域與該等虛設圖案區域;以及圖案化該相移層,移除該至少一預定元件區域與該等虛設圖案區域內未被照射之部分該相移層,並保留該背景區域內被照射之部分該相移層,以於該相移層中形成至少一元件圖案開口與複數個虛設圖案開口,其中該至少一元件圖案開口與該等虛設圖案開口暴露出該基板表面,且該圖案化該相移層之步驟包括進行一顯影製程。
  9. 如請求項8所述之相移式光罩的製作方法,其中該局部照射製程為電子束照射製程。
  10. 如請求項8所述之相移式光罩的製作方法,其中該等虛設圖案開口環設於該至少一元件圖案開口的周圍,且該至少一元件圖案開口與該等虛設圖案開口之間的距離大於0微米。
  11. 如請求項8所述之相移式光罩的製作方法,其中該等虛設圖案開口之間的距離小於或等於該等虛設圖案開口的寬度。
  12. 如請求項8所述之相移式光罩的製作方法,其中該等虛設圖案開口的尺寸小於或等於一微影設備之解析極限。
  13. 如請求項8所述之相移式光罩的製作方法,其中該等虛設圖案開口成陣列方式排列。
  14. 如請求項8所述之相移式光罩的製作方法,其中該相移層的光線穿透率為100%。
  15. 如請求項8所述之相移式光罩的製作方法,其中該曝光製程中通過該背景區域之該相移層之曝光光束與通過該至少一元件圖案開口或者通過該等虛設圖案開口之曝光光束相位差為180度。
  16. 如請求項15所述之相移式光罩的製作方法,其中該相移層之該預定厚度符合以下關係式:P=2 π *(n-1)*d/λ;其中P為相位角,n為該相移層折射係數,d為該預定厚度,λ為該曝光製程的曝光光束波長。
  17. 如請求項8所述之相移式光罩的製作方法,其中該顯影製程中所使用的溶劑包括乙酸丙酯(propyl acetate)。
TW106140736A 2017-11-23 2017-11-23 相移式光罩及其製作方法 TWI639884B (zh)

Priority Applications (3)

Application Number Priority Date Filing Date Title
TW106140736A TWI639884B (zh) 2017-11-23 2017-11-23 相移式光罩及其製作方法
CN201711306818.6A CN109828432B (zh) 2017-11-23 2017-12-11 相移式光掩模及其制作方法
US15/928,059 US20190155142A1 (en) 2017-11-23 2018-03-21 Phase shift mask and fabrication method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW106140736A TWI639884B (zh) 2017-11-23 2017-11-23 相移式光罩及其製作方法

Publications (2)

Publication Number Publication Date
TWI639884B true TWI639884B (zh) 2018-11-01
TW201925907A TW201925907A (zh) 2019-07-01

Family

ID=65034123

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106140736A TWI639884B (zh) 2017-11-23 2017-11-23 相移式光罩及其製作方法

Country Status (3)

Country Link
US (1) US20190155142A1 (zh)
CN (1) CN109828432B (zh)
TW (1) TWI639884B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021040733A1 (en) 2019-08-30 2021-03-04 Siemens Industry Software Inc. Semiconductor layout context around a point of interest
US11017147B2 (en) 2019-08-30 2021-05-25 Siemens Industry Software Inc. Edge-based camera for characterizing semiconductor layout designs

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200425233A (en) * 2003-02-21 2004-11-16 Canon Kk Mask and its manufacturing method, exposure, and device fabrication method
CN1627185A (zh) * 2003-12-08 2005-06-15 台湾积体电路制造股份有限公司 无铬膜层相位移光罩及其制造方法与制造半导体装置方法
TWI545390B (zh) * 2013-08-21 2016-08-11 大日本印刷股份有限公司 遮罩毛胚、附有負型阻劑膜之遮罩毛胚、相位移遮罩及使用其之圖案形成體之製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08279452A (ja) * 1995-03-16 1996-10-22 Lg Semicon Co Ltd 位相シフトマスクの製造方法
JP3738234B2 (ja) * 2002-04-30 2006-01-25 松下電器産業株式会社 フォトマスク、その作成方法、及びそのフォトマスクを用いたパターン形成方法
US7063923B2 (en) * 2002-07-11 2006-06-20 United Electronics Corp. Optical proximity correction method
CN1904728A (zh) * 2005-07-26 2007-01-31 联华电子股份有限公司 以相同能量的两次曝光曝出密集及孤立接触洞图案的方法
TWI314245B (en) * 2006-04-28 2009-09-01 Promos Technologies Inc Phase shifting mask capable of reducing the optical proximity effect and method for preparing a semiconductor device using the same
CN101276728A (zh) * 2007-03-30 2008-10-01 南亚科技股份有限公司 图案转移的方法
JP2010217345A (ja) * 2009-03-13 2010-09-30 Sharp Corp パターンレイアウト、ダミーパターンレイアウトの作製方法、フォトマスク、露光転写方法及び半導体装置の製造方法
CN103454850B (zh) * 2013-09-24 2015-05-27 北京京东方光电科技有限公司 掩膜板及隔垫物制作方法
TWI585510B (zh) * 2016-02-19 2017-06-01 力晶科技股份有限公司 相移式光罩及其製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200425233A (en) * 2003-02-21 2004-11-16 Canon Kk Mask and its manufacturing method, exposure, and device fabrication method
CN1627185A (zh) * 2003-12-08 2005-06-15 台湾积体电路制造股份有限公司 无铬膜层相位移光罩及其制造方法与制造半导体装置方法
TWI545390B (zh) * 2013-08-21 2016-08-11 大日本印刷股份有限公司 遮罩毛胚、附有負型阻劑膜之遮罩毛胚、相位移遮罩及使用其之圖案形成體之製造方法

Also Published As

Publication number Publication date
US20190155142A1 (en) 2019-05-23
CN109828432A (zh) 2019-05-31
CN109828432B (zh) 2022-09-06
TW201925907A (zh) 2019-07-01

Similar Documents

Publication Publication Date Title
KR101147194B1 (ko) 콘택 홀 제조방법 및 시스템
KR20050031952A (ko) 포토마스크, 포토마스크의 제조 방법, 및 그 포토마스크를이용한 반도체 장치의 제조 방법
KR20150016476A (ko) 노광 장치
TWI639884B (zh) 相移式光罩及其製作方法
US9829786B2 (en) PSM blank for enhancing small size CD resolution
KR100950481B1 (ko) 포토마스크를 이용한 홀 타입 패턴 형성방법
KR101080008B1 (ko) 하드마스크용 원판 및 이를 이용한 하드마스크 제조방법
US20070254218A1 (en) Phase shifting mask capable of reducing the optical proximity effect and method for preparing semiconductor devices using the same
KR20100089503A (ko) 반도체 소자 패턴 및 이를 이용한 패턴 선폭 측정 방법
JP2693805B2 (ja) レチクル及びこれを用いたパターン形成方法
US8324106B2 (en) Methods for fabricating a photolithographic mask and for fabricating a semiconductor integrated circuit using such a mask
KR20120054467A (ko) 크롬리스 위상변이마스크의 제조방법
US8003303B2 (en) Intensity selective exposure method and apparatus
KR20100042468A (ko) 반도체 소자의 형성 방법
US7445159B2 (en) Dual trench alternating phase shift mask fabrication
Pelka et al. Simulation and optimization of phase-shift masks for printing of contact holes
US20180348625A1 (en) Photomask and manufacturing method thereof
TWI269934B (en) Mask for improving lithography performance by using multi-transmittance photomask
US20100136466A1 (en) Exposure mask and method for manufacturing semiconductor device using the same
KR20110077956A (ko) 패턴의 임계치수 불균일을 개선한 포토마스크
KR101057197B1 (ko) 위상반전마스크 제조방법
JP3207913B2 (ja) 位相シフトフォトマスクの製造方法
KR101057184B1 (ko) 포토마스크의 제조방법
KR100771550B1 (ko) 포토마스크 및 그 형성방법
KR20080098789A (ko) 하프톤 위상반전마스크 및 그 제조방법