TWI639204B - Semiconductor device manufacturing method, recording medium, and substrate processing device - Google Patents

Semiconductor device manufacturing method, recording medium, and substrate processing device Download PDF

Info

Publication number
TWI639204B
TWI639204B TW105143576A TW105143576A TWI639204B TW I639204 B TWI639204 B TW I639204B TW 105143576 A TW105143576 A TW 105143576A TW 105143576 A TW105143576 A TW 105143576A TW I639204 B TWI639204 B TW I639204B
Authority
TW
Taiwan
Prior art keywords
processing
data
evaluation
substrate
evaluation data
Prior art date
Application number
TW105143576A
Other languages
English (en)
Other versions
TW201822287A (zh
Inventor
中山雅則
寺崎正
Original Assignee
日商日立國際電氣股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商日立國際電氣股份有限公司 filed Critical 日商日立國際電氣股份有限公司
Publication of TW201822287A publication Critical patent/TW201822287A/zh
Application granted granted Critical
Publication of TWI639204B publication Critical patent/TWI639204B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3476Testing and control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Abstract

本發明之課題,在於提高每個基板之處理均勻性。
本發明具有:根據第1處理設定使處理裝置動作而處理基板之程序;於使處理進行時取得處理裝置之裝置資料之程序;根據對應於第1處理設定之評估係數與裝置資料來生成處理裝置之第1評估資料之程序;根據第1評估資料,判定處理裝置可執行之配方項目之程序;以及通知配方項目之程序。

Description

半導體裝置之製造方法、記錄媒體及基板處理裝置
本揭示內容關於記錄媒體、程式、半導體裝置之製造方法及基板處理裝置。
伴隨著由大型積體電路(Large Scale Integrated Circuit:以下稱為LSI)、動態隨機存取記憶體(DRAM;Dynamic Random Access Memory)、快閃記憶體(Flash Memory)等所代表之半導體裝置之高積體化,電路圖案與製造過程所形成之構造物之微細化進一步發展。於進行半導體裝置之製造步驟之一步驟之基板處理裝置中,利用已積存之監測資料進行錯誤偵測與分類(FDC;Fault Detction & Classification),確認裝置之健全性,利用警報通知該異常,藉此進行生產不良之防止。例如,於專利文獻1中所揭示。
[先前技術文獻] [專利文獻]
[專利文獻1]日本專利特開2012-216697
存在有如下之問題:即便各個裝置之監視器值在基準值之範圍內,亦會因裝置之個體差而使每個基板之處理結果不均勻。
因此,本揭示內容提供一種可提高每個基板之處理均勻性之技術。
根據一態樣,可提供一種技術,其具有:根據第1處理設定使處理裝置動作而處理基板之程序;於使處理進行時取得處理裝置之裝置資料之程序;根據對應於第1處理設定之評估係數與裝置資料來生成處理裝置之第1評估資料之程序;根據第1評估資料,判定可由處理裝置執行之配方項目之程序;以及,通知配方項目之程序。
根據本揭示內容之技術,可提高每個基板之處理均勻性。
100‧‧‧處理裝置(腔室)
100a、100b、100c、100d、100e、100f、100g、100h‧‧‧腔室
110、110a~110d‧‧‧製程模組(PM)
113‧‧‧第1氣體供給源
113a‧‧‧第1氣體(處理氣體)供給管
115(115a、115b、115c、115d)、125(125a、125b、125c、125d)、135(135a、135b、135c、135d)、1530‧‧‧MFC
116(116a、116b、116c、116d)、126(126a、126b、126c、126d)、136(136a、136b、136c、136d)、1540‧‧‧閥
123‧‧‧第2氣體供給源
123a‧‧‧第2氣體(處理氣體)供給管
124‧‧‧遠距電漿單元(RPU)
133‧‧‧淨化氣體供給源
133a‧‧‧淨化氣體供給管
140a‧‧‧氣體供給管集合部
150a(150x)‧‧‧氣體供給管
180‧‧‧氣化器
200‧‧‧晶圓(基板)
201‧‧‧處理室
202‧‧‧處理容器
202a‧‧‧上部容器
202b‧‧‧下部容器
203‧‧‧移載空間(移載室)
204‧‧‧分隔部
207‧‧‧升降銷
211、1311a、1311b‧‧‧載置面
212‧‧‧基板載置台
213‧‧‧加熱器
214‧‧‧貫通孔
217‧‧‧軸
218‧‧‧升降部
219‧‧‧波紋管
221‧‧‧第1排氣口
223、1630‧‧‧真空泵
224a‧‧‧排氣管
227、227a、228、1620‧‧‧壓力調整器
231、1120‧‧‧蓋
232‧‧‧緩衝室
233‧‧‧絕緣區塊
234‧‧‧簇射頭
235‧‧‧氣體導件
241‧‧‧氣體導入口
244‧‧‧第1電極
251‧‧‧整合器
252‧‧‧高頻電源
254‧‧‧阻抗計
256‧‧‧偏壓電極
257‧‧‧偏壓調整部
260‧‧‧控制器
260a‧‧‧中央處理單元
260b‧‧‧隨機存取記憶體
260c‧‧‧儲存裝置
260d‧‧‧I/O埠
260e‧‧‧內部匯流排
262‧‧‧外部儲存裝置
263‧‧‧網路
285‧‧‧信號收發部
400‧‧‧溫度測量部
500‧‧‧上位裝置
1000‧‧‧基板處理系統
1001‧‧‧晶圓盒
1100‧‧‧IO平台
1200‧‧‧大氣搬送室
1210‧‧‧晶圓盒開啟器
1220‧‧‧大氣搬送機器人
1230、1450‧‧‧升降機
1240‧‧‧線性致動器
1250‧‧‧潔淨單元
1260‧‧‧裝置
1270、1310、1410‧‧‧框體
1280、1290、1340、1420、1480、1480a‧‧‧基板搬出入口
1300‧‧‧負載鎖定(L/L)室
1320‧‧‧基板載置台
1330、1350、1490、1490a、1490b、1490c、1490d、1490e、1490f、1490g、1490h‧‧‧閘閥(GV)
1400‧‧‧真空搬送室
1430‧‧‧凸緣
1460‧‧‧惰性氣體供給孔
1470‧‧‧排氣孔
1481‧‧‧第2排氣口
1482‧‧‧排氣管
1500‧‧‧惰性氣體供給部
1510‧‧‧惰性氣體供給管
1520‧‧‧惰性氣體源
1600‧‧‧氣體排氣部
1610‧‧‧排氣管
1620‧‧‧自動壓力控制器
1630‧‧‧泵
1700‧‧‧真空搬送機器人
1800、1900‧‧‧臂
2040a‧‧‧間隔壁
圖1係一實施形態之基板處理系統之橫剖面之概略圖。
圖2係一實施形態之基板處理系統之縱剖面之概略圖。
圖3係一實施形態之製程模組之氣體供給系統與氣體排氣系統之概略圖。
圖4係一實施形態之基板處理裝置之概略構成圖。
圖5係一實施形態之控制器之概略構成圖。
圖6係一實施形態之配方之判定步驟之流程圖。
圖7係一實施形態之每個步驟之評估係數表例。
圖8係一實施形態之每個步驟之評估資料例。
圖9係一實施形態之可根據評估結果進行選擇之配方例。
圖10係重複進行複數次一實施形態之步驟之情形時之評估資料例。
圖11係另一實施形態之評估係數之變更流程圖之例。
圖12係另一實施形態之評估係數之變更表例。
以下,對本揭示內容之實施形態進行說明。
<一實施形態>
以下,根據圖式對本揭示內容一實施形態進行說明。
以下,對本實施形態之基板處理系統進行說明。
(1)基板處理系統之構成
使用圖1、圖2、圖3對一實施形態之基板處理系統之概要構成進行說明。圖1係表示本實施形態之基板處理系統之構成例之橫剖面圖。圖2係表示本實施形態之基板處理系統之構成例之圖1之α-α'之縱剖面圖。圖3係圖1之β-β'之縱剖面圖,且為說明供給至製程模組之氣體供給系統與排氣系統之說明圖。
於圖1及圖2中,應用本揭示內容之基板處理系統1000係對晶圓200進行處理者,主要由IO平台1100、大氣搬送室1200、負載鎖定(L/L)室1300、真空搬送室1400、作為處理裝置之製程模組(PM)110所構成。其次,對各構成具體地進行說明。於圖1之說明中,前後左右係將X1方向設為右,將X2方向設為左,將Y1方向設為前,並將Y2方向設為後。
(大氣搬送室、IO平台)
於基板處理系統1000之近前,設置有IO平台(負載埠)1100。於IO平台1100上搭載有複數個晶圓盒1001。晶圓盒1001係作為搬送矽(Si)基板等之晶圓200之載體而使用,且被構成為於晶圓盒1001內分別以水平姿勢貯存有複數個未處理之基板(晶圓)200或已處理之晶圓200。
於晶圓盒1001設置有蓋1120,並藉由晶圓盒開啟器(Pod Opener:PO)1210所開閉。PO 1210係藉由將被載置於IO平台1100之晶圓盒1001之蓋1120開閉,使基板搬出入口開放、關閉,而可實現晶圓200相對於晶圓盒1001之搬出入。晶圓盒1001藉由未圖示之步驟內搬送裝置(RGV),可相對於IO平台1100被供給及排出。
IO平台1100鄰接於大氣搬送室1200。大氣搬送室1200於與IO平台1100不同之面,連結有後述之負載鎖定室1300。
於大氣搬送室1200內設置有移載晶圓200之作為第1搬送機器人之大氣搬送機器人1220。如圖2所示,大氣搬送機器人1220係以藉由被設置於大氣搬送室1200之升降機1230進行升降之方式所構成,並且以藉由線性致動器1240朝左右方向往返移動之方式所構成。
如圖2所示,於大氣搬送室1200之上部設置有供給潔淨空氣之潔淨單元1250。又,如圖1所示,於大氣搬送室1200之左側設置有將被形成於晶圓200之缺口(notch)或定向平面對準之裝置(以下,稱為預對準器)1260。
如圖1及圖2所示,於大氣搬送室1200之框體1270之前側,設置有用以將晶圓200相對於大氣搬送室1200進行搬入搬出之基板搬出入口1280及PO 1210。於隔著基板搬出入口1280而與PO 1210之相反側、即框體1270之外側,設置有IO平台1100。
於大氣搬送室1200之框體1270之後側,設置有用以將晶圓200相對於負載鎖定室1300搬入搬出之基板搬出入口1290。基板搬出入口1290可藉由利用後述之閘閥1330來開放、關閉,而進行晶圓200之搬出入。
(負載鎖定(L/L)室)
負載鎖定室1300鄰接於大氣搬送室1200。於構成L/L室1300之框體1310所具有之面中與大氣搬送室1200不同之面,如後所述,配置有真空搬送室1400。L/L室1300由於框體1310內之壓力配合大氣搬送室1200之壓力與真空搬送室1400之壓力而變動,因此被構成為可耐負壓之構造。
於框體1310中與真空搬送室1400鄰接之側,設置有基板搬出入口1340。基板搬出入口1340可藉由利用閘閥(GV)1350來開放、關閉,而進行晶圓200之搬出入。
此外,於L/L室1300內,設置有至少具有兩個載置晶圓200之載置面1311(1311a、1311b)之基板載置台1320。基板載置面1311間之距離,係根據後述之真空搬送機器人1700所具有指(finger)間之距離所設定。
(真空搬送室)
基板處理系統1000具備有作為成為在負壓下搬送晶圓200之搬送空間之搬送室之真空搬送室(轉移模組:TM)1400。構成TM 1400之框體1410係形成為以俯視時呈五邊形,於五邊形之各邊連結有L/L室1300及處理晶圓200之製程模組(PM)110a~110d。於TM 1400之大致中央部,作為於負壓下移載(搬送)晶圓200之第2搬送機器人之真空搬送機器人1700係將凸緣1430作為基部而設置。再者,此處雖將真空搬送室1400以五邊形之例來顯示,但亦可為四邊形或六邊形等之多邊形。
於框體1410之側壁中與L/L室1300鄰接之側,設置有基板搬出入口1420。基板搬出入口1420可藉由利用GV1350來開放、關閉,而進行晶圓200之搬出入。
被設置於TM 1400內之真空搬送機器人1700,如圖2所示,以藉由升降機1450及凸緣1430可一邊維持TM 1400之氣密性一邊進行升 降之方式所構成。真空搬送機器人1700之詳細之構成將於後述之。升降機1450係以可使真空搬送機器人1700所具有之兩個臂1800與1900分別獨立地升降之方式所構成。又,兩個臂1800與1900分別以可同時搬送兩個晶圓200之方式所構成。
於框體1410之頂板且框體1410內,設置有用以供給惰性氣體之惰性氣體供給孔1460。於惰性氣體供給孔1460設置有惰性氣體供給管1510。於惰性氣體供給管1510自上游起依序設置有惰性氣體源1520、質量流量控制器(MFC)1530、閥1540,並對供給至框體1410內之惰性氣體之供給量進行控制。
真空搬送室1400之惰性氣體供給部1500主要係由惰性氣體供給管1510、MFC1530、閥1540所構成。再者,惰性氣體供給部1500亦可包含惰性氣體源1520、氣體供給孔1460。
於框體1410之底壁,設置有用以將框體1410之環境氣體進行排氣之排氣孔1470。於排氣孔1470設置有排氣管1610。於排氣管1610,自上游起依序設置有作為壓力控制器之自動壓力控制器(APC;Auto Pressure Controller)1620、泵1630。
真空搬送室1400之氣體排氣部1600主要係由排氣管1610、APC1620所構成。再者,氣體排氣部亦可包含泵1630、排氣孔1470。
真空搬送室1400之環境氣體係藉由惰性氣體供給部1500、氣體排氣部1600之協動所控制。例如,控制框體1410內之壓力。
如圖1所示,於框體1410之五片側壁中未設置負載鎖定室1300之側,連結有對晶圓200進行所期望之處理之PM 110a、110b、110c、110d。
於PM 110a、110b、110c、110d,分別各設置有基板處理裝 置一構成之腔室100。具體而言,於PM 110a設置有腔室100a、100b。於PM 110b設置有腔室100c、100d。於PM 110c設置有腔室100e、100f。於PM 110d設置有腔室100g、100h。
於框體1410之側壁中面向各腔室100之壁,設置有基板搬出入口1480。例如,如圖2中所記載,於面向腔室100a之壁,設置有基板搬出入口1480a。
如圖1所示,閘閥(GV)1490係針對每個腔室進行設置。具體而言,於腔室100a與TM 1400之間設置有閘閥(GV)1490a,而於TM 1400與腔室100b之間設置有GV 1490b。於TM 1400與腔室100c之間設置有GV 1490c,而於TM 1400與腔室100d之間設置有GV 1490d。於TM 1400與腔室100e之間設置有GV 1490e,而於TM 1400與腔室100f之間設置有GV 1490f。於TM 1400與腔室100g之間設置有GV 1490g,而於TM 1400與腔室100h之間設置有GV 1490h。
藉由利用各GV 1490來開放、關閉,可進行晶圓200經由基板搬出入口1480搬出入。
(製程模組:PM)
接著,以圖1、圖2、圖3為例對各PM 110中之PM 110a進行說明。圖3係說明PM 110a及被連接於PM 110a之氣體供給部、與被連接於PM 110a之氣體排氣部之關聯之說明圖。
此處雖以PM 110a為例,但於其他PM 110b、PM 110c、PM 110d亦為相同之構造,因此此處省略說明。
如圖3所記載,於PM 110a設置有對晶圓200進行處理之基板處理裝置一構成之腔室100a與腔室100b。於腔室100a與腔室100b之 間設置有間隔壁2040a,並以各腔室內之環境氣體不會混合之方式所構成。
如圖2所記載,於腔室100a與真空搬送室1400相鄰之壁設置有基板搬出入口1480a,同樣地,於腔室100a與真空搬送室1400相鄰之壁設置有基板搬出入口1480a。
於各腔室100設置有支撐晶圓200之基板支撐部210。
於PM 110a連接有分別對腔室100a與腔室100b供給氣體之氣體供給部。氣體供給部係由第1氣體供給部(處理氣體供給部)、第2氣體供給部(反應氣體供給部)、第3氣體供給部(淨化氣體供給部)等所構成。關於各氣體供給部之構成將如後述之。
又,於PM 110a設置有分別對腔室100a與腔室100b進行排氣之氣體排氣部。如圖3所示,以一個氣體排氣部對複數個腔室進行排氣之方式所構成。
如此,被設置於PM之複數個腔室,係以共有一個氣體供給部與一個氣體排氣部之方式所構成。
於利用如此具有複數個腔室之基板處理系統對複數個基板進行處理之情形時,存在有會產生以下課題之情形。
(a)
存在有因每個腔室性能之差異(個體差)而導致每個基板處理品質不同之課題。
(b)
存在有於PM所具有之複數個腔室中可由一腔室執行之配方數少於可由另一腔室執行之配方數之情形時,由一腔室所處理之晶圓之處理品質與 由另一腔室所處理之晶圓處理品質不同之課題。
(c)
存在有於PM所具有之複數個腔室中一腔室之處理性能與另一腔室之處理性能不同之情形時,各腔室會受彼此之影響,而無法進行既定之處理之課題。例如,於一腔室之處理性能低於另一腔室之處理性能之情形時,另一腔室之處理品質會降低。
(d)
存在有即便自構成各腔室之構件被發送至控制器之監測資料在既定值之範圍內,亦會因個體差而導致每個晶圓之處理品質不同之課題。
(e)
存在有於構成為可由一腔室執行複數個處理配方之情形時,每個處理配方對構成腔室之構件之評估指標(評估係數)之加權不同,會使決定各構件之調整的優先順序變困難。
其次,對作為基板處理裝置之各腔室之構成進行說明。
(2)基板處理裝置之構成
腔室100例如為絕緣膜形成單元,如圖4所示,被構成為單片式基板處理裝置。此處,對腔室100a進行說明。
如圖4所示,腔室100a具備有處理容器202。處理容器202例如水平剖面為圓形且被構成為扁平之密閉容器。又,處理容器202例如由鋁(Al)或不鏽鋼(SUS)等金屬材料、或由石英所構成。於處理容器202內, 形成有對作為基板之矽晶圓等晶圓200進行處理之處理空間(處理室)201、及移載空間(移載室)203。處理容器202係由上部容器202a與下部容器202b所構成。於上部容器202a與下部容器202b之間,設置有分隔部204。將由上部容器202a所包圍之空間且較分隔部204更上方之空間稱為處理室201。又,將由下部容器202b所包圍之空間且閘閥1490附近稱為移載室203。
於下部容器202b之側面,設置有鄰接於閘閥1490之基板搬出入口1480,晶圓200經由基板搬出入口1480而於未圖示之搬送室與移載室203之間移動。於下部容器202b之底部,設置有複數個升降銷207。此外,下部容器202b接地。
於處理室201內,設置有支撐晶圓200之基板支撐部210。基板支撐部210主要具有載置晶圓200之載置面211、於表面具有載置面211之基板載置台212、及作為加熱部之加熱器213。於基板載置台212,在與升降銷207對應之位置分別設置有供升降銷207貫通之貫通孔214。又,於基板載置台212,亦可設置對晶圓200或處理室201施加偏壓之偏壓電極256。此處,於加熱器213連接有溫度測量部400,且被構成為可將加熱器213之溫度資訊發送至控制器260。又,偏壓電極256係連接於偏壓調整部257,且被構成為可藉由偏壓調整部257來調整偏壓。偏壓調整部257之設定資訊係構成為可與控制器260進行收發。
基板載置台212係藉由軸217所支撐。軸217貫通處理容器202之底部,而且於處理容器202之外部被連接於升降部218。藉由使升降部218作動而使軸217及支撐台212升降,可使被載置於基板載置面211上之晶圓200升降。再者,軸217下端部之周圍係由波紋管219所覆蓋,處理室201內被保持為氣密。
基板載置台212於搬送晶圓200時朝晶圓移載位置移動,而 於晶圓200之第1處理時朝圖4以實線所示之第1處理位置(晶圓處理位置)移動。又,於第2處理時,朝圖4以虛線所示之第2處理位置移動。再者,晶圓移載位置係升降銷207之上端自基板載置面211之上表面突出之位置。
具體而言,於使基板載置台212下降至晶圓移載位置為止時,成為升降銷207之上端部自基板載置面211之上表面突出,升降銷207自下方支撐晶圓200。又,於使基板載置台212上升至晶圓處理位置為止時,成為升降銷207自基板載置面211之上表面埋沒,基板載置面211自下方支撐晶圓200。再者,升降銷207由於直接與晶圓200接觸,因此由例如石英或氧化鋁等材質來形成為佳。
(排氣系統)
於處理室201(上部容器202a)之內壁側面,設置有作為對處理室201之環境氣體進行排氣之第1排氣部之第1排氣口221。於第1排氣口221連接有排氣管224a,而於排氣管224a依序串聯地連接有將處理室201內控制為既定壓力之APC等之壓力調整器227a與真空泵223。第一排氣系統(排氣線)主要係由第1排氣口221、排氣管224a、壓力調整器227a所構成。再者,真空泵223亦可為第一排氣系統之構成。又,於移載室203之內壁側面,設置有對移載室203之環境氣體進行排氣之第2排氣口1481。又,於第2排氣口1481設置有排氣管1482。於排氣管1482設置有壓力調整器228,且被構成為可將移載室203內之壓力調壓為既定之壓力。又,亦可經由移載室203而對處理室201內之環境氣體進行排氣。又,壓力調整器228係構成為可與控制器260進行壓力資訊或閥開度之資訊之收發。又,真空泵223係構成為可將泵之ON/OFF資訊或負荷資訊等發送至控制器260。
(氣體導入口)
於被設置在處理室201上部之簇射頭234之上表面(頂壁),設置有用以對處理室201內供給各種氣體之氣體導入口241。關於被連接於作為氣體供給部之氣體導入口241之各氣體供給單元之構成將於後述之。
(氣體分散單元)
作為氣體分散單元之簇射頭234,具有緩衝室232、及作為第1活化部之第1電極244。於第1電極244設置有複數個將氣體分散供給至晶圓200之孔234a。簇射頭234係設置於氣體導入口241與處理室201之間。自氣體導入口241所導入之氣體被供給至簇射頭234之緩衝室232(亦稱為分散部),並經由孔234a被供給至處理室201。
再者,第1電極244係由導電性之金屬所構成,且被構成為用以激發氣體之活化部(激發部)之一部分。第1電極244係構成為可被供給電磁波(高頻電力或微波)。再者,構成為於由導電性構件構成蓋231時,在蓋231與第1電極244之間設置有絕緣區塊233,而將蓋231與第1電極244之間絕緣。
再者,於緩衝室232亦可設置有氣體導件235。氣體導件235係以氣體導入孔241為中心直徑隨著朝向晶圓200之直徑方向變寬之圓錐形狀。氣體導件235下端之水平方向之直徑較設置有孔234a之區域之端部延伸至更外周而形成。藉由設置有氣體導件235,可分別對複數個孔234a均勻地供給氣體,可使被供給至晶圓200之面內之活性物質之量均勻化。
(活化部(電漿生成部))
於作為活化部之一部分之第1電極244,連接有整合器251與高頻電源 252,且被構成為可供給電磁波(高頻電力或微波)。藉此,可使被供給至處理室201內之氣體活化。又,第1電極244係構成為可生成電容耦合型之電漿。具體而言,第1極244係形成為導電性之板狀,且被構成為由上部容器202a所支撐。活化部至少由第1電極部244、整合器251、高頻電源252所構成。再者,亦可構成為活化部包含阻抗計254。再者,亦可於第1電極244與高頻電源252之間設置阻抗計254。藉由設置阻抗計254,可根據所測量之阻抗,對整合器251、高頻電源252進行反饋控制。又,高頻電源252係構成為可與控制器260進行電力之設定資訊之收發,整合器251係構成為可與控制器260進行整合資訊(進行波資料、反射波資料)之收發,阻抗計254係構成為可與控制器260進行阻抗資訊之收發。
(氣體供給系統)
於氣體導入口241連接有氣體供給管150a(150x)。後述之第1氣體、第2氣體、淨化氣體係自氣體供給管150x被供給。此處,x係對應於各腔室對應之a、b、c、d、e、f、g、h中之任一者。以下,對被連接於腔室100a之氣體導入口241之氣體供給系統進行說明,並省略關於其他腔室之說明。
圖3表示被連接於腔室100a之第1氣體供給部、第2氣體供給部、淨化氣體供給部等之氣體供給系統之概略構成圖。
如圖3所示,於氣體供給管150a連接有氣體供給管集合部140a。於氣體供給管集合部140a,連接有第1氣體(處理氣體)供給管113a、淨化氣體供給管133a、第2氣體(處理氣體)供給管123a。
(第1氣體供給部)
於第1氣體供給部設置有第1氣體供給管113a、MFC 115a、閥116a。 再者,亦可將被連接於第1氣體供給管113a之第1氣體供給源113包含於第1氣體供給部而構成。又,於處理氣體之原料為液體或固體之情形時,亦可設置有氣化器180。
(第2氣體供給部)
於第2氣體供給部設置有第2氣體供給管123a、MFC 125a、閥126a。再者,亦可將被連接於第2氣體供給管123a之第2氣體供給源123包含於第2氣體供給部而構成。再者,亦可構成為設置遠距電漿單元(RPU)124,而使第2氣體活化。
(淨化氣體供給部)
於淨化氣體供給部設置有淨化氣體供給管133a、MFC 135a、閥136a。再者,亦可將被連接於淨化氣體供給管133a之淨化氣體供給源133包含於淨化氣體供給部而構成。
此處,分別構成第1氣體供給部、第2氣體供給部、淨化氣體供給部之MFC、閥、(氣化器)、(RPU)係構成為可與控制器260進行收發信號,且分別收發以下之資訊。MFC:流量設定資訊;閥:開度資訊;(氣化器:氣化量);(RPU:電力)。
(控制部)
如圖1~圖4所示,基板處理系統1000、腔室100具有對基板處理系統1000與基板處理裝置100各部之動作進行控制之控制器260。
圖5表示控制器260之概略。作為控制部(控制手段)之控制器260係構成為具備有中央處理單元(CPU;Central Processing Unit)260a、 隨機存取記憶體(RAM;Random Access Memory)260b、儲存裝置260c、I/O埠260d之電腦。RAM 260b、儲存裝置260c、I/O埠260d係構成為可經由內部匯流排260e與CPU 260a交換資料。被構成為控制器260可連接例如構成為觸控面板等之輸出入裝置261或外部儲存裝置262、信號收發部285等。輸出入裝置261亦包含作為通知基板處理裝置100之狀態之通知部的顯示畫面264。
儲存裝置260c例如由快閃記憶體、硬碟驅動器(HDD,Hard Disk Drive)等所構成。於儲存裝置260c內,以可讀取地貯存有對基板處理裝置之動作進行控制之控制程式、記載有後述之基板處理之程序或條件等之製程配方、到設定對晶圓200之處理所使用之製程配方為止之過程所產生之運算資料或處理資料等。再者,製程配方係以可使控制器260執行後述之基板處理步驟之各程序而得到既定之結果之方式所組合者,並作為程式而發揮功能。以下,單純地將該製程配方或控制程式等統稱為程式。再者,於本說明書中使用程式此用語之情形時,存在有僅包含製程配方單體之情形、僅包含控制程式單體之情形,或包含其雙方之情形。又,RAM 260b係構成為暫時性地保持由CPU 260a所讀出之程式、運算資料、處理資料等資料之記憶體區域(工作區域)。
I/O埠260d係連接於閘閥1290、1330、1350、1490、升降部218、加熱器213、壓力調整器227、228、1620、真空泵223(223a、223b、223c、223d)、1630、整合器251、高頻電源部252、MFC 115(115a、115b、115c、115d)、125(125a、125b、125c、125d)、135(135a、135b、135c、135d)、1530、閥116(116a、116b、116c、116d)、126(126a、126b、126c、126d)、136(136a、136b、136c、136d)、1540、(RPU 124、氣化器180)偏壓調整部257、真空搬送機器人1700、大氣搬送機器人1220等。又,亦可連接於阻 抗計254等。
作為運算部之CPU 260a係構成為自儲存裝置260c讀出並執行控制程式,並且根據來自輸出入裝置261之操作命令之輸入等而自儲存裝置260c讀出製程配方。又,被構成為可對自信號收發部285所輸入之設定值與被儲存於儲存裝置260c之製程配方或控制資料進行比較、運算,而計算出運算資料。又,被構成為可根據運算資料執行相對應之處理資料(製程配方)之決定處理等。而且,CPU 260a係構成為以依照所讀出之製程配方之內容的方式,對閘閥1490之開閉動作、升降部218之升降動作、對加熱器213之電力供給動作、壓力調整器227、228、1620之壓力調整動作、真空泵223之開閉(ON/OFF)控制、MFC 115、125、135、1530之氣體流量控制動作、RPU 124、144、154之氣體之活化動作、閥116、126、136、1540之氣體之導通截斷(ON/OFF)控制、整合器251之電力之整合動作、高頻電源部252之電力控制、偏壓調整部257之控制動作、根據阻抗計254所測量到之測量資料之整合器251之整合動作、或高頻電源252之電力控制動作等進行控制。於進行各構成之控制時,藉由CPU 260a內之信號收發部發送/接收依照製程配方之內容之控制資訊來進行控制。
再者,控制器260並不限定於構成為專用之電腦之情形,亦可構成為通用之電腦。例如,準備貯存有前述之程式之外部儲存裝置(例如,磁帶、軟碟或硬碟等磁碟、光碟片(CD;Compact Disc)或數位多功能光碟(DVD;Digital Versatile Disc)等光碟、磁光碟片(MO;Magnetic Optical Disc)等磁光碟、通用串列匯流排(USB;Universal Serial Bus)記憶體或記憶卡等半導體記憶體)262,並使用該外部儲存裝置262將程式安裝至通用之電腦等,藉此可構成本實施形態之控制器260。再者,用以將程式供給至電腦之手段並不限定於經由外部儲存裝置262來供給之情形。例如,亦可使用信號收 發部285或網路263(網際網路或專用線路)等之通信手段,不經由外部儲存裝置262來供給程式。再者,儲存裝置260c或外部儲存裝置262係構成為電腦可讀取之記錄媒體。以下,單純地將該等統稱為記錄媒體。再者,於本說明書中,在使用記錄媒體之用語之情形時,存在有僅包含儲存裝置260c單體之情形、僅包含外部儲存裝置262單體之情形、或包含該等雙方之情形。
(2)基板處理步驟
其次,作為半導體裝置(半導體元件)製造步驟之一步驟,以於基板上成膜絕緣膜之步驟為例,對於前述之基板處理系統1000、基板處理裝置(腔室)100之動作及各部之評估流程、時序,參照圖6、圖7、圖8、圖9進行說明。再者,此處作為絕緣膜,例如成膜作為氮化膜之氮化矽(SiN)膜。又,該製造步驟之一步驟係於前述之基板處理系統1000、腔室100進行。再者,於以下之說明中,各部之動作係由控制器260所控制。
再者,於本說明書中使用「基板」之用語之情形亦與使用「晶圓」之用語之情形相同,於該情形時,只要於上述說明中,將「晶圓」置換為「基板」即可。
以下,對基板處理步驟進行說明。
(處理裝置設定步驟S300)
於基板處理時,首先,在控制器260進行於各腔室100所進行之製程配方之設定。例如,藉由將被記錄於儲存裝置260c之資料讀入RAM 260b,並經由I/O埠,而對各部設定設定值來進行。再者,亦可藉由自經由網路263所連接之上位裝置500發送製程配方來設定。於各部之動作之設定後, 進行製造步驟S200。
於製造步驟中,根據製程配方,控制第一氣體供給部而將第一氣體供給至處理室201,並且控制排氣系統而對處理室201進行排氣,以處理晶圓200。再者,此處亦可控制第二氣體供給部,使第二氣體與第一氣體同時存在於處理空間而進行化學氣相沈積(CVD;Chemical Vapor Deposition)處理,或交替地供給第一氣體與第二氣體而進行循環處理。又,於使第二氣體作為電漿狀態而進行處理之情形時,亦可藉由RPU 124之使用或對第1電極244供給高頻電力,而於處理室201內生成電漿。
作為膜處理方法之具體例即循環處理,可使用如下之方法。例如,存在有使用二氯矽烷(SiH2Cl2;dichlorosilane:DCS)氣體作為第一氣體,並使用氨(NH3)氣作為第二氣體之情形。於第一步驟中將DCS氣體供給至晶圓200,於第二步驟中將NH3氣體供給至晶圓200。於第一步驟與第二步驟之間,作為淨化步驟,與供給N2氣體之同時,對處理室201之環境氣體進行排氣。藉由進行將該第一步驟、淨化步驟、第二步驟進行數次之循環處理,而於晶圓200上形成氮化矽(SiN)膜。
以前述方式進行製造步驟S200。於製造步驟S200之後,進行取得構成裝置之各部之資料之裝置資料取得步驟S302。
(裝置資料取得步驟S302)
各部之資料(裝置資料)係經由信號線被發送至控制器260。控制器260係利用作為資料接收部之I/O埠260d接收各部之資料,並記錄於RAM 260b與儲存裝置260c之任一者或雙方。再者,此處所接收之資料,亦可構成為經由網路263而發送至上位裝置500。
(評估資料生成步驟S303)
在裝置資料之取得後,進行第1評估資料之生成。首先,根據被貯存於儲存裝置260c之對應於各步驟(製程配方)之各部之評估係數表(圖7),來讀出對應於各測量值之評估係數A1~A6或B1~B6。此處,評估係數表係對應於各步驟而被設定。又,評估係數之設定依每個步驟而不同。於圖7中,關於測量值1~測量值6雖例示有2個形態,但並不限定於此。此處步驟A係氣體流動對成膜結果會造成影響之步驟,且對測量值2(氣體流量)與測量值3(處理室壓力)進行加權。步驟B係電漿有助於成膜結果之步驟,且被構成為對測量值4(高頻電力)、測量值5(進行波電力)、測量值6(反射波電力)進行加權。再者,此處評估係數雖記載自被貯存於儲存裝置260c之評估係數表讀出之順序,但並不限定於此,亦可構成為自上位裝置500經由網路263、信號收發部285而讀出至RAM 260b或儲存裝置260c。自上位裝置500所發送之評估係數例如貯存於表Y1~Y6。
於被讀出後,如圖8所示,根據各部之測量值與評估係數進行評估。再者,測量值係根據自基點B朝向正或負方向之差來計算,且分別被貯存於資料表X1~X6。根據被貯存於X1~X6之測量值資料與評估係數,來計算相對於各測量值之評估資料。關於步驟A之評估資料,分別被貯存於a1~a6。關於步驟B之評估資料,分別被貯存於b1~b6。該評估係針對每個腔室100進行,並將評估資料之綜合分數設為綜合評估資料,而貯存於圖9所示之表。例如,腔室100a(CH1)之綜合評估資料係貯存於CHa。於分被對應於腔室CH1~CH8之綜合評估資料被貯存之後,進行配方判定步驟S305。
(判定步驟S304)
再者,此處亦可進行判定步驟S304。於判定步驟S304中,進行第1評估資料之累積。亦即,使製造步驟S200、裝置資料取得步驟S302、及評估資料生成步驟S303重複進行既定次數,而累積既定數量之評估資料。藉由累積評估資料,可進行統計上之管理。作為統計上之管理,例如,存在有統計處理控制(SPC;Statistical Process Control)、與製程能力(Cp;Process Capability)。
(配方判定步驟S305)
於評估資料生成步驟S303與判定步驟S304之任一者或雙方之後,進行配方判定步驟S305。如圖9所示,根據綜合分數,判定每個腔室可執行之配方。例如,若綜合評估為80分以上,則判定為可選擇配方A、B、C。若綜合評估為60分以上,則判定為可選擇配方A、B。若綜合評估為50分以上,則判定為可選擇配方A。若綜合評估未達50分,則判定為可選擇進行維護。經判定之配方資料係貯存於分別對應於腔室之可選擇配方表之Cha1~CHh1。
又,如圖9所示,針對每個PM,判定可執行之配方。此處之判定,係判定為可執行PM所具有之腔室可選擇配方之共通項。於無共通項之情形時,判定為處理停止或可執行維護。又,於PM所具有之腔室中只要有一個可選擇維護之情形時,作為PM可選擇配方亦可判定為可選擇維護。藉由如此進行判定,可使PM所具有之複數個腔室之處理品質平整化。經判定之配方,係貯存於對應於各PM之可選擇配方(PM)表之PMa~PMd。
又,如圖9所示,亦可構成為根據各腔室100之可選擇配方或各PM之可選擇配方資料,來判定基板處理系統1000可執行之配方。例 如,如圖9所示,判定為PM1、PM2、PM3可共通地執行配方A。
(配方項目通知步驟S306)
於配方判定步驟之後,控制器260將被貯存於各表之可選擇配方資料發送至輸出入裝置261。於輸出入裝置261中,例如,如圖9所示,藉由於作為通知部之顯示畫面264顯示各腔室或各PM可選擇配方,而對基板處理系統1000之使用者進行通知。又,亦可使可選擇配方資料自信號收發部285經由網路263等發送(通知)至上位裝置500。
以上,雖對本揭示內容一實施形態具體地進行說明,但本揭示內容並不限定於前述之實施形態,於不脫離其主旨之範圍內可進行各種變更。
於前述中,雖已記載將評估係數自被記錄於儲存裝置260c之評估係數表讀出、或自上位裝置500被輸入,但並不限定於此,亦可構成為自評估資料使評估係數變更。
又,雖已對將作為通知部之顯示畫面264組入輸出入裝置261之例進行說明,但並不限定於此,亦可為使通知部獨立於輸出入裝置261者。例如,亦可設為獨立於輸出入裝置之顯示器。
(評估係數變更步驟S400)
圖10表示取得複數個評估資料之情形時所生成之評估資料表之例。圖10係記錄有重複進行步驟A之情形時所生成之第1評估資料之表。又,亦可輸入自上位裝置500所輸入之第2評估資料。
亦可構成為藉由自該圖10所示之評估資料表選擇複數個任意之評估資料,並將評估資料進行比較,來變更評估係數。
(評估資料選擇步驟S401)
以圖11與圖12為例,對評估係數變更步驟S400進行說明。如圖11所示,首先,進行評估資料選擇步驟S401。
此處,如圖12所示,記載根據步驟A1之評估資料與自上位裝置所發送之第2評估資料進行運算而變更評估係數之例。
(評估資料比較步驟S402)
於評估資料比較步驟S402中,例如,運算出作為第1評估資料之步驟A1之評估資料與第2評估資料之差,並記錄於RAM 260b或儲存裝置206c等。此處,差之資料係貯存於表z1~z6。然後,進行判定步驟S403。
(判定步驟S403)
於判定步驟S403中,例如,進行第1評估資料與第2評估資料之差分為正值或負值之判定。於差為正值之情形時,進行評估係數變更步驟A:S404,而於差為負值之情形時,進行評估係數變更步驟B:S405。
(評估係數變更步驟A:S404)
於評估係數變更步驟A中,例如,進行增加在RAM 260b或儲存裝置260c等中已被設定之第1評估係數之運算,來計算出第2評估係數。第2評估係數係貯存於表A1-2~A6-2。
(評估係數變更步驟B:S405)
於評估係數變更步驟B中,例如,進行減少在RAM 260b或儲存裝置 260c等中已被設定之第1評估係數之運算,來設定第2評估係數。
再者,此處雖構成為根據第1評估資料與第2評估資料之差分為正值或負值來判定,但並不限定於此。例如,亦可構成為判定評估資料之差分之最大值與最小值,來變更最大值與最小值之任一者或雙方之評估係數。於自上位裝置500所發送之第2評估資料為最佳資料之情形時,藉由根據最小值來變更評估係數,可容易使裝置之狀態接近可得到最佳資料之裝置狀態。又,藉由根據最大值來變更評估係數,可使裝置之狀態遠離與最佳資料所能得到差異最大之最糟資料之裝置狀態。
又,於前述之中,雖已記載交替地供給第1氣體與第2氣體而進行成膜之方法,但亦可應用其他方法。例如,使第1氣體與第2氣體之供給時序重疊之方法。
又,於前述之中,雖已記載供給2種氣體而進行處理之方法,但亦可為使用1種氣體之處理。
又,於前述之中,雖已記載成膜處理,但亦可應用其他處理。例如,存在有使用電漿之擴散處理、氧化處理、氮化處理、氮氧化處理、還原處理、氧化還原處理、蝕刻處理、加熱處理等。例如,於僅使用反應氣體來對基板表面或被形成於基板之膜進行電漿氧化處理或電漿氮化處理時,亦可應用本發明。又,亦可應用於僅使用反應氣體之電漿退火處理。亦可將該等處理作為第1處理,然後,進行前述之第2處理。
又,於前述之中,雖已記載半導體裝置之製造步驟,但實施形態之發明亦可應用於半導體裝置之製造步驟以外。例如,存在有液晶元件之製造步驟、太陽能電池之製造步驟、發光元件之製造步驟、玻璃基板之處理步驟、陶瓷基板之處理步驟、導電性基板之處理步驟等之基板處理。
又,於前述之中,雖已表示使用將含有矽之氣體作為原料氣 體、將含有氮之氣體作為反應氣體,來形成氮化矽膜之例,但亦可應用於使用其他氣體之成膜。例如,存在有含有氧之膜、含有氮之膜、含有碳之膜、含有硼之膜、含有金屬之膜、與含有複數個該等元素之膜等。再者,作為該等膜,例如,存在有AlO膜、ZrO膜、HfO膜、HfAlO膜、ZrAlO膜、SiC膜、SiCN膜、SiBN膜、TiN膜、TiC膜、TiAlC膜等。
又,於前述之中,雖已表示於一個處理室中處理一片基板之裝置構成,但並不限定於此,亦可為將被數片基板排列於水平方向或垂直方向之裝置。

Claims (11)

  1. 一種半導體裝置之製造方法,係於設置有具有複數個對基板進行處理之處理裝置之複數個處理模組之基板處理裝置中,其具有如下之步驟:根據第1處理設定使上述基板處理裝置內之處理裝置動作而處理基板之步驟;於使上述處理進行時取得上述處理裝置之裝置資料之步驟;根據對應於上述第1處理設定之評估係數與上述裝置資料來生成上述處理裝置之第1評估資料之步驟;根據上述第1評估資料,判定以上述處理裝置可執行之1個以上之配方項目之步驟;分別由上述複數個處理裝置通知上述1個以上之配方項目之步驟;以及分別由上述處理裝置通知上述配方項目中共通之配方項目之步驟。
  2. 如請求項1之半導體裝置之製造方法,其中,於生成上述第1評估資料之步驟中,具有上述評估係數自上位裝置被輸入至上述基板處理裝置之步驟。
  3. 如請求項1之半導體裝置之製造方法,其中,於生成上述第1評估資料之步驟中,具有上述評估係數根據自上位裝置被輸入至上述基板處理裝置之第2評估資料與上述第1評估資料而被變更之步驟。
  4. 如請求項2之半導體裝置之製造方法,其中,於生成上述第1評估資料之步驟中,具有上述評估係數根據自上位裝置被輸入至上述基板處理裝置之第2評估資料與上述第1評估資料而被變更之步驟。
  5. 如請求項3之半導體裝置之製造方法,其中, 具有重複進行上述進行處理之步驟、取得上述裝置資料之步驟及生成上述第1評估資料之步驟的步驟。
  6. 如請求項2之半導體裝置之製造方法,其中,具有重複進行上述進行處理之步驟、取得上述裝置資料之步驟及生成上述第1評估資料之步驟的步驟。
  7. 如請求項4之半導體裝置之製造方法,其中,具有重複進行上述進行處理之步驟、取得上述裝置資料之步驟及生成上述第1評估資料之步驟的步驟。
  8. 如請求項5之半導體裝置之製造方法,其中,具有根據於上述重複進行之步驟所生成之複數個第1評估資料中與上述第2評估資料差異最大之評估資料來變更上述評估係數之步驟。
  9. 如請求項5之半導體裝置之製造方法,其中,具有根據於上述重複進行之步驟所生成之複數個第1評估資料中與上述第2評估資料差異最小之評估資料來變更上述評估係數之步驟。
  10. 一種記錄媒體,係於設置有具有複數個對基板進行處理之處理裝置之複數個處理模組之基板處理裝置中,其記錄有藉由電腦使基板處理裝置執行如下程序之程式:根據第1處理設定使上述基板處理裝置內之處理裝置動作而處理基板之程序;於使上述處理進行時取得上述處理裝置之裝置資料之程序;根據對應於上述第1處理設定之評估係數與上述裝置資料來生成上述處理裝置之第1評估資料之程序;根據上述第1評估資料,判定上述處理裝置可執行之1個以上之配方項目之程序; 分別由上述複數個處理裝置通知上述1個以上之配方項目之程序;以及分別由上述處理裝置通知上述配方項目中共通之配方項目之程序。
  11. 一種基板處理裝置,其具有:複數個基板處理裝置內之處理裝置,其等根據第1處理設定來處理基板;處理模組,其具有上述複數個處理裝置;資料接收部,其接收上述處理裝置之裝置資料;通知部,其通知上述處理裝置可執行之1個以上之配方項目;以及控制部,其具有運算部及信號收發部,其中,該運算部執行根據上述第1處理設定來處理上述基板並於該處理中利用上述資料接收部接收上述裝置資料之處理、根據對應於上述第1處理設定之評估係數與上述裝置資料來生成上述處理裝置之第1評估資料之處理、及根據上述第1評估資料來判定上述1個以上之配方項目之處理,而該信號收發部分別由上述複數個處理裝置將上述1個以上之配方項目及上述配方項目中共通之配方項目發送至上述通知部。
TW105143576A 2016-09-26 2016-12-28 Semiconductor device manufacturing method, recording medium, and substrate processing device TWI639204B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016186957A JP6476370B2 (ja) 2016-09-26 2016-09-26 記録媒体、プログラム、半導体装置の製造方法および基板処理装置。
JP2016-186957 2016-09-26

Publications (2)

Publication Number Publication Date
TW201822287A TW201822287A (zh) 2018-06-16
TWI639204B true TWI639204B (zh) 2018-10-21

Family

ID=61686607

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105143576A TWI639204B (zh) 2016-09-26 2016-12-28 Semiconductor device manufacturing method, recording medium, and substrate processing device

Country Status (5)

Country Link
US (1) US9978653B2 (zh)
JP (1) JP6476370B2 (zh)
KR (1) KR101916394B1 (zh)
CN (1) CN107871683B (zh)
TW (1) TWI639204B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10698392B2 (en) * 2018-06-22 2020-06-30 Applied Materials, Inc. Using graphics processing unit for substrate routing and throughput modeling
JP6830464B2 (ja) * 2018-09-26 2021-02-17 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法および記録媒体。
CN113035681B (zh) * 2019-12-24 2023-12-15 中微半导体设备(上海)股份有限公司 晶圆气锁装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7047099B2 (en) * 2001-06-19 2006-05-16 Applied Materials Inc. Integrating tool, module, and fab level control
JP3708031B2 (ja) * 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
JP2003077782A (ja) * 2001-08-31 2003-03-14 Toshiba Corp 半導体装置の製造方法
JP4149166B2 (ja) * 2002-01-08 2008-09-10 東京エレクトロン株式会社 処理システム及び処理方法
EP1546827A1 (en) * 2002-09-30 2005-06-29 Tokyo Electron Limited Method and apparatus for the monitoring and control of a semiconductor manufacturing process
US8017411B2 (en) * 2002-12-18 2011-09-13 GlobalFoundries, Inc. Dynamic adaptive sampling rate for model prediction
JP2005333075A (ja) * 2004-05-21 2005-12-02 Tokyo Electron Ltd 被処理体の処理方法及びその処理システム装置
US7292906B2 (en) * 2004-07-14 2007-11-06 Tokyo Electron Limited Formula-based run-to-run control
WO2007037012A1 (ja) * 2005-09-29 2007-04-05 Topcon Corporation チャンバーマッチング方法、半導体プロセス支援装置、メンテナンス方法、メンテナンス支援装置
JP5855841B2 (ja) 2011-04-01 2016-02-09 株式会社日立国際電気 管理装置
JP2013135044A (ja) * 2011-12-26 2013-07-08 Hitachi High-Technologies Corp 半導体製造装置
JP2013161913A (ja) * 2012-02-03 2013-08-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法

Also Published As

Publication number Publication date
JP6476370B2 (ja) 2019-03-06
JP2018056174A (ja) 2018-04-05
US9978653B2 (en) 2018-05-22
TW201822287A (zh) 2018-06-16
KR20180034168A (ko) 2018-04-04
CN107871683A (zh) 2018-04-03
US20180090397A1 (en) 2018-03-29
CN107871683B (zh) 2022-06-21
KR101916394B1 (ko) 2018-11-13

Similar Documents

Publication Publication Date Title
TWI632632B (zh) Substrate processing apparatus, manufacturing method of semiconductor device, and recording medium
TWI728316B (zh) 基板處理裝置、半導體裝置之製造方法及記錄媒體
US11726456B2 (en) Substrate processing system
TWI710051B (zh) 基板處理裝置、半導體裝置之製造方法及記錄媒體
KR102248257B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
TWI639204B (zh) Semiconductor device manufacturing method, recording medium, and substrate processing device
KR102130894B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR102425483B1 (ko) 기판 처리 시스템, 반도체 장치의 제조 방법 및 기록 매체