TWI600982B - 具有浮置保護劑之微影光阻 - Google Patents

具有浮置保護劑之微影光阻 Download PDF

Info

Publication number
TWI600982B
TWI600982B TW104139371A TW104139371A TWI600982B TW I600982 B TWI600982 B TW I600982B TW 104139371 A TW104139371 A TW 104139371A TW 104139371 A TW104139371 A TW 104139371A TW I600982 B TWI600982 B TW I600982B
Authority
TW
Taiwan
Prior art keywords
photoresist
protective agent
group
workpiece
photoresist material
Prior art date
Application number
TW104139371A
Other languages
English (en)
Other versions
TW201631411A (zh
Inventor
張慶裕
王建惟
陳學安
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201631411A publication Critical patent/TW201631411A/zh
Application granted granted Critical
Publication of TWI600982B publication Critical patent/TWI600982B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/22Esters containing halogen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/22Esters containing halogen
    • C08F220/24Esters containing halogen containing perhaloalkyl radicals
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/38Esters containing sulfur
    • C08F220/387Esters containing sulfur and containing nitrogen and oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L33/00Compositions of homopolymers or copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical, or of salts, anhydrides, esters, amides, imides or nitriles thereof; Compositions of derivatives of such polymers
    • C08L33/04Homopolymers or copolymers of esters
    • C08L33/14Homopolymers or copolymers of esters of esters containing halogen, nitrogen, sulfur, or oxygen atoms in addition to the carboxy oxygen
    • C08L33/16Homopolymers or copolymers of esters containing halogen atoms
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • G03F7/0758Macromolecular compounds containing Si-O, Si-C or Si-N bonds with silicon- containing groups in the side chains
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L2205/00Polymer mixtures characterised by other features
    • C08L2205/02Polymer mixtures characterised by other features containing two or more polymers of the same C08L -group
    • C08L2205/025Polymer mixtures characterised by other features containing two or more polymers of the same C08L -group containing two or more polymers of the same hierarchy C08L, and differing only in parameters such as density, comonomer content, molecular weight, structure

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Organic Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)

Description

具有浮置保護劑之微影光阻
本揭露一般是關於IC元件製備,且更具體而言,是關包括可抗污染之保護劑的光阻,以及使用所述光阻以形成一組構件並利用微影法來圖案化工作件之技術。
半導體積體電路(integrated circuit,IC)產業正經歷快速的成長。在積體電路進化的過程中,功能密度(即,單位晶片面積中互連的元件數目)通常會變大,而其幾何尺寸(即,利用一製程可產生之最小構件(或導線))則會變小。這種尺寸縮減的過程帶來的優點是能夠增加生產效率以及降低相關成本。然而,上述尺寸縮減的過程也增加了處理與製備整合到這些IC中之元件的複雜性,且為了要實現這些進展,在元件製備過程中也需要相應的技術發展。
舉例來說,微影技術的發展對於縮減元件尺寸非常重要。一般來說,微影技術是指在目標物上形成一圖樣。在一類的微影技術(又稱為光刻)中,會使輻射(譬如紫外光)先穿透一遮罩或被遮罩反射,才會到達塗覆於目標物上的光阻。光阻包括一或多種成分,當其暴露於輻射時會發生化學變化。上述化學變化會使得材料的性質改變,進而使得能夠選擇性地移除光阻曝光或未曝光的部分。如此一來,光刻技術可將圖樣由遮罩轉移到光阻上,接著,再選擇性地將其移除以使圖案顯露。其後,目標物可經過多個處理步驟並運用留 存之光阻的形狀,以在目標物上產生構件。另一種微影技術稱為直寫式微影,其使用雷射光、電子束(e-beam)、離子束或其他窄焦輻射以使光阻塗層曝光或直接將材料層圖案化。電子束微影是最常用的一種直寫式微影技術,此方法藉由將一準直的電子束導向欲曝光之區域,而能夠相當精準地移除、加入或以其他方式改變光阻或其他材料。
由於使用光阻圖樣來界定欲形成之構件,使得構件的品質高度依賴光阻在曝光與未曝光區域間的邊界處形成邊緣的能力,這需要非常精準與穩定。相較之下,不規則的光阻邊緣可能導致狹窄、頸縮、橋接及其他結構缺陷。因為這些及其他理由,光阻效能會直接影響臨界尺寸(critical dimension,CD)以及關於構件之尺寸與品質的其他參數。雖然既有的光阻一般足堪使用,進一步的改良有助於製備更小的電路以及提升製程產率。
因此,本揭露提出一種用以在工作件上形成構件之技術,其對環境污染物提供了較佳的抵抗力。於某些實施方式中,所提出的方法包括接收一工作件並對此工作件施佈有保護劑散佈於其中的光阻材料。對所述工作件進行熱製程,而使得保護劑集中在光阻材料的上方區域中。於微影製程中使光阻材料曝光,並使經曝光的光阻材料顯影,以於光阻材料中界定一圖樣。基於光阻材料之圖樣,選擇性地處理工作件之一部分。於本揭露某些實施例中,所述方法亦包括於工作件上進行沈降製程,這也會使得保護劑集中在光阻材料的上方區域中。於本揭露某些實施方式中,所述保護劑包括以下至少一種:芳香碳環、烯官能基或炔官能基。
於進一步的實施方式中,提出一微影方法,其包括接收一基板以及對此基板施佈含有保護劑之光阻材料。於施佈光阻之 後,在光阻相對於基板之上方區域中,有第一濃度之保護劑。其後,進行一製程以使得上方區域中之保護劑的濃度由第一濃度提高至第二濃度。對光阻進行微影曝光,以於其中界定一圖樣;以及利用曝光之光阻中所界定的圖樣,處理該基板之曝光部分。在本揭露一些實施方式中,保護劑包括疏水性官能基。在本揭露一些實施方式中,保護劑經選擇而使得至少一種性質導致該保護劑集中於光阻之上方區域中,上述至少一種性質為:分子量差異、極性差異、親水性差異、於光阻材料之溶劑中的溶解度差異或於光阻材料之聚合物中的溶解度差異。
在又進一步的實施方式,提出一種微影光阻材料,其包括光敏材料、聚合物以及保護劑,其中在施佈之後,保護劑於微影光阻材料最上方區域中的濃度會增加。在本揭露一些實施方式中,保護劑包括如下式所示之聚合物鏈: 其中R1及R2分別是以下一種:芳香碳環、烯基、炔基、烷基、烷氧基、氟烷基、氟烷氧基、環氧基、胺基、鹵化物、-H、-OH、-Cl、-Br、-I、-NO2、-SO3、-CN、-NCO、-OCN、-CO2,-COOH或-OH。在本揭露一些實施方式中,保護劑包括如下式所示之聚合物鏈: 其中R1及R2分別包括至少一種下列官能基:-OR*、-OC(O)CR*、-SR*、-SO2N(R*)2、-SO2R*、-SOR*、-OC(O)R*、-C(O)OR*、- C(O)R*、-Si(OR*)3或-Si(R*)3,且其中R*是以下一種:H、烷基、烯基或炔基。
100‧‧‧方法
102-118‧‧‧區塊
200、450‧‧‧工作件
202‧‧‧基板
204‧‧‧材料層
206、452‧‧‧光阻層
400‧‧‧遮罩
402‧‧‧上方區域、曝光區域
454‧‧‧第一部分
456‧‧‧第二部分
在閱讀了下文實施方式以及附隨圖式時,能夠最佳地理解本揭露的多種態樣。應注意到,根據本領域的標準作業習慣,圖中的各種構件並未依比例繪製。事實上,為了能夠清楚地進行描述,可能會刻意地放大或縮小某些構件的尺寸。
圖1為根據本揭露之多種態樣,利用具有浮置保護劑之光阻來圖案化一工作件之方法的流程圖。
圖2及圖3為根據本揭露之多種態樣,正在進行圖案化方法之工作件的一部分之剖面圖。
圖4A為根據本揭露之多種態樣,在微影曝光之後,有浮置保護劑之工作件的部分之剖面圖。
圖4B為根據本揭露之多種態樣,在微影曝光之後,另一種具有不含保護劑之光阻的工作件之部分的剖面圖。
圖5及圖6為根據本揭露之多種態樣,正在進行圖案化方法之有浮置保護劑之工作件的一部分之剖面圖。
以下揭示內容提供了多種實施方式或例示,其能用以實現本揭示內容的不同特徵。下文所述之元件與配置的具體例子係用以簡化本揭示內容。當可想見,這些敘述僅為例示,其本意並非用於限制本揭示內容。舉例來說,在下文的描述中,將一第一構件形成於一第二構件上或之上,可能包含某些實施例其中所述的第一與第二構件彼此直接接觸;且也可能包含某些實施例其中還有而外的元件形成於上述第一與第二構件之間,而使得第一與第二構件可能沒有直接接觸。此外,本揭示內容可能會在多個實施例中重複使用元件符號和/ 或標號。此種重複使用乃是基於簡潔與清楚之目的,且其本身不代表所討論的不同實施例和/或組態之間的關係。
再者,在此處使用空間上相對的詞彙,譬如「之下」、「下方」、「低於」、「之上」、「上方」及與其相似者,可能是為了方便說明圖中所繪示的一元件或構件相對於另一或多個元件或構件之間的關係。這些空間上相對的詞彙其本意除了圖中所繪示的方位之外,還涵蓋了裝置在使用或操作中所處的多種不同方位。可能將所述設備放置於其他方位(如,旋轉90度或處於其他方位),而這些空間上相對的描述詞彙就應該做相應的解釋。
本揭露係關於利用微影技術將工作件(例如一半導體基板)圖案化。本揭露技術可適用於非常廣泛的微影技術,包括光刻及直寫式微影。每一種微影技術可運用獨特的能量源(如,紫外線輻射、電子束、離子束等),且隨著微影技術的不同,微影光阻可包含對各別能量源敏感之光阻材料。
於下文的實施方式中,參照圖1至圖6來描述用於微影圖案化之微影光阻以及相應的技術。如下文的詳細敘述,此處將一保護劑加入光阻中,以降低原本可能擾亂反應之化合物所造成的污染。如此一來,所提出的光阻與相關技術能夠提供較佳的圖樣保真度與解析度。圖1為根據本揭露之多種態樣,利用具有浮置保護劑之光阻來圖案化工作件200之方法100的流程圖。當可理解,可在方法100之前、之中或之後採用行額外的步驟,且在方法100的其他實施方式中,可以取代或省略其中某些步驟。圖2及圖3為根據本揭露之多種態樣,正經歷所述圖案化方法之工作件200的一部分之剖面圖。圖4A為根據本揭露之多種態樣,在微影曝光之後,具有包括浮置保護劑之光阻的工作件200之部分的剖面圖。為了方便比較,圖4B為根據本揭露之多種態樣,在微影曝光之後,具有不含保護劑之光阻的另一種工作 件450之部分的剖面圖。圖5及圖6為根據本揭露之多種態樣,正在經歷所述圖案化方法的具有浮置保護劑之工作件200的一部分之剖面圖。為求清楚與方便說明,圖式中某些部件經過簡化。
參照圖1之區塊102與圖2,接收用於圖案化之工作件200。例示性之工作件200包括基板202,其上可形成有其他材料。在多種實施例中,基板202包括一元素(單一元素)半導體,譬如結晶型態之鍺;一化合物半導體,譬如矽鍺、碳化矽、鎵砷、磷化鎵、磷化銦、砷化銦和/或銻化銦;一非半導體材料,譬如鈉鈣玻璃、熔融矽玻璃、熔融石英和/或氟化鈣(CaF2);和/或前述之組合。
基板202可包括多種經摻雜區域(如,p-型阱或n-型阱),譬如源極/汲極區域。依設計需求不同,經摻雜區域可經p-型摻雜物(譬如磷或砷)和/或n-型摻雜物(譬如硼或BF2)摻雜。經摻雜區域可直接形成於基板上、位於P-阱結構、N-阱結構或雙阱結構中,或利用一突起結構。經摻雜區域之形成可利用摻雜物原子之佈植、原位摻雜磊晶生長和/或其他適當的技術。於某些實施方式中,經摻雜區域包括暈狀/口袋區域(halo/pocket regions),其可減少短通道效應(如,穿通效應),且可藉由傾向(tilt-angle)離子佈植或其他適當的技術所形成。
基板202亦可包括多種形成於其上之材料層。譬如,在圖中所示的實施方式中,工作件200包括用於圖案化之材料層204。材料層204代表在積體電路製備過程中之任一層或多層。譬如,材料層204可包括導電層、半導體層、介電層和/或其他層,且可和基板202區分或可整合於其中。在本揭露某些實施例中,材料層204為硬遮罩層,且包括介電層,如半導體氧化物、半導體氮化物、半導體氮氧化物和/或半導體碳化物。可利用硬遮罩材料層204來處理工作件200之下方區域,且特別適用於光阻可能無法承受下方層之處理的情形 中。在選擇硬遮罩材料層204之一或多種材料時,可考量其相對於周圍材料之穩定度以及對蝕刻劑的敏感度。
參照圖1之區塊104且同樣參照圖2,在工作件200上施佈光阻層206。光阻層206代表任何對微影技術敏感之光阻材料,且在許多實施方式中,光阻層206包括對輻射敏感之光阻材料,上述輻射譬如UV光、深紫外光(deep ultraviolet,DUV)輻射和/或EUV輻射。然而,本揭露之原理同樣適用於電子束光阻以及其他直寫式光阻材料。可利用任何適當的技術來施佈光阻層206,且於例示性之實施方式中,以液態之形式利用旋塗技術來施佈光阻層206。旋塗技術可運用離心力在工作件200的表面上散佈液態之光阻層206,並使其有均勻之厚度。為了利於施用,光阻層206可包括一溶劑,當移除所述溶劑時,會留下固態或半固態形式之光阻層206。移除所述溶劑之步驟可以是旋塗製程的一部分,或可在沈降製程和/或在施佈後/曝光前烘烤(即,預烤)製程中移除溶劑。
關於光阻層206之組成物,其可包括一或多種光敏材料。譬如,光阻層206可包括一光酸生成劑(photo-acid generator,PAG),一如其名地,光酸生成劑會在光阻層206暴露於輻射的部分中產生酸。光阻層206中之聚合物,例如酸可裂解聚合物或酸可交聯聚合物,對所產生的酸敏感,進而使得曝光區域中的部分聚合物發生化學反應。光阻層206亦可包括一光鹼生成劑(photobase generator,PBG)和/或光可分解淬滅劑(photo-decomposable quencher,PDQ),以降低光阻層206之未曝光或最低曝光區域中酸的濃度,且因而能夠抑制這些區域中聚合物的化學反應。於某些實施方式中,光阻層206亦包括一或多種色基、溶劑和/或界面活性劑。
因為某些光阻層206組成物對酸/鹼比例非常敏感,可影響酸/鹼平衡的環境污染物會明顯地影響所得圖樣之品質。譬如, 在某些應用中,光阻層206暴露於胺中,胺能夠和光阻中的酸反應並抑制酸。因此,光阻層206的某些曝光區域,特別是位於曝光區域與未曝光區域之邊界的區域,所含的酸可能不足而無法和有效地和聚合物反應。如此一來,會使經顯影光阻層206中出現邊緣扭曲。為了減少或防止污染,光阻層206可包括保護劑材料。保護劑可用以保護光阻不受污染物之影響,且不會改變酸/鹼比例。譬如,可利用疏水性保護劑與親水性污染物之間親水性的差異(或反之亦然)來驅斥此種污染物,而不會改變光阻層206之功能。因此,保護劑可具有一或多種疏水性或親水性官能基,其至少部分取決於欲驅斥之污染物的本質。可添加任何濃度之保護劑,且在多種實施例中,保護劑的濃度佔光阻材料總重的約0.1%至約30%。根據所述實施例中的某些實施例,保護劑的濃度佔光阻材料總重的約3%至約15%。可在製備光阻材料時加入保護劑,亦可在將光阻材料施佈於工作件200之前即刻加入,或在上述兩者間的任何時間點加入。
因為光阻層206的最上方表面最容易暴露於污染物中,所以讓保護劑集中在最上方區域有其益處。因此,於某些實施方式中,雖然在施佈光阻材料時,保護劑是均勻混合的,在施佈之後,保護劑會上升到液態或半固態光阻層206的上部。譬如,在本揭露一些實施方式中,保護劑和光阻中其他成分之間分子量的差異會使得保護劑浮到光阻層206之上方區域。於某些實施方式中,極性差異會使得保護劑上升至光阻層206之上方區域。相似地,於某些實施方式中,親水性程度的不同會使得保護劑上升到上方區域。於某些實施方式中,保護劑在溶劑中的溶解度較高,使得保護劑上升至上方區域。於某些實施方式中,保護劑在光阻之聚合物中的溶解度(或不溶解),使得保護劑上升至上方區域。當可理解,這些特性都不是專屬的,且光阻層206可利用任一種或全部所述特性,或不利用這些特 性,而能夠使保護劑集中在上方區域內。由於保護劑有上升至光阻層206之表面的傾向,可將保護劑視為「浮置」於光阻層206中。
光阻層206可包括任何適當的保護劑。在某些例示性之實施方式中,所述保護劑包括下式所示的聚合物鏈: 其中重複區段可以任何適當的數目重複,且R1與R2的化學結構各不相干。R1及R2可分別包括芳香碳環、烯和/或炔,其一般碳數介於約1至約50之間,然而於某些實施方式中,烯和/或炔的碳數較高。額外地或可選地,R1及R2可包括直鏈或環狀烷基、烷氧基、氟烷基、氟烷氧基、環氧基和/或胺基。其他適合的R1及R2成分包括鹵化物、-H、-OH、-Cl、-Br、-I、-NO2、-SO3、-CN、-NCO、-OCN、-CO2、-COOH和/或-OH。於某些實施方式中,R1及R2其中之一或兩者包括下式所示之官能基:-OR*、-OC(O)CR*、-SR*、-SO2N(R*)2、-SO2R*、-SOR*、-OC(O)R*、-C(O)OR*、-C(O)R*、-Si(OR*)3和/或-Si(R*)3,其中R*是以下任一種:H或分支或未分支、環狀或非環狀、飽和或未飽和的烷基、烯基或炔基。在多種實施例中,保護劑聚合物之分子量可介於約1000至約3000之間。
保護劑亦可包括下式所示的聚合物鏈: 其中重複區段可以任何適當的數目重複,且R1、R2、RZ與Rf的化學結 構各不相干,且其中R1及R2實質上可以是如上文所述者。RZ可包括芳香碳環、烯基和/或炔基。於某些實施方式中,RZ可包括一直鏈或環狀烷基、烷氧基、氟烷基和/或氟烷氧基。相似地,Rf可包括直鏈、分支或環狀、飽和或未飽和的氟烷基。其他適合的RZ及Rf成分包括-Cl、-Br、-I、-NO2、-SO3、-CN、-NCO、-OCN、-CO2、-COOH和/或-OH。於某些實施方式中,RZ及Rf其中之一或兩者包括下式所示之官能基:-OR*、-OC(O)CR*、-SR*、-SO2N(R*)2、-SO2R*、-SOR*、-OC(O)R*、-C(O)OR*、-C(O)R*、-Si(OR*)3和/或-Si(R*)3,其中R*是以下任一種:H或分支或未分支、環狀或非環狀、飽和或未飽和的烷基、烯基或炔基。在多種實施例中,保護劑之分子量可介於約1000至約3000之間。
參照圖1之區塊106以及圖3,對工作件200進行沈降製程,以使得保護劑能上升至光阻層206之上方區域。沈降製程亦可去除部分溶劑。在圖3所示的實施方式中,沈降製程使保護劑集中在光阻層上方25%的部分中(以元件符號402表示)。然而,相較於後續的預烤處理能進一步將保護劑集中在上方區域402中且能進一步移除溶劑,可在較低的溫度下進行沈降製程,以避免在保護劑上升至光阻層206之上方區域402之前就將光阻層206變為固態。在例示性的實施方式中,於進一步的處理之前,將工作件200維持在適當的溫度下持續至少一小時,以作為沈降製程的一部分。可在環境溫度(即,室溫)或較高的溫度下進行沈降製程,然而所述較高的溫度可低於預烤溫度(如,低於約90℃)。
參照圖1之區塊108且同樣參照圖3,在工作件200上進行處理後烘烤或預烤製程。預烤可進一步將保護劑集中在光阻層206的上方區域402中。在所示的實施方式中,預烤可使保護劑集中,而使得在預烤結束時,大多數的保護劑會位於上方區域402(上方 25%)中。預烤製程亦可使得部分或所有留存之溶劑由光阻層206逸出。可在任何適當的溫度下進行預烤,且於一例示性之實施方式中,其包括將工作件200加熱至高於90℃之溫度。於另一例示性之實施方式中,預烤製程包括利用加熱板將工作件200加熱至約90℃。
參照圖1之區塊110以及圖4A,在工作件200上進行微影曝光,以使得光阻層206之所選區域(如,區域402)和輻射接觸。適當的輻射包括UV光、深紫外光(DUV)輻射和/或EUV輻射。在以使用遮罩的光刻技術中,遮罩400會吸收原本會使光阻層206中欲保護之區域曝光的輻射,而將輻射導向欲曝光之區域402。譬如,圖4A之遮罩400為一穿透式遮罩的簡化代表圖,其含有吸收區域與穿透區域之圖樣,其中吸收區域能夠阻擋輻射到達光阻層206,而穿透區域使得輻射可到達光阻層206。遮罩400亦可表示一反射式遮罩,其含有吸收區域與反射區域之圖樣,其中吸收區域能夠阻擋輻射,且反射區域能夠反射輻射而將之投射到工作件200上。再者,本揭露之原理可延伸至電子束或離子束光阻層206。在這些實施方式中,在區塊110中進行直寫式製程(譬如電子束或離子束曝光),以使光阻層206之所選區域曝光。
區塊110之曝光作業會在光阻層206的曝光區域402中引發化學反應。譬如,輻射照射會使得曝光區域402中的PAG產生酸,此一酸能夠和光阻層206中的聚合物反應。在圖4A所示的實施例中,將曝光區域402加上網底以表示酸的濃度增加以及所導致的化學反應。由於保護劑的關係,整個曝光區域402中酸的濃度可相對保持恆定。
相較於圖4A所示的例子中使用了含保護劑的光阻層206,圖4B繪示之工作件450的光阻層452不含保護劑,且其中環境污染物(如,胺或其他烷類污染物)會藉由中和酸或抑制酸的形成,而 使酸的濃度降低。在圖4B中,光阻452之曝光區域的第一部分454有較高的酸濃度(此部分以網底表示),而在曝光區域的第二部分456中,酸的產生受到了抑制(無網底)。當可想見,曝光之光阻層452的某些部分並未因為光線照射而使得酸濃度上升。此一結果在光阻層452的上部可能更為明顯,因為光阻層452的此一部分最有可能和環境污染物接觸。圖4B的顯影光阻層452會招導致不規則的圖樣邊緣,其可能對下方材料層204之圖案化帶來負面影響。譬如,在顯影正光阻時通常會使用水性顯影製程,此時會發生CD(臨界尺寸)縮小的不理想狀況;而在顯影負光阻時會使用有機溶劑顯影製程,此時會發生CD變大的不理想狀況。然而,已證實在光阻層206中加入上述保護劑可減少甚至避免此種效應。
參照圖1之區塊112以及圖5,在圖4A之工作件200上進行顯影製程。顯影製程可溶解或以其他方式移除曝光區域(當進行正光阻顯影製程時)或未曝光區域(當採用負光阻顯影製程時)。顯影製程可以一曝光後烘烤作為開端。隨著光阻層206中所包含之聚合物不同,曝光後烘烤製程可催化所產生之酸和聚合物之間的反應。譬如,曝光後烘烤製程可加速聚合物因為所產生的酸所導致之裂解或交聯反應。在可任選的曝光後烘烤製程之後,將顯影劑施佈於工作件200上,其能夠移除光阻層206的特定區域。適當的正顯影劑包括TMAH(tetramethyl ammonium hydroxide,氫氧化四甲胺)、KOH以及NaOH;而適當的負顯影劑包括譬如n-乙酸丁酯、乙醇、己烷、苯及甲苯等溶劑。在將作件200顯影之後,可以沖洗經顯影之光阻層206。
參照圖1之區塊114,對工作件200進行硬烤,以進一步穩定光阻層206之圖樣。參照圖1之區塊116以圖6,利用圖案化光阻層206來選擇性地處理下方材料層204。在圖6之實施例中,此一處理 製程包括蝕刻下方材料層204。形成於光阻層206中之圖樣使得能夠選擇性地蝕刻材料層204之曝光區域。然而,可以理解,本揭露之概念可適用在下方材料層204上進行的任何製備處理。譬如,可在下方材料層204上進行任何適當的蝕刻製程、沈積製程、佈植製程、磊晶製程和/或任何其他製備處理。在多種實施例中,利用圖案化材料層204來製備閘極堆疊、製備互連結構、利用蝕刻以露出一鰭或以磊晶方式長成鰭材料而形成非平面元件,和/或其他適當的應用。在下方材料層204經處理之後,可以移除留存之光阻層206。
參照圖1之區塊118,之後可提供工作件200以供後續製備處理。譬如,工作件200可用於製備積體電路晶片、單晶片(system on a chip,SOC)和/或其一部分,且因此,後續製備處理可形成各種被動與主動微機電元件,譬如電阻器、電容器、電感器、二極體、金屬氧化物半導體場效應電晶體(metal-oxide semiconductor field effect transistor,MOSFET)、互補金屬氧化物半導體(complementary metal-oxide semiconductor,CMOS)電晶體、雙極接面電晶體(bipolar junction transistors,BJT)、側向擴散MOS(laterally diffused MOS,LDMOS)電晶體、高功率MOS電晶體、其他類型的電晶體和/或其他電路元件。
因此,本揭露提出一種用以在工作件上形成構件之技術,其對環境污染物提供了較佳的抵抗力。於某些實施方式中,所提出的方法包括接收一工作件並對此工作件施佈有保護劑散佈於其中的光阻材料。對所述工作件進行熱製程,而使得保護劑集中在光阻材料的上方區域中。於微影製程中使光阻材料曝光,並使經曝光的光阻材料顯影,以於光阻材料中界定一圖樣。基於光阻材料之圖樣,選擇性地處理工作件之一部分。於本揭露某些實施例中,所述方法亦包括於工作件上進行沈降製程,這也會使得保護劑集中在光阻材料的上方區 域中。於本揭露某些實施方式中,所述保護劑包括以下至少一種:芳香碳環、烯官能基或炔官能基。
於進一步的實施方式中,提出一微影方法,其包括接收一基板以及對此基板施佈含有保護劑之光阻材料。於施佈光阻之後,在光阻相對於基板之上方區域中,有第一濃度之保護劑。其後,進行一製程以使得上方區域中之保護劑的濃度由第一濃度提高至第二濃度。對光阻進行微影曝光,以於其中界定一圖樣;以及利用曝光之光阻中所界定的圖樣,處理該基板之曝光部分。在本揭露一些實施方式中,保護劑包括疏水性官能基。在本揭露一些實施方式中,保護劑經選擇而使得至少一種性質導致該保護劑集中於光阻之上方區域中,上述至少一種性質為:分子量差異、極性差異、親水性差異、於光阻材料之溶劑中的溶解度差異或於光阻材料之聚合物中的溶解度差異。
在又進一步的實施方式,提出一種微影光阻材料,其包括光敏材料、聚合物以及保護劑,其中在施佈之後,保護劑於微影光阻材料最上方區域中的濃度會增加。在本揭露一些實施方式中,保護劑包括如下式所示之聚合物鏈: 其中R1及R2分別是以下一種:芳香碳環、烯基、炔基、烷基、烷氧基、氟烷基、氟烷氧基、環氧基、胺基、鹵化物、-H、-OH、-Cl、-Br、-I、-NO2、-SO3、-CN、-NCO、-OCN、-CO2,-COOH或-OH。在本揭露一些實施方式中,保護劑包括如下式所示之聚合物鏈:
其中R1及R2分別包括至少一種下列官能基:-OR*、-OC(O)CR*、-SR*、-SO2N(R*)2、-SO2R*、-SOR*、-OC(O)R*、-C(O)OR*、-C(O)R*、-Si(OR*)3或-Si(R*)3,且其中R*是以下一種:H、烷基、烯基或炔基。
上文的敘述簡要地提出了本發明某些實施例之特徵,而使得本發明所屬技術領域具有通常知識者能夠更全面地理解本揭示內容的多種態樣。本發明所屬技術領域具有通常知識者當可明瞭,其可輕易地利用本揭示內容作為基礎,來設計或更動其他製程與結構,以實現與此處所述之實施方式相同的目的和/或達到相同的優點。本發明所屬技術領域具有通常知識者應當明白,這些均等的實施方式仍屬於本揭示內容之精神與範圍,且其可進行各種變更、替代與更動,而不會悖離本揭示內容之精神與範圍。
100‧‧‧方法
102-118‧‧‧區塊

Claims (10)

  1. 一種製備方法,其包括:接收一工作件;對該工作件施佈一光阻材料,其中該光阻材料含有一保護劑,該保護劑為疏水性且具有一含氟官能基,其中該保護劑散佈於該光阻材料中;於該工作件上進行一熱製程,以使得該保護劑集中於該光阻材料之一上方區域中,其中該熱製程包含:以一第一溫度曝光該工作件,其中該第一溫度不會將該光阻材料變為固態,及以一第二溫度曝光該工作件,其中該第二溫度高於該第一溫度;於一微影製程中曝光該光阻材料,其中在該微影製程中,該保護劑驅斥會影響該光阻材料之一酸/鹼比例的環境污染物;顯影該曝光光阻材料,以於該光阻材料中界定一圖樣;以及基於該光阻材料之該圖樣而選擇性地處理該工作件之一部分。
  2. 如請求項1所述之製備方法,其中該第一溫度低於90℃,及該第二溫度高於90℃。
  3. 如請求項1所述之製備方法,其中該保護劑不會影響該光阻材料之該酸/鹼比例。
  4. 如請求項1所述之製備方法,其中該保護劑抑制胺污染物對該光阻材料的反應。
  5. 如請求項1所述之製備方法,其中該含氟官能基是一氟烷基和一氟烷氧基。
  6. 如請求項1所述之製備方法,其中該保護劑包括如下式所示之一 聚合物鏈: 其中Rf是一氟烷基,Rz是選自以下:一烷基、一烷氧基、一氟烷基、及一氟烷氧基,及R1及R2分別是選自以下:一芳香碳環、一烯基、一炔基、一烷基、一烷氧基、一氟烷基、一氟烷氧基、一環氧基、一胺基、一鹵化物、-H、-OH、-Cl、-Br、-I、-NO2、-SO3、-CN、-NCO、-OCN、-CO2,-COOH及-OH。
  7. 如請求項1所述之製備方法,其中該保護劑包括如下式所示之一聚合物鏈: 其中Rf是一氟烷基,Rz是選自以下:一烷基、一烷氧基、一氟烷基、及一氟烷氧基,及R1及R2分別是選自以下:-OR*、-OC(O)CR*、-SR*、-SO2N(R*)2、-SO2R*、-SOR*、-OC(O)R*、-C(O)OR*、-C(O)R*、-Si(OR*)3及-Si(R*)3,R*是選自以下:H、一烷基、一烯基及一炔基。
  8. 如請求項1所述之製備方法,其中該保護劑經選擇而使得至少一種性質導致該保護劑集中於該光阻材料之該上方區域中,其中該至少一種性質為:一分子量差異、一極性差異、一親水性差異、於該光阻材料之一溶劑中的溶解度之一差異或於該光阻材料之一聚合物中的溶解度之一差異。
  9. 如請求項1所述之製備方法,其中該保護劑之一分子量介於約1000至約3000之間。
  10. 一種微影方法,其包括:接收一基板;對該基板施佈含有一保護劑之一光阻材料,該保護劑為疏水性且具有一含氟官能基,其中在施佈該光阻層後,該光阻層與該基板相對之一上方區域有一第一濃度之該保護劑,及其中該保護劑不會影響該光阻層之一酸/鹼比例,及抑制胺污染物對該光阻層的反應和對該光阻層之該酸/鹼比例的影響;進行一預烤製程以將該上方區域中之該保護劑由該第一濃度提高至一第二濃度;進行該光阻之一微影曝光,以於其中界定一圖樣;以及利用該曝光光阻中界定之該圖樣,處理該基板之一部分。
TW104139371A 2015-02-26 2015-11-26 具有浮置保護劑之微影光阻 TWI600982B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/632,793 US9864275B2 (en) 2015-02-26 2015-02-26 Lithographic resist with floating protectant

Publications (2)

Publication Number Publication Date
TW201631411A TW201631411A (zh) 2016-09-01
TWI600982B true TWI600982B (zh) 2017-10-01

Family

ID=56799116

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104139371A TWI600982B (zh) 2015-02-26 2015-11-26 具有浮置保護劑之微影光阻

Country Status (4)

Country Link
US (1) US9864275B2 (zh)
KR (1) KR101786223B1 (zh)
CN (1) CN105929634B (zh)
TW (1) TWI600982B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6477270B2 (ja) * 2015-06-09 2019-03-06 信越化学工業株式会社 パターン形成方法
US11327398B2 (en) * 2019-04-30 2022-05-10 Samsung Electronics Co., Ltd. Photoresist compositions and methods for fabricating semiconductor devices using the same
US20230161257A1 (en) * 2021-09-30 2023-05-25 Rohm And Haas Electronic Materials Llc Photoresist compositions and pattern formation methods
CN117383840A (zh) * 2023-10-18 2024-01-12 东莞市友辉光电科技有限公司 一种用于车载显示防眩盖板的制备方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060246373A1 (en) * 2005-05-01 2006-11-02 Rohm And Haas Electronic Materials Llc Compositions and processes for immersion lithography
US20090239176A1 (en) * 2007-03-20 2009-09-24 Fujifilm Corporation Resin for hydrophobitizing resist surface, method for manufacturing the resin, and positive resist composition containing the resin
US20110300483A1 (en) * 2008-07-12 2011-12-08 International Business Machines Corporation Self-Segregating Multilayer Imaging Stack With Built-In Antireflective Properties
US20120034558A1 (en) * 2010-08-03 2012-02-09 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Photolithography material for immersion lithography processes

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19613158A1 (de) 1996-04-02 1997-10-09 Daetwyler Ag Hochdynamischer piezoelektrischer Antrieb
KR100243738B1 (ko) 1997-06-28 2000-03-02 김영환 반도체 소자의 제조 방법(Method for manufacturing semiconductor device)
JP2001023893A (ja) * 1999-07-12 2001-01-26 Nec Corp フォトレジストパターンの形成方法
US7193023B2 (en) * 2003-12-04 2007-03-20 International Business Machines Corporation Low activation energy photoresists
US8518628B2 (en) 2006-09-22 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface switchable photoresist
US8580117B2 (en) 2007-03-20 2013-11-12 Taiwan Semiconductor Manufactuing Company, Ltd. System and method for replacing resist filter to reduce resist filter-induced wafer defects
JP5466375B2 (ja) 2008-04-08 2014-04-09 東京応化工業株式会社 樹脂パターンの製造方法
US8017303B2 (en) * 2009-02-23 2011-09-13 International Business Machines Corporation Ultra low post exposure bake photoresist materials
US8216767B2 (en) 2009-09-08 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and chemical amplified photoresist with a photodegradable base
US8323870B2 (en) 2010-11-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and photoresist with zipper mechanism
JP2012113302A (ja) * 2010-11-15 2012-06-14 Rohm & Haas Electronic Materials Llc 塩基反応性成分を含む組成物およびフォトリソグラフィーのための方法
JP6022788B2 (ja) * 2011-04-07 2016-11-09 住友化学株式会社 レジスト組成物及びレジストパターンの製造方法
TWI525066B (zh) * 2011-04-13 2016-03-11 住友化學股份有限公司 鹽、光阻組成物及製備光阻圖案之方法
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
US8592137B2 (en) 2011-12-16 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for small trench patterning using chemical amplified photoresist compositions
JP2015038534A (ja) * 2011-12-16 2015-02-26 日産化学工業株式会社 レジスト下層膜形成組成物及びそれを用いたレジストパターンの形成方法
US8741551B2 (en) 2012-04-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a dual sensitive resist
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9851636B2 (en) 2012-07-05 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Materials and methods for improved photoresist performance
US20140017615A1 (en) 2012-07-11 2014-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for resist coating and developing
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US8936903B2 (en) 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid
US9159559B2 (en) 2013-03-11 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography layer with quenchers to prevent pattern collapse
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
JP5740441B2 (ja) 2013-07-29 2015-06-24 富士フイルム株式会社 感活性光線または感放射線性樹脂組成物、および該組成物を用いたパターン形成方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060246373A1 (en) * 2005-05-01 2006-11-02 Rohm And Haas Electronic Materials Llc Compositions and processes for immersion lithography
US20090239176A1 (en) * 2007-03-20 2009-09-24 Fujifilm Corporation Resin for hydrophobitizing resist surface, method for manufacturing the resin, and positive resist composition containing the resin
US20110300483A1 (en) * 2008-07-12 2011-12-08 International Business Machines Corporation Self-Segregating Multilayer Imaging Stack With Built-In Antireflective Properties
US20120034558A1 (en) * 2010-08-03 2012-02-09 Taiwan Semiconductor Manufacturing Company, Ltd., ("Tsmc") Photolithography material for immersion lithography processes

Also Published As

Publication number Publication date
CN105929634A (zh) 2016-09-07
KR20160104539A (ko) 2016-09-05
US9864275B2 (en) 2018-01-09
CN105929634B (zh) 2020-01-14
KR101786223B1 (ko) 2017-10-17
TW201631411A (zh) 2016-09-01
US20160254142A1 (en) 2016-09-01

Similar Documents

Publication Publication Date Title
US10281819B2 (en) Silicon-containing photoresist for lithography
CN108227392B (zh) 微影图案化的方法
CN109427553B (zh) 保护晶圆免受斜面污染的半导体方法
JP2011070165A (ja) 電子デバイスを形成するための組成物および方法
JP2011109059A (ja) 電子デバイスを形成する方法
US8822347B2 (en) Wet soluble lithography
TWI600982B (zh) 具有浮置保護劑之微影光阻
US8753797B2 (en) Surface-modified middle layers
US20200294801A1 (en) Grafting Design for Pattern Post-Treatment in Semiconductor Manufacturing
US11460776B2 (en) Method and apparatus of patterning a semiconductor device
KR20100124680A (ko) 다중 노광 광리소그래피용 조성물 및 방법
WO2011123433A2 (en) Method of slimming radiation-sensitive material lines in lithographic applications
US11703766B2 (en) Materials and methods for forming resist bottom layer
TWI737856B (zh) 微影圖案化方法
US9159559B2 (en) Lithography layer with quenchers to prevent pattern collapse
US20130045591A1 (en) Negative tone develop process with photoresist doping
CN108333866B (zh) 光刻图案化的方法
TWI737782B (zh) 微影圖案化的方法
CN105990104B (zh) 制造一半导体装置的方法
TW201915609A (zh) 半導體結構的形成方法
KR102481142B1 (ko) Euv 리소그래피를 위한 네가티브 톤 포토레지스트
CN110660651A (zh) 半导体结构的形成方法
CN110824845A (zh) 半导体制程