KR20100124680A - 다중 노광 광리소그래피용 조성물 및 방법 - Google Patents

다중 노광 광리소그래피용 조성물 및 방법 Download PDF

Info

Publication number
KR20100124680A
KR20100124680A KR1020100047146A KR20100047146A KR20100124680A KR 20100124680 A KR20100124680 A KR 20100124680A KR 1020100047146 A KR1020100047146 A KR 1020100047146A KR 20100047146 A KR20100047146 A KR 20100047146A KR 20100124680 A KR20100124680 A KR 20100124680A
Authority
KR
South Korea
Prior art keywords
composition
resist
layer
resist pattern
photosensitive
Prior art date
Application number
KR1020100047146A
Other languages
English (en)
Other versions
KR101742573B1 (ko
Inventor
배영철
이 류
토마스 카르도랙시아
Ⅲ 피터 트레포나스
Original Assignee
롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. filed Critical 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨.
Publication of KR20100124680A publication Critical patent/KR20100124680A/ko
Application granted granted Critical
Publication of KR101742573B1 publication Critical patent/KR101742573B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0047Photosensitive materials characterised by additives for obtaining a metallic or ceramic pattern, e.g. by firing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 발명은 다중 노광 광리소그래피에 사용되기에 적합한 조성물 및 다중 노광 광리소그래피를 사용하여 전자 장치를 형성하는 방법에 관한 것이다. 본 조성물은 특히 고밀도 리소그래피 패턴을 형성하기 위한 반도체 장치 제조에 유용하다.

Description

다중 노광 광리소그래피용 조성물 및 방법{COMPOSITIONS AND METHODS FOR MULTIPLE EXPOSURE PHOTOLITHOGRAPHY}
본 출원은 35 U.S.C.§119(e)에 따라, 2009년 5월 19일 출원된 미국 가출원 제 61/216,609호에 대하여 우선권을 주장하며, 상기 출원의 내용은 본 명세서에서 참조로 포함된다.
본 발명은 다중 노광 광리소그래피 공정에 사용되기에 적합한 조성물에 관한 것이다. 본 발명은 또한 다중 노광 광리소그래피를 사용하여 전자 장치를 형성하는 방법에 관한 것이다. 본 조성물 및 방법은 특히 고밀도 리소그래피 패턴 및 소자(features)를 형성하기 위한 반도체 장치의 제조에 유용하다.
반도체 제조 산업에서, 포토레지스트 물질은 이미지를 기판 자체뿐 아니라 반도체 기판에 증착된 금속, 반도체 및 유전 층과 같은 하나 이상의 하부 층들에 이송하는데 사용된다. 반도체 장치의 통합 밀도를 증강시키기 위해 또한 나노미터(nm) 범위의 치수를 갖는 구조 형성을 위해, 고분해능을 갖는 포토레지스트 및 광리소그래피 공정 도구들이 계속 개발되어 오고 있다.
반도체 장치에서 나노미터-단위 소자 크기를 달성하기 위한 일 방법은 예컨대 193 nm 이하의 단파장 광선을 레지스트 노광시 사용하는 것이다. 침지 리소그래피(immersion lithography)는 KrF 또는 ArF 광원을 갖는 스캐너와 같은 이미징 장치의 렌즈 개구수(NA)를 효과적으로 증가시킨다. 이는 상대적으로 고굴절율 용액(예, 침지액)을 이미징 장치와 반도체 웨이퍼의 상부 표면사이에 사용함으로써 달성된다. 침지액은 공기나 불활성 가스 매질에서 일어날 수 있는 것보다 더 많은 양의 광선이 레지스트 층내로 포커싱되게 한다. 물을 침지액으로 사용하는 경우, 최대 개구수는 예컨대 1.2 에서 1.35로 증가된다. 이러한 개구수 증가와 함께, 단일 노광 과정에서 40 nm 하프-피치(half-pitch) 분해도가 가능하게 되어 보다 개선된 디자인 축소(design shrink)가 가능하다. 그러나 이러한 표준 침지 리소그래피 공정은 일반적으로 더 큰 분해도, 예컨대 32 nm 및 22nm의 하프-피치 노드를 필요로 하는 장치의 제조에는 적합하지 않다.
더 큰 분해도를 얻고, 기존 제조 도구들의 성능을 확장시키기 위해, 다양한 이중 패터닝(피치 스플리팅으로도 지칭된다) 기술이 제안되었다. 이러한 기술의 예로서, 이중-에칭 이중-패터닝(DEDP) 및 이중-노광 단일-에칭 이중-패터닝(SEDP) 공정이 포함된다. 이중-에칭 이중-패터닝 공정에서, 제1 포토레지스트 층은 기판상에 코팅되고 노광 및 현상되어 제1 레지스트 패턴을 형성한다. 레지스트 패턴은 에칭에 의해 밑에 있는 하드마스크 층에 이송되며, 레지스트는 제거된다. 제2 포토레지스트 층은 하드마스크 층상에 코팅되며, 노광 및 현상되어 하드마스크 층의 인접하는 선들 사이에 증착된 라인을 포함하는 제2 레지스트 패턴을 형성한다. 이러한 패턴화된 하드 마스크 층 및 제2 레지스트 패턴을 포함하는 이중 패턴은 이후 에칭에 의해 하나 이상의 하부 층들에 이송된다. DEDP 공정은 중간 에칭 및 레지스트 제거 공정을 수행하기 위해 웨이퍼가 광리소그래피 공정 모듈에서 나왔다가 다시 들어가야 한다는 점에서 불리하다. 이러한 웨이퍼 이동과 에칭 및 레지스트 제거 공정은 그 자체로 오염원이 될 수 있으며, 이로써 결함이 증대될 수 있다. 또한 DEDP 공정은 상대적으로 다수의 공정단계를 요구하며 이는 요망되는 것보다 낮은 제조 성과를 초래할 수 있다.
단일-에칭 이중-패터닝 기술은 상기 DEDP 공정에 수반되는 전술된 문제점을 해결하기 위해 두개의 포토레지스트 층을 사용하고 하나의 에칭 단계를 사용하여 레지스트 패턴을 패턴화될 하부 층들에 이송한다. SEDP 공정은 후속하는 제2 리소그래피 공정을 위해 제1 리소그래피 패턴을 경화 또는 안정화시키는 부가 공정을 요구한다. 이러한 안정화 공정은 통상 제1 레지스트 패턴 표면상 또는 벌크에서의 분자내 및 분자간 가교결합 반응을 수반한다. 패턴 안정화가 레지스트 패턴 벌크에서 일어나든 표면에서 일어나든 경화 공정은 경화, 제1 및 제2 레지스트층간의 상호혼합 동안의 패턴 변형 및 제2 레지스트 층의 현상 동안의 제1 레지스트 패턴의 현상을 최소화하거나 아예 피할 수 있어야 한다. 단일-에칭 이중-패터닝 공정의 제1예는 제1 레지스트 패턴 동안 열 경화를 사용한다. 제1 포토레지스트 층의 노광 및 현상 후, 생성되는 패턴은 고온-베이킹 즉 통상 170℃를 넘는 온도에서 경화된다. 제2 포토레지스트 층은 에칭될 층 및 경화된 제1 레지스트 패턴 상에 코팅된후, 노광 및 현상되어 경화된 제1 레지스트 패턴의 인접하는 선들 사이에 선을 형성한다. 제1 및 제2 레지스트 패턴은 이후 에칭에 의해 하부 층들에 이송된다. 제1 레지스트 패턴 경화에 사용된 고온으로 인해 패턴 변형이 일어날 수 있다. 이러한 패턴 변형의 경우, 제1 레지스트 패턴의 의도된 소자들이 하부 층들에 정확하게 이송될 수 없다.
단일-에칭 이중-패터닝 공정의 제2 예에서, 제1 레지스트 패턴은 제1 레지스트 패턴상에 증착된 레지스트-경화 오버코트 층을 사용하여 화학적으로 경화된다. 포토레지스트 조성물 및 오버코트 층의 구성성분들은 열과 반응하여 제1 포토레지스트 패턴의 경화된 표면 영역을 형성한다. 오버코트 화학 경화 시스템에 관련된 이중 패터닝 기술은 예를 들면 미국 특허출원 공개 제2008/0199814A1호 및 Brzozowy 에 개시되어 있다. 상기 문헌은 레지스트 폴리머내 앵커기와 반응성인 2개 이상의 기능기를 포함하는 고정 화합물 및 용매를 포함하는 고정용액의 사용을 개시하고 있다. 상기 문헌에 기술된 레지스트는 실리콘-함유 폴리머를 포함한다. 그러나 서브-400 nm, 서브-300 nm 또는 서브-200 nm의 파장에서 통상 사용되는 것들을 포함하여 다양한 포토레지스트와 적합성이 있으며, 반드시 실리콘계일 필요가 없는 레지스트 경화 조성물이 요망된다.
선행 기술에 수반되는 하나 이상의 전술한 문제점을 해결하고, 다중 노광 리소그래피 공정에 사용되기에 적합한 조성물 및 다중 노광 리소그래피 공정에서 이러한 조성물을 사용하여 전자장치를 형성하는 방법 및 이러한 공정으로 형성된 전자장치를 제공하는 것을 목적으로 한다.
본 조성물과 방법은 선행 기술에 수반되는 하나 이상의 전술한 문제점을 해결한다.
본 발명의 일 측면에 따라, 다중 노광 리소그래피 공정에 사용되기에 적합한 조성물이 제공된다. 상기 조성물은 매트릭스 폴리머; 가교결합제; 3 또는 더 고도의 기능성 1차 아민(tri- or higher order-functional primary amine); 및 용매를 포함한다. 본 발명의 추가적인 측면에 따라 상기 조성물은 다기능 방향족 메탄올 유도체(multifunctional aromatic methanol derivative)를 포함한다.
본 발명의 추가적인 측면에 따라, 본 발명은 다중 노광 리소그래피 공정을 사용하는 전자장치를 형성하는 방법이 제공된다. 상기 방법은, (a) 하나 이상의 패턴화될 층들을 포함하는 반도체 기판을 제공하는 단계; (b) 하나 이상의 패턴화될 층상에 제1 감광성 조성물 층을 적용하는 단계; (c) 제1 감광성 조성물 층을 제1 포토마스크를 통해 활성화 광선에 노광시키는 단계; (d) 제1 감광성 조성물의 노광층을 제1 노광후 베이킹(post-exposure bake)에서 열처리하는 단계; (e) 노광되고, 열처리된 제1 감광성 조성물 층을 현상하여 제1 레지스트 패턴을 형성하는 단계; (f) 하나 이상의 패턴화될 층 및 제1 레지스트 패턴 상에, 매트릭스 폴리머; 가교결합제; 3 또는 더 고도의 기능성 1차 아민; 및 용매를 포함하는 레지스트-경화 조성물층을 적용하는 단계; (g) 레지스트-경화 조성물 코팅된 기판을 열처리하여 제1 레지스트 패턴의 최소한 일부분을 경화하는 단계; (h) 기판에서 과잉의 레지스트-경화 조성물을 제거하는 단계; (i) 하나 이상의 패턴화될 층상 및 제1 레지스트 패턴상에 제2 감광성 조성물층을 적용하는 단계; (j) 제2 감광성 조성물층을 제2 포토마스크를 통해 활성화 광선에 노광시키는 단계; (k) 상기 제2 감광성 조성물의 노광층을 제2 노광 후 베이킹에서 열처리하는 단계; (l) 상기 노광되고 열처리된 제2 감광성 조성물층을 현상하여 제2 레지스트 패턴을 형성하는 단계; 및 (m) 상기 제1 및 제2 레지스트 패턴을 동시에 에칭 마스크로서 사용하여 하나 이상의 패턴화될 층들을 에칭하는 단계를 포함한다.
추가 면에서, 본 발명은 기판상에 하나 이상의 에칭될 층들, 에칭될 기판상의 포토레지스트 패턴 및 상술한 레지스트-경화 조성물에서 형성되는 레지스트-경화 조성물 층을 가지며, 레지스트-경화 조성물 층이 포토레지스트 패턴상에 증착된 전자장치 기판을 제공한다.
추가 면에서, 본 발명은 상술된 본 발명의 방법에 따라 형성된 전자 장치를 제공한다.
이하 도면을 참조하여 본 발명이 더욱 상세히 논의되며, 도면에서 동일한 참조번호는 동일한 소자를 표시한다.
본 발명의 조성물은 다양한 포토레지스트와 적합성이 좋고, 다중 노광 광리소그래피 공정에 사용되기에 적합하다.
도 1A 내지 1K는 본 발명의 예시적 측면에 따라, 전자 장치를 형성하기 위한 단일-에칭 이중-노광 광리소그래피 공정 흐름을 도시한 것이다.
도 2A 내지 2D는 반도체 웨이퍼상에 이중 패턴 교차선 구조를 형성하는 포토마스크 및 노광 기술을 도시한 것이다.
레지스트 -경화 조성물
본 발명의 제1 측면은 일반적인 광리소그래피 공정에 유용하고, 특히 다중 노광 리소그래피에 특별한 적용성이 있는 조성물을 제공한다. 상기 조성물은 단일 노광 및 다중 노광 리소그래피 공정에서, 예를 들면 단일 에칭 이중, 삼중 또는 더 고도의 패터닝 공정에서 아래에 있는 포토레지스트 패턴을 화학적으로 경화하기 위한 오버코트 물질로서 사용될 수 있다. 상기 조성물은 매트릭스 폴리머, 가교결합제, 3 또는 더 고도의 기능성 1차 아민 및 용매를 포함한다. 상기 조성물은 추가적으로 다기능 방향족 메탄올 유도체 또는 계면활성제와 같은 임의의 성분을 포함할 수 있다. 본 명세서에서, "하나의"는 하나 이상을 포함하는 것으로, 하나 이상의 열거된 각 성분들이 본 발명의 조성물내 존재할 수 있다.
매트릭스 폴리머(matrix polymer)는 레지스트-경화 조성물이 레지스트 패턴상에 균일하게 코팅을 형성할 수 있도록 한다. 이 성분은 용매 가용성이어야 하며, 통상 레지스트-경화 조성물의 다른 성분에 대해서는 불활성이다. 매트릭스 폴리머는 부가적으로 탈이온수(DI)와 같은 제거 물질 및/또는 테트라메틸암모늄 하이드록사이드 용액(TMAH), 예컨대 2.38 (wt%) TMAH 용액과 같은 수계 (aqueous base) 현상제 내에서 충분히 높은 용해속도를 나타내어야 한다. 매트릭스 폴리머는 통상 알콜-가용성(alcohol-soluble) 및 수계-가용성(aqueous base-soluble)이다.
매트릭스 폴리머는 하나 이상의 형태의 반복 단위를 포함하며, 반복단위 중 한 형태는 통상적이다. 임의로 두개, 세개 또는 그 이상의 복수의 구별되는 매트릭스 폴리머들을 채용할 수 있다. 적합한 매트릭스 폴리머의 예로서 폴리비닐피롤리돈, 폴리(하이드록시스티렌), 폴리비닐알콜, 폴리(에틸렌옥사이드), 폴리(프로필렌옥사이드) 및 이들의 조합을 포함한다. 매트릭스 폴리머 성분은 통상 레지스트-경화 조성물내 모든 고형 성분 중 가장 많은 부분으로 존재하여, 형성된 레지스트-경화 오버코트 층의 주요 부분을 형성한다. 본 명세서에서, "고형물" 및 "고형 성분"은 조성물에 있어서, 용매 성분을 제외한 나머지 조성물의 모든 성분을 뜻한다.
매트릭스 폴리머는 통상적으로 본 조성물내에서, 조성물의 총 고형물에 대해 70 내지 90 wt%, 예를 들면 , 75 내지 85 wt%의 량으로 존재한다.
본 발명의 레지스트-경화 조성물은 추가적으로 하나 이상의 가교결합제를 포함한다. 이 성분은 하나 이상의 1차 아민, 임의의 다기능 방향족 메탄올 유도체, 밑에 있는 레지스트 폴리머, 예를 들면 포지티브-작용성 재료(positive-acting material)의 경우 폴리머 사슬의 탈보호된 부분 간에 및/또는 이들 내에서 상승된 온도하에서 가교결합 반응을 촉진시키는 것으로 사료된다. 적합한 가교결합제는, 예를 들면, 하기 일반식 (G-I)을 갖는 화합물을 포함한다:
Figure pat00001

상기 식에서: R1 및 R2는 서로 독립적으로 수소, 임의로 치환된 C1 내지 C6 알킬과 같은 알킬, 알케닐, 알콕시 및 아릴에서 선택되며; R3는 임의로 치환된 C1 내지 C6 알킬과 같은 알킬에서 선택되며, 통상적으로 메틸이다. 적합한 식 (G-I) 가교결합제는 예를 들면 하기 구조의 화합물을 포함한다:
Figure pat00002
Figure pat00003
Figure pat00004
Figure pat00005
다른 적합한 가교결합제는 예컨대, 하기 일반식 (G-II)의 화합물들을 포함한다:
Figure pat00006
식에서, R1, R2, R3 및 R4는 서로 독립적으로 수소 및 임의로 치환된 C1 내지 C6알킬과 같은 알킬, 알케닐, 알콕시 및 아릴에서 선택되며; R5는 임의로 치환된 C1 내지 C6알킬과 같은 알킬에서 선택되며, 통상적으로 메틸이다. 적합한 식 (G-II) 가교결합제는 예를 들면 하기 구조의 화합물을 포함한다:
Figure pat00007
Figure pat00008
Figure pat00009
Figure pat00010
Figure pat00011
다른 적합한 가교결합제는 예컨대, 하기 일반식 (G-III)의 화합물들을 포함한다:
Figure pat00012
식에서, R는 임의로 치환된 C1 내지 C6알킬과 같은 알킬에서 선택되며, 통상적으로 메틸이다.
가교결합제는 통상 조성물내에서, 조성물의 총 고형물에 대해 5 내지 20 wt%, 예를 들면 5 내지 15 wt%의 양으로 존재한다.
본 조성물은 추가적으로 하나 이상의 3 또는 더 고도의 기능성 1차 아민, 즉 3개 이상의 1차 아민기를 포함하는 아민을 포함한다. 1차 아민기 이외에 2차 및/또는 3차 아민기도 존재할 수 있다. 이 성분은 포토레지스트 패턴의 표면에서 본 조성물의 성분들간에 산-촉매 반응에 대한 퀀처(quencher)로 작용하는 것으로 사료된다. 1차 아민은 임의의 다기능 방향족 메탄올과 반응하여 레지스트 표면상에 가교결합된 층의 형성에서 추가의 가교결합을 결과할 수 있다. 1차 아민은 디아민, 트리아민 또는 테트라아민과 같은 폴리아민일 수 있다. 적합한 1차 아민은 하기 식 (N-I) 화합물을 포함한다:
Figure pat00013
식에서, R은 메틸, 에틸 또는 프로필과 같은 임의로 치환된 C1 내지 C6 알킬과 같은 임의로 치환된 알킬에서 선택되며, 통상 에틸이다. 다른 적합한 1차 아민은 하기 식 (N-II)로 표시되는 폴리(알릴 아민)을 포함한다:
Figure pat00014
식에서, R1은 수소 및 임의로 치환된 C1 내지 C3 알킬과 같은 알킬;R2는 임의로 치환된 C1 내지 C6 알킬렌과 같은 알킬렌에서 선택되며, 통상 메틸렌 또는 에틸렌이며; n은 3 이상의 정수이다. 예시된 식 (N-II)의 1차 아민에서, R1은 수소이고, R2는 메틸렌이다.
1차 아민은 통상적으로 조성물내에서, 조성물의 총 고형물질에 대해 1 내지 5 wt%, 예를 들면 2 내지 3 wt%의 양으로 존재한다.
본 레지스트-경화 조성물은 조성물의 제제화 및 주형을 도우는 하나 이상의 용매를 더 포함한다. 적합한 용매 물질로는 조성물의 성분들을 용해시키거나 분산시키는 물질들을 포함하며, 이들은 밑에 있는 포토레지스트 패턴을 단지 최소한으로 용해시키거나, 더욱 바람직하게는 전혀 용해시키지 않는 물질들이다. 따라서 레지스트-경화 조성물을 형성하는데 유용한 용매는, 레지스트-경화 조성물이 적용되는 레지스트 패턴내 폴리머에 대해서는 좋은 용매가 아니다. 적합한 용매는 극성 및 비극성 물질을 포함한다. 적합한 극성 용매는, 예를 들면 하기의 용매들을 포함한다: 이소프로판올, n-부탄올, 2-부탄올, 이소부탄올, 2-메틸-1-부탄올, 이소펜타놀, 2,3-디메틸-1-부탄올, 4-메틸-2-펜탄올, 이소헥산올 및 이소헵탄올과 같은 C3 내지 C8 n-알콜들과 같은 알콜, 이들의 이성체 및 이들의 혼합물; 프로필렌글리콜과 같은 알킬렌 글리콜; 에소펜틸 에테르와 같은 알킬 에테르; 및 식 (E-I)와 같은 하이드록시 알킬 에테르:
R1-O-R2-O-R3-OH (E-I)
식에서, R1은 C1 내지 C4 알킬기와 같은 임의로 치환된 알킬기이고; 및 R2 및 R3는 서로 독립적으로 C2 내지 C4 알킬기와 같은 임의로 치환된 알킬기에서 선택된다; 및 이성체 혼합물을 포함하는 하이드록시 알킬 에테르 혼합물, 예를 들면, 디에틸렌글리콜모노메틸에테르 및 디프로필렌글리콜 모노메틸에테르와 같은 디알킬 글리콜 모노-알킬 에테르; 및 알콜 및 알킬 에테르과 같은 이들의 조합. 통상 알콜 및/또는 알킬 에테르를 사용한다.
적합한 비극성 용매는 예를 들면 하기를 포함한다: 지방족 탄화수소, 예를 들면 옥탄, 이소옥탄, 데칸 및 도데칸과 같은 알칸; 메시틸렌 및 크실렌과 같은 방향족 탄화수소 및 이들의 이성체; 및 이들의 조합.
용매 시스템에서 하나 이상의 용매는 개별적으로 실질적으로 순수한 형태로 존재할 수 있으며, 즉 용매 분자의 이성체가 용매내에 5 wt% 이하, 예를 들면 2 wt% 이하, 또는 1 wt% 이하의 양으로 존재한다. 임의로 상기 용매는 용매 분자의 이성체 혼합물을 포함할 수 있으며, 상기 이성체는 5 wt% 이상, 예를 들면 10 wt% 이상, 20 wt% 이상, 40 wt% 이상, 60 wt% 이상, 80 wt% 이상 또는 90 wt% 이상의 양으로 존재한다.
상기 용매는 통상 조성물내에서, 총 조성물에 대해 90 내지 98 wt%, 예를 들면 95 내지 97 wt%, 및 통상 약 96 wt%의 양으로 존재한다.
본 레지스트-경화 조성물은 또한 하나 이상의 임의의 성분을 함유할 수 있다. 예를 들면, 본 레지스트-경화 조성물은 임의로 하나 이상의 다기능 방향족 메탄올 유도체를 포함할 수 있다. 이 성분은 가교결합제로 가교결합하는 것으로 사료된다. 적합한 다기능 방향족 메탄올 유도체는, 예를 들면, 하기 일반식 (M-I) 벤젠 메탄올 유도체를 포함한다:
Figure pat00015
식에서, R1 및 R2는 서로 독립적으로 수소, 하이드록시 및 임의로 치환된 알킬, 알케닐, 알콕시 및 아릴에서 선택되며; n은 1 이상의 정수이다. 적합한 식 (M-I)의 다기능 방향족 메탄올 유도체는 하기 구조의 화합물들을 포함한다:
Figure pat00016
Figure pat00017
Figure pat00018
상기 다기능 방향족 메탄올 유도체는, 사용되는 경우, 통상 조성물내에서, 조성물의 총고형물에 대하여, 1 내지 10 wt%와 같이 12 wt% 까지의 양으로, 예를 들면, 3 내지 5 wt%의 양으로 사용된다.
본 레지스트 경화 조성물은 임의로 하나 이상의 계면활성제와 같은 첨가제를 더 포함할 수 있다. 레지스트-경화 조성물에서 첨가제의 사용은 패턴화된 웨이퍼와 같은 패턴화된 기판상에 조성물이 실질적으로 균일한 코팅층을 형성할 수 있도록 촉진할 수 있다. 다양한 계면활성제가 사용될 수 있다. 통상적인 계면활성제는 양쪽성의 성질을 나타내며, 즉 이들은 동시에 친수성 및 소수성일 수 있다. 양쪽성 계면활성제는 물에 대해 강한 친화성을 갖는 친수성 머리부분과 수수성이며 물을 밀어내는 긴 소수성 꼬리부분을 갖고 있다. 적합한 계면활성제는 이온성(즉, 음이온성, 양이온성) 또는 비이온성이다. 계면활성제의 추가적인 예로는 실리콘 계면활성제, 폴리(알킬렌 옥사이드) 계면활성제 및 POLYFOX®PF-636 AND PF-656 (Omnova Solutions Inc.)와 같은 플루오로화학 계면활성제를 포함한다. 적합한 비이온성 계면활성제는, 비제한적으로, TRITON®X-114, X-102, X-45, X-15와 같은 옥틸 및 노닐 페놀 에톡실리에트, 및 BRIJ®56 (C16H33 (OCH 2CH2)10OH)(ICl), BRIJ®58 (C16 H33(OCH2CH2) 20 OH)(ICl)와 같은 알콜 에톡실리에트를 포함한다. 더 나아가 예시적인 계면활성제는 알콜(1차 및 2차) 에톡실레이트, 아민 에톡실레이트, 글루코사이드, 클루카민, 폴리에틸렌글리콜, 폴리(에틸렌글리콜-공-프로필렌글리콜), 또는 McCutcheon's Emulsifiers and Detergents(North American Edition for the Year 2000 published by Manufacturers Confectioners Publishing Co. of Glen Rock, N.J)에 개시된 기타 계면활성제를 포함한다. 아세틸렌 디올 유도체와 같은 비이온성 계면활성제 또한 적합하다.
하나 이상의 계면활성제는 비교적 소량으로, 예를 들면, 조성물의 총고형물에 대하여 5 wt%, 4 wt%, 3 wt%, 2 wt%, 1 wt% 또는 0.5 wt% 이하의 양으로 적합하게 존재할 수 있다.
본 레지스트-경화 조성물은 구성성분들을 어떤 순서로든 혼합하여 적절하게 제조될 수 있다. 예를 들면 조성물의 비용매 성분, 즉 매트릭스 폴리머, 가교결합제, 3 또는 그 이상의 기능성 1차 아민 및 다기능 방향족 메탄올 유도체 및 계면활성제와 같은 임의의 성분들을 용매내에 넣고 혼합할 수 있다. 임의로 하나 이상의 비용매 성분들은 용매와 혼합한후 다른 성분들과 혼합될 수 있다.
포토레지스트 물질
바람직하게, 본 발명의 레지스트-경화 오버코트 층 조성물은, 다중 노광 리소그래피에서, 다양한 감광성 물질과 함께 사용될 수 있다. 본 명세서에서 "감광성 물질" 및 "포토레지스트"는 상호 호환적으로 사용된다. 적합한 포토레지스트 물질은 본 기술분야에 공지되어 있으며, 예를 들면, 아크릴레이트, 노보락 및 실리콘 화학에 기반한 물질들을 포함한다. 적합한 레지스트들은 예를 들면 미국 출원 공개 US 제20090117489A1호, US 제20080193872A1호, US 제20060246373A1호 및 미국 특허 제7,332,616호에 개시되어 있다.
본 발명의 다중 노광 리소그래피 공정에서 사용되는 감광성 물질들은 (i) 레지스트-경화 조성물에 의해 안정화될 포토레지스트 패턴의 형성에 사용되는 물질들을 포함하며, 통상 (ii) 전통적인 열처리에서 경화될 레지스트 패턴의 형성에 사용되는 물질들을 포함한다. 예를 들면, 통상적인 이중 노광 이중 패턴화 공정의 경우, 제1-형성된 레지스트 패턴은 통상 저온 열처리를 수반하여 레지스트-경화 조성물을 사용하여 화학적으로 경화되고, 반면 제2-형성된 레지스트 패턴은 전통적인 열처리 방법에 의해서만 경화될 수 있다.
(i) 및 (ii) 형태의 레지스트 패턴 양쪽을 형성하는데 유용한 통상적인 포토레지스트 물질은 포지티브-작용성의 화학적 증폭 포토레지스트(positive-acting chemically amplified photoresists)를 포함하는데, 이는 조성물내 하나 이상의 성분들의 산-반응성기(acid labile groups)의 포토애시드-촉진 탈보호 반응(photoacid-promoted deprotection reaction)이 진행되어 레지스트 코팅층의 노광된 영역이 노광되지 않은 영역보다 수성 현상제내에서 더욱 가용성으로 되게 한다.
포토레지스트 수지의 통상적인 포토 애시드-불안정기는 에스테르의 카르복실 산소에 공유적으로 결합된 3차 비사이클릭 알킬 탄소(예, t-부틸) 또는 3차 알리사이클릭 탄소(예, 메틸아다만틸)를 포함하는 에스테르를 포함한다. 아세탈 포토 애시드- 반응성기 또한 전형적이다. 포토레지스트는 통상적으로 수지 성분 및 광활성 성분을 포함한다. 통상적으로 수지는 레지스트 조성물에 알칼리 수성 현상성을 부여하는 기능기를 갖는다. 예를 들면, 통상적으로 수지 바인더는 하이드록실 또는 카르복실레이트와 같은 극성 기능기를 포함한다. 통상적으로 수지 성분은 레지스트가 수성 알칼리 용액으로 현상가능하도록 하기에 충분한 량으로 사용된다.
193 nm와 같이 200 nm 이하에서 이미징하기 위해, 통상적인 포토레지스트는 하나 이상의, 실질적으로, 본질적으로 또는 완전히 페닐 또는 다른 방향족기가 없는 하나 이상의 폴리머를 함유한다. 예를 들면, 서브-200 nm 이미징을 위해, 전형적인 포토레지스트 폴리머는 약 5 몰% 이하의 방향족 기, 약 1 또는 2 몰% 이하의 방향족 기를 함유하거나 또는 전혀 방향족기를 함유하지 않는다. 방향족기는 200 nm 이하의 광선을 고도로 흡수하기 때문에 단파 광선으로 이미징되는 포토레지스트에 사용되는 폴리머에 대해서 바람직하지 못하다.
실질적으로 또는 전적으로 방향족기가 없고, 200 nm 이하 이미징을 위한 포토레지스트를 제공하기 위한 포토 애시드 생성체(PAG)와 제제화될 수 있는 적합한 폴리머는 EP 제930542A1호 및 미국 특허 제6,692,888호 및 제6,680,159호에 개시되어 있다. 실질적으로 또는 전적으로 방향족기가 없는 적합한 폴리머는 메틸아다만틸아크릴레이트, 메틸아다만틸메타크릴레이트, 에틸펜칠아크릴레이트, 에틸펜틸메타크릴레이트 등의 중합화에 의해 제공될 수 있는 포토 애시드-반응성 아크릴레이트 단위와 같은 아크릴레이트 단위; 노보렌 화합물 또는 엔도사이클릭 탄소-탄소 이중결합을 갖는 다른 알리사이클릭 화합물의 중합화에 의해 제공될 수 있는 융합 비-방향성 알리사이클릭기; 말레익 안하이드라이드 및/또는 이타코닉 안하이드라이드의 중합화에 의해 제공될 수 있는 안하이드라이드 등을 적합하게 함유한다.
본 발명에서 유용한 레지스트의 수지 성분은 통상적으로 노광된 레지스트 코팅층이 수성 알칼리 용액 등으로 현상 가능하도록 하기에 충분한 양으로 사용한다. 특히, 수지 바인더는 바람직하게 레지스트 총 고형물의 약 50 내지 90 wt%를 포함한다.
본 발명에 유용한 레지스트 조성물은 또한 활성화 광선에 노광되었을때 레지스트의 코팅층에 잠상(latent image)을 생성하기에 충분한 양의 광활성 성분을 포함한다. 예를 들면, 광활성 성분은 바람직하게 레지스트 총 고형물의 약 1 내지 40 wt%의 양으로 존재한다. 통상, 광활성 성분의 양이 적을수록 화학적 증폭 수지로 적합하다.
레지스트 조성물내 통상적인 광활성 성분은 포토 애시드 생성체이다. 적합한 PAGs는 화학적 증폭 포토레지스트 분야에서 공지되어 있으며, 예를 들면 하기 물질들을 들 수 있다: 트리페닐 설포늄 염과 같은 오늄염, 예를 들면 트리페닐 설포늄 트리플루오로메탄설포네이트, (p-tert-부톡시페닐)디페닐설포늄 트리플루오로메탄설포네이트, 트리스(p-tert-부톡시페닐)설포늄 트리플루오로메탄설포네이트, 트리페닐설포늄 p-톨루엔설포네이트, (p-tert-부톡시페닐)디페닐설포늄 p-톨루엔설포네이트, 트리스(p-tert-부톡시페닐)설포늄 p-톨루엔설포네이트, 트리나프틸설포늄 트리플루오로메탄설포네이트, 사이클로헥실메틸(2-옥소사이클로헥실)설포늄 트리플루오로메탄설포네이트, (2-노르보닐)메틸(2-옥소사이클로헥실)설포늄 트리플루오로메탄설포네이트, 및 1,2'-나프틸카르보닐메틸테트라하이드로티오페늄 트리플루오로메탄설포네이트; 니트로벤질 유도체, 예를 들면 2-니트로벤질 p-톨루엔설포네이트, 2,6-디니트로벤질 p-톨루엔설포네이트, 및 2,4-디니트로벤질 p-톨루엔설포네이트; 설폰산 에스테르, 예를 들면, 1,2,3-트리스(메탄설포닐옥시)벤젠, 1,2,3-트리스(트리플루오로메탄설포닐옥시)벤젠, 및 1,2,3-트리스(p-톨루엔설포닐옥시)벤젠; 디아조메탄 유도체, 예를 들면, 비스(벤젠설포닐)디아조메탄, 비스(p-톨루엔설포닐)디아조메탄, 비스(2,4-디메틸페닐설포닐)디아조메탄, 비스(1,1-디메틸에틸설포닐)디아조메탄, 비스(사이클로헥실설포닐)디아조메탄, 및 비스(n-부틸설포닐)디아조메탄; 글리옥심 유도체, 예를 들면, 비스-O-(p-톨루엔설포닐)-α-디메틸글리옥심 및 비스-O-(n-부탄설포닐)-α-디메틸글리옥심; N-하이드록시이미드 화합물의 설폰산 에스테르 유도체, 예를 들면, N-하이드록시숙신이미드 메탄설폰산 에스테르, N-하이드록시숙신이미드 트리플루오로메탄설폰산 에스테르, N-하이드록시숙신이미드 1-프로판설폰산 에스테르, N-하이드록시숙신이미드 p-톨루엔설폰산 에스테르, N-하이드록시나프탈이미드 메탄설폰산 에스테르, 및 N-하이드록시나프탈이미드 벤젠설폰산 에스테르; 및 할로겐-함유 트리아진 화합물, 예를 들면, 2-(4-메톡시페닐)-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 2-(4-메톡시나프틸)-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 2-[2-(2-푸릴)에테닐]-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 2-[2-(5-메틸-2-푸릴)에테닐]-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 및 2-[2-(3,5-디메톡시페닐)에테닐]-4,6-비스(트리클로로메틸)-1,3,5-트리아진. 하나 이상의 이러한 PAGs가 사용될 수 있다.
본 레지스트의 통상적인 임의의 첨가제는 첨가된 베이스, 특히 테트라부틸암모늄 하이드록사이드(TBAH), 또는 테트라부틸암모늄 락테이트이며, 이들은 현상된 레지스트 릴리프 이미지의 해상도를 증가시킬 수 있다. 193 nm에서 이미징되는 레지스트를 위해서, 통상적으로 첨가되는 베이스는 디아자바이사이클로 운데센 또는 디아자바이사이클로노넨과 같은 방해 아민(hindered amine)이다. 첨가된 베이스는 바람직하게 비교적 소량으로, 예를 들면 전체 고형물에 대해 약 0.03 내지 5 wt%로 사용된다.
본 발명에 따른 포토레지스트는 또한 다른 임의의 물질을 포함할 수 있다. 예를 들면, 다른 임의의 첨가제로서 줄무늬 방지제(anti-striation agents), 가소제, 및 속도증강제를 포함한다. 이러한 임의의 첨가제는 비교적 고농도, 예를 들어 레지스트 건조 성분의 총중량에 대해 약 5 내지 30 wt%의 량으로 존재하는 충전제 및 염료를 제외하고는 통상 저농도로 존재한다.
네가티브-작용성 포토레지스트(Negative-acting photoresists) 또한 본 발명에서 예를 들면, (ii) 형태의 레지스트 패턴을 형성하는데 사용될 수 있다. 적합한 네가티브-작용성 포토레지스트는 통상 가교결합성분을 포함한다. 가교결합 성분은 통상 분리된 레지스트 성분으로서 존재한다. 아민계의 가교결합제로서는 예를 들면 사이멜 멜라민 수지와 같은 멜라민이 통상적이다. 본 발명에 유용한 음성-작용 포토레지스트 조성물은 산 노출에 의해 경화, 가교결합 또는 고화되는 물질의 혼합물 및 본 발명의 광활성 성분을 포함한다. 특히 유용한 네가티브-작용성 조성물은 페놀성 수지와 같은 수지 바인더, 가교결합 성분 및 광활성 성분을 포함한다. 이러한 조성물 및 이들의 사용은 유럽특허 EP 제0164248B1호 및 EP 제0232972B1와 미국 특허 제5,128,232호에 개시되어 있다. 수지 바인더 성분으로서 통상적으로 사용되는 페놀성 수지는 전술한 바와 같은 노보락 및 폴리(비닐페놀)을 포함한다. 통상적인 가교결합제는 멜라민, 글리코루릴을 포함하는 아민-베이스 물질, 벤조구아나민-베이스 물질 및 요소-베이스 물질들을 포함한다. 멜라민-포름알데하이드 수지가 일반적으로 가장 통상적으로 사용된다. 이러한 가교결합제는 상업적으로 입수가능하며, 예를 들면: 멜라민 수지는 사이텍 산업에 의해 상표명 Cymel 300, 301 및 303로 시판되고 있고; 글리코루릴 수지는 사이텍 산업에 의해 상표명 Cymel 1170, 1171, 1172로 시판되고 있으며; 요소-베이스 수지는 테크노 아펙스사에 의해 상표명 Beetle 60, 65 및 80로 시판되고 있고; 벤조구아나민 수지는 사이텍 산업에 의해 상표명 Cymel 1123 및 1125로 시판되고 있다. 200 nm 이하의 파장, 예를 들면 193 nm에서의 이미징을 위한, 통상적인 음성-작용 포토레지스트는 국제출원 공개 WO 03077029에 개시되어 있다.
본 발명에 유용한 포토레지스트는 일반적으로 하기의 알려진 과정으로 제조된다. 예를 들면, 포토레지스트 성분들을 예를 들면 2-메톡시에틸에테르(디글림), 에틸렌글리콜모노메틸에테르, 프로필렌글리콜 모노메틸에테르; 프로필렌글리콜모노메틸에테르아세테이트; 에틸락테이트 또는 메틸락테이트와 같은 락테이트; 프로피오네이트, 특히 메틸 프로피오네이트, 에틸 프로피오네이트 및 에틸 프로피오네이트 및 에틸 에톡시 프로피오네이트; 셀로솔브(Cellosolve)에스테르, 예를 들면 메틸 셀로솔브 아세테이트; 방향족 탄화수소 예를 들면 톨루엔 또는 크실렌; 또는 케톤 예를 들면 메틸에틸케톤, 사이클로헥사논 및 2-헵타논과 같은 적합한 용매에 녹여 코팅조성물로 제조될 수 있다. 통상적으로 포토레지스트의 고형물 양은 포토레지스트 조성물의 총중량에 대하여 5 내지 35 wt%로 다양하다. 이러한 용매 혼합물 또한 적합하게 사용될 수 있다.
본 발명의 방법 및 시스템은 다양한 이미징 파장, 예를 들면 서브-400 nm, 서브-300 또는 서브-200 nm의 노광 파장, 전형적인 노광 파장인 I-line (365 nm), 248 nm 및 193 nm 뿐 아니라 EUV 및 157 nm의 파장을 갖는 광선을 사용할 수 있다. 예시적 측면으로, 포토레지스트는 193 nm과 같은 서브-200 nm의 파장에서 이미징되고 사용하기 적합하다. 이러한 파장에서는 건식 과정도 사용될 수 있긴 하나 침지 리소그래피의 사용이 통상적이다. 침지 리소그래피에서, 1 내지 2의 굴절율을 갖는 유체(예, 침지 유체)가 노광 도구 및 노광중의 포토레지스트 층 사이에 유지된다. 통상 포토레지스트 층에 걸쳐 탑코트층(topcoat layer)이 증착됨으로써 침지 유체와 포토레지스트 층 사이에 직접적인 접촉을 방지하고 포토레지스트의 성분이 침지 유체내로 침출되지 않게 한다.
다중 노광 리소그래피
상술한 바와 같이, 본 발명의 추가의 면은 다중 노광 리소그래피 공정을 사용하는 전자 장치의 형성방법을 포함한다. 본 발명의 이러한 면은 본 발명의 예시적인 면에 따라 예시적인 단일 에칭 이중 노광 공정의 흐름을 나타낸 도 1A 내지 K를 참고로 기술될 것이다.
도 1A는 다수의 층 및 이의 표면에 형성된 소자를 포함할 수 있는 기판 (100)을 도시한 것이다. 기판은 반도체, 이를 테면 규소 또는 화합물 반도체 (예컨대 III-V 또는 II-VI), 유리, 석영, 세라믹, 구리 등과 같은 재료일 수 있다. 전형적으로, 기판은 단결정 규소 또는 화합물 반도체 웨이퍼와 같은 반도체 웨이퍼이다. 패턴화되는 하나 이상의 층 (102)은 기판 (100) 상에 제공된다. 이 층은 예를 들어, 하나 이상의 전도층, 이를 테면 알루미늄, 구리, 몰리브데늄, 탄탈륨, 티타늄, 텅스텐, 합금, 이들 금속의 질화물 또는 규소화물, 도핑된 비결정질 규소 또는 도핑된 폴리실리콘, 하나 이상의 유전층, 이를 테면 산화 규소, 질화 규소, 산질화 규소 또는 산화 금속 및 이의 조합물의 층을 포함할 수 있다. 에칭되는 층은 다양한 기술, 예컨대 화학증착법 (CVD), 이를 테면 플라즈마-촉진 CVD 또는 저압 CVD; 물리증착법 (PVD), 이를 테면 스퍼터링(sputtering) 또는 증발 또는 전기도금에 의해 형성될 수 있다. 에칭되는 하나 이상의 층 (102)의 특정 두께는 형성되는 특정 장치 및 재료에 따라 달라질 것이다.
에칭되는 특정 층 및 막 두께에 따라, 층 (102) 위에 하드 마스크 층 (hard mask layer) (103) 및/또는 하단 반사방지 코팅 (BARC) (104)이 배치되며, 그 위에 포토레지스트 층이 코팅되는 것이 바람직할 수 있다. 에칭되는 층이 상당한 에칭 깊이를 필요로 하고/하거나 특정 에천트(etchant)가 열악한 레지스트 선택성을 갖는 경우, 예를 들어 매우 얇은 레지스트 층을 갖는 하드 마스크 층을 사용하는 것이 바람직할 수 있다. 하드 마스크 층이 사용되는 경우, 형성되는 레지스트 패턴은 하드 마스크 층으로 옮겨질 수 있으며, 이는 차례로 밑에 있는 층 (102)를 에칭하기 위한 마스크로 사용될 수 있다. 적합한 하드 마스크 재료 및 형성 방법은 해당 분야에 공지이다. 전형적인 재료는 예를 들어, 텅스텐, 티타늄, 질화 티타늄, 산화 티타늄, 산화 지르코늄, 산화 알루미늄, 산질화 알루미늄, 산화 하프늄, 비결정성 탄소, 산질화 규소 및 질화 규소를 포함한다. 하드 마스크 층 (103)은 단일 층 또는 상이한 재료의 다수의 층을 포함할 수 있다. 하드 마스크 층은 예컨대 화학적 또는 물리적 증착 기술에 의해 형성될 수 있다.
하단 반사방지 코팅 (104)은 기판 및/또는 밑에 있는 층이 포토레지스트 노광 중에, 형성되는 패턴의 질에 악영향을 미칠 정도로 상당한 양의 입사 광선을 반사시키는 경우에 바람직할 수 있다. 이러한 코팅은 초점 심도, 노광 범위, 선폭 균일성 및 CD 제어를 개선시킬 수 있다. 반사방지 코팅은 전형적으로 레지스트가 심자외선(deep ultraviolet light) (300 nm 이하), 예컨대 KrF 엑시머 레이저선 (248 nm), ArF 엑시머 레이저선 (193 nm), 전자 빔 및 소프트 x-선에 노광되는 경우에 사용된다. 반사방지 코팅 (104)은 단일 층 또는 다수의 상이한 층을 포함할 수 있다. 적합한 반사방지 재료 및 형성 방법은 해당 분야에 공지이다. 반사방지 재료는 예를 들어, AR™40A 및 AR™124 반사방지제와 같이 미국 미시간주 미들랜드 소재의 다우 케미컬 컴패니(Dow Chemical Company)에 의해 AR 상표로 시판되는 것으로부터 상업적으로 입수할 수 있다.
상술된 바와 같은 제1 감광성 조성물은 (존재한다면) 반사방지 층 (104) 상부의 기판에 적용되어 제1 광감층 (106)을 형성한다. 제1 감광성 조성물은 회전-코팅, 디핑, 롤러-코팅 또는 다른 통상의 코팅 기술에 의하여 기판에 적용될 수 있다. 이들 중에, 회전-코팅이 전형적이다. 회전-코팅을 위해, 코팅 용액의 고체 함량은 사용되는 특정 코팅 장치, 용액의 점도, 코팅 도구의 속도 및 회전에 허용되는 시간에 기초하여, 원하는 막 두께를 제공하도록 조절될 수 있다. 제1 감광층 (106)의 전형적인 두께는 600 내지 1500Å이다. 제1 감광층은 이후에 층 중에 용매 함량을 최소화하기 위하여 소프트베이킹(softbake)되어, 비점착성(tack-free) 코팅을 형성하고, 기판에 대한 층의 접착력을 개선시킨다. 소프트베이킹은 핫플레이트 상에서나, 또는 오븐 내에서 수행될 수 있으며, 핫플레이트가 일반적이다. 소프트베이킹 온도 및 시간은 예를 들어 감광층의 특정 재료 및 두께에 따라 달라질 것이다. 전형적인 소프트베이킹은 90 내지 150 ℃의 온도 및 30 내지 90 초의 시간으로 수행된다.
제1 감광층 (106)이 침지 리소그래피 도구, 예컨대 193 nm 침지 스캐너로 노광되는 경우, 탑코트 (topcoat) 층 (나타내지 않음)이 감광층 (106) 위에 배치될 수 있다. 이 탑코트 층의 사용은 침지액 및 밑에 있는 감광층 사이의 배리어로 작용할 수 있다. 이 방식으로, 아마도 광학 렌즈의 오염과 유효 굴절률 및 침지액의 전파 특성의 변화를 유발할 수 있는 침지액으로의 감광성 조성물 성분의 침출이 최소화되거나 방지될 수 있다. 적합한 탑코트 조성물은 예컨대, 미국 특허 출원 공보 제2006/0246373A1호 및 2009년 12월 31일자 출원된 미국 특허 출원 제12/655,547호에 기술된 것과 같이 해당 분야에 공지이다. 이들 조성물은 감광성 조성물에 관하여 상술된 바와 같은 적합한 방법에 의하여 감광층 위에 적용될 수 있으며, 회전 코팅이 일반적이다. 탑코트 층 두께는 전형적으로 λ/4n (또는 이의 홀수 배수)(여기에서, λ는 노광 광선의 파장이며, n은 탑코트 층의 굴절률이다)이다. 탑코트 층이 존재하는 경우, 제1 감광층 (106)은 탑코트 적용 전보다는, 탑코트 층 조성물이 적용된 후에 소프트베이킹될 수 있다. 이 방식으로, 두 층으로부터의 용매는 단일 열 처리 단계에서 제거될 수 있다.
제1 감광층 (106)은 이후에 제1 포토마스크 (110)를 통해 활성화 광선 (108)에 노광되어, 노광 및 비노광 영역 사이의 가용성 차이가 생성된다. 기재된 바와 같이, 포지티브-작용성 재료(positive-acting material)에 대하여, 포토마스크는 이후의 현상 단계에서 제거되는 감광층의 영역에 상응하는 광학적으로 투명한 영역을 가질 수 있다. 노광 에너지는 전형적으로 노광 도구 및 감광성 조성물의 성분에 따라 1 내지 100 mJ/㎠이다. 본 명세서에서, 조성물을 활성화시키는 광선에 감광성 조성물을 노광시키는 것에 대한 참조는 조사선이 이를 테면, 광활성 성분의 반응을 유발함으로써, 예컨대 포토애시드(photoacid) 생성 화합물로부터 포토애시드를 생산함으로써, 감광성 조성물에서 잠상을 형성할 수 있는 것을 나타낸다. 감광성 조성물은 전형적으로 노광 단파장, 특히 서브-400 nm, 서브-300 또는 서브-200 nm 노광 파장, 전형적인 노광 파장인 I-선 (365 nm), 248nm 및 193 nm 뿐 아니라 EUV 및 157nm에 의해 광활성화된다.
제1 감광층 (106)의 노광 후에, 감광층의 노광후 베이킹 (PEB)이 층의 연화점보다 높은 온도에서 수행될 수 있다. PEB는 예컨대 핫플레이트 상에서 또는 오븐 내에서 수행될 수 있다. PEB에 대한 조건은 예컨대 감광층의 특정 재료 및 두께에 따라 달라질 것이다. PEB는 전형적으로 80 내지 150 ℃의 온도 및 30 내지 90 초의 시간으로 수행된다.
노광된 감광층 (106)은 이후에 현상되어 도 1B에 나타낸 바와 같이 제1 레지스트 패턴 (106')을 형성한다. 현상제 재료는 감광층 (106)의 특정 재료에 따라 달라질 것이나, 적합한 현상제 및 현상 기술은 해당 분야에 공지이다. 전형적인 현상제는 예컨대 4차 수산화 암모늄 용액, 이를 테면 수산화 테트라-알킬 암모늄 용액, 이를 테면 0.26 N 수산화 테트라메틸암모늄과 같은 수계 현상제를 포함한다.
현상 후에, 제1 레지스트 패턴 (106')은 레지스트로부터 용매를 추가로 제거하고, 1차 아민 성분을 가교 결합시키기 위하여 임의로 탈수 베이킹이 수행될 수 있다. 탈수 베이킹은 핫플레이트 또는 오븐으로 수행될 수 있으며, 전형적으로 100 내지 150 ℃의 온도와 30 내지 90초의 시간으로 수행된다. 상술된 조성물로부터 형성되는 레지스트 경화 조성물 오버코트 층 (112)은 도 1C에 나타낸 바와 같이 이후에 BARC 층 (104) 및 제1 레지스트 패턴 (106') 위에 적용된다. 레지스트 경화 조성물은 회전 코팅, 디핑, 롤러 코팅 또는 다른 통상의 코팅 기술에 의해 기판에 적용될 수 있으며, 회전 코팅이 일반적이다. 레지스트 경화 조성물 층 (112)은 제1 레지스트 패턴 (106')을 완전히 덮기에 충분한 두께로 적용된다. 레지스트 경화 조성물 층에 전형적인 두께는 밑에 있는 레지스트 층 두께의 1 내지 2배, 예컨대 밑에 있는 레지스트 층 두께의 1.01 내지 1.3배이다.
도 1D를 참고하여, 레지스트 경화 조성물의 적용 후에, 기판은 제1 레지스트 패턴 (106')의 적어도 표면 영역 (106")을 경화하기에 유효하게 열 처리될 수 있다. 오버코트 열 처리는 예컨대 핫플레이트 또는 오븐에서 수행될 수 있다. 열 처리의 조건이 예컨대 특정 레지스트 경화 조성물 및 두께에 따라 달라질 것이나,전형적인 조건은 110 내지 180 ℃, 예컨대 120 내지 155 ℃, 또는 125 내지 140 ℃의 온도 및 30 내지 90초의 가열 시간을 포함한다.
도 1E를 참고하여, 과량의 레지스트 경화 조성물 (112)은 이후에 재료를 용해시키기에 유효한 재료를 사용하여 헹굼으로써 기판 표면으로부터 제거된다. 레지스트 경화 조성물에 적합한 제거제는 예컨대 탈이온수 및/또는 4차 수산화 암모늄 용액, 이를 테면 수산화 테트라-알킬 암모늄 용액, 이를 테면 0.26 N 수산화 테트라메틸암모늄과 같은 수계 현상제를 포함한다. 기판은 이후에 임의로 이로부터 잔류 액체의 제거를 위하여 추가로 탈수 베이킹될 수 있다. 탈수 베이킹은 핫플레이트 또는 오븐으로 수행될 수 있으며, 전형적으로 120 내지 180 ℃의 온도와 30 내지 90초의 시간으로 수행될 수 있다.
상술된 제2 감광성 조성물은 도 1F에 나타낸 바와 같이 제1 레지스트 패턴 (106') 및 BARC 층 (104) 위에 코팅되어, 제2 감광층 (114)을 형성한다. 제2 감광성 조성물은 제1 감광성 조성물과 동일하거나 상이할 수 있으며, 달리 언급되는 경우를 제외하고, 제1 감광층에 대하여 상술된 재료 및 조건을 포함하는 동일한 방식으로 적용 및 처리될 수 있다. 제2 감광층은 이후에 소프트베이킹될 수 있다. 제2 감광층 (114)이 침지 리소그래피 도구를 사용하여 노광되는 경우, 상술된 탑코트 층 (나타내지 않음)이 제2 감광층 (114) 위에 배치될 수 있다. 탑코트 층이 사용되는 경우, 제2 감광층 (114)은 탑코트 층 조성물이 적용되기 전보다는 이것이 적용된 후에 소프트베이킹될 수 있다.
도 1(G)를 참고하여, 제2 감광층 (114)은 제2 포토마스크 (116)를 통해 활성화 광선 (108)에 노광된다. 기재된 포지티브-작용성 재료의 경우에, 포토마스크는 현상 후에 남아 있는 제2 감광층의 부분에 상응하는 광학적으로 불투명한 영역을 갖는다. 네가티브-작용성 재료의 경우, 광학적으로 불투명한 영역은 현상되는 레지스트 부분에 상응할 것이다. 노광된 제2 감광층 (114)은 노광후 베이킹에서 열 처리되며, 제1 레지스트 패턴 (106')의 선 사이에 배치된 비하인드(behind) 레지스트 선을 남겨두고 현상되어, 도 1H에 나타낸 바와 같은 제2 레지스트 패턴 (114')을 형성한다. 제2 감광층의 조성에 따라, 감광성 조성물이 제1 감광성 조성물보다 더 낮은 활성화 에너지를 갖는 것이 바람직할 수 있다. 이 방식으로, 노광된 제2 감광층은 제1 감광층보다 더 낮은 온도에서 노광후 베이킹될 수 있다.
제2 감광층의 현상 후에, BARC 층 (104)은 제1 및 제2 레지스트 패턴(106', 114')을 동시에 에칭 마스크로서 사용하여 선택적으로 에칭되며, 밑에 있는 하드마스크 층 (103)이 노출된다. 이후 하드마스크 층은 다시 제1 및 제2 레지스트 패턴(106', 114')을 동시에 에칭 마스크로 사용하여 선택적으로 에칭되며, 도 1I에 나타낸 바와 같이 패턴화된 BARC 및 하드마스크 층 (104', 103')이 유발된다. BARC 층 및 하드마스크 층을 에칭하기에 적합한 에칭 기술 및 화학은 해당 분야에 공지이며, 예컨대 이들 층의 특정 재료에 따라 달라질 것이다. 반응성 이온 에칭과 같은 건성 에칭 공정이 일반적이다. 제1 및 제2 레지스트 패턴 (106', 114') 및 패턴화된 BARC 층 (104')은 이후에 공지의 기술, 예컨대 산소 플라즈마 ASH 처리를 사용하여 기판으로부터 제거된다.
도 1J에 나타낸 바와 같이, 에칭 마스크로서 하드마스크 패턴 (103')을 사용하여, 하나 이상의 층 (102)이 선택적으로 에칭된다. 밑에 있는 층 (102)을 에칭하기에 적합한 에칭 기술 및 화학은 해당 분야에 공지이며, 반응성 이온 에칭과 같은 건성 에칭 공정이 일반적이다. 패턴화 하드마스크 층 (103')은 이후에 공지의 기술, 예컨대 건성 에칭 공정, 이를 테면 반응성 이온 에칭을 사용하여 기판 표면으로부터 제거될 수 있다. 생성된 구조는 도 1K에 나타낸 바와 같이 고밀도 패턴의 에칭 소자 (102')이다.
대안적인 예시적 방법에서, 하드마스크 층을 사용하지 않고, 제1 및 제2 포토레지스트 패턴 (106', 114')을 사용하여 층 (102)을 직접 패턴화하는 것이 바람직할 수 있다. 레지스트 패턴을 사용한 직접적인 패턴화가 사용될 수 있는 지의 여부는 사용되는 재료, 레지스트 선택성, 레지스트 패턴 두께 및 패턴 크기와 같은 인자에 따라 달라질 것이다.
도 1을 참고하여 상술된 예시적인 공정이 제2 감광층에 대해 열 경화를 사용하나, 본 명세서에 기술된 레지스트 경화 조성물이 선택적으로 제2 층에 대하여 사용되어, 본 명세서에 기술된 레지스트 경화 조성물을 사용하여 제1 및 제2 감광층이 경화되도록 할 수 있는 것이 명백할 것이다.
또한, 예시된 공정이 단일 에칭 이중 노광 기술이나, 본 발명의 조성물 및 방법이 더 고도의 패턴화 공정, 예컨대 단일 에칭 삼중 노광 공정에도 적용될 수 있음이 명백할 것이다. 본 발명에 따른 삼중 또는 더 고도의 패턴화는 이중 패턴화에서 가능한 것보다 더 높은 밀도의 소자 생성을 가능하게 한다. 예시적인 삼중 패턴화 공정의 경우에, 각각의 포토레지스트 층을 이미지화하기 위한 3개의 포토리소그래피 공정이 사용될 수 있다. 이중 패턴화 공정에서와 같이, 제1 및 제2 레지스트 패턴이 형성되고, 제2 레지스트 패턴의 선은 각각 제1 레지스트 패턴의 근접한 선 사이에 배치된다. 제3 레지스트 패턴은 이후에 형성되며, 제1 및 제2 레지스트 패턴의 근접한 각각의 선 사이에 배치된 선을 갖는다. 제3 레지스트 패턴의 형성 후에, 제1, 제2 및 제3 레지스트 패턴 밑에 있는 하나 이상의 층이 단일 에칭 공정으로 에칭될 수 있다. 3중 패턴화 공정의 경우에, 제1 및 제2 레지스트 패턴은 상술된 레지스트 경화 조성물을 사용하여 경화될 수 있으며, 제3 레지스트 패턴은 통상의 방식으로 열 경화될 수 있으며, 예컨대 본 발명의 레지스트 경화 조성물을 사용하지 않고 레지스트 베이킹될 수 있다. 제3 레지스트 패턴은 선택적으로 본 발명에 따른 레지스트 경화 조성물을 사용하여 제1 및 제2 레지스트 패턴에서와 같이 안정화될 수 있다
다음 비제한적인 실시예는 본 발명을 예시적으로 설명한 것이다.
실시예 1-9: 조성물 제조
하기와 같이 원료원액을 제조하였다:
1. 폴리비닐피롤리돈(PVP) (평균 분자량 =10,000, 시그마-알드리치사)를 4-메틸-2-펜탄올 용매에 녹여 25 wt% 원액을 제조하였다(25 wt% PVP/75 wt% 용매);
2. CGPS 352 글리코우릴 가교결합제(시바 스페셜티 캐미컬사)를 4-메틸-2-펜탄올 용매에 녹여 5 wt% 원액을 제조하였다(5 wt% CGPS 352/ 95 wt% 용매);
3. TML-BPA-MF (5,5'-(1-메틸리덴)비스[2-하이드록시-1,3-벤젠디메탄올] (혼슈 캐미컬 산업, 일본)을 4-메틸-2-펜탄올 용매에 녹여 2 wt% 원액을 제조하였다(2 wt% TML-BPA-MF/95 wt% 용매); 및
4. 트리스(2-아미노에틸)아민(TAEA) (시그마-알드리치사)을 4-메틸-2-펜탄올 용매에 녹여 1 wt% 원액을 제조하였다 (1 wt% TAEA/ 91 wt% 용매).
상기 원액들을 부가적인 4-메틸-2-펜탄올과 함께 하기 표1에 보인 량으로 혼합하였다. 1500 rpm에서 코팅시 약 1000Å의 두께가 될 수 있도록, 3.3 wt% 고형물을 사용하여 각 제제를 40g 을 제조하였다. 이들 혼합물을 한 시간 동안 롤러에서 롤링하고 이후 0.2 마이크론 기공의 테플론 필터를 통해 여과하였다.
표 1
실시예 원액 그램 중량(총 고형물에 대한 wt %)
PVP CGPS 352 TML - BPA - MF TAEA 4-메틸-2-펜탄올
1 4.382 (83.0) 2.640 (10.0) 3.300 (5.0) 2.640 (2.0) 27.038
2 3.696 (70.0) 3.960 (15.0) 6.600 (10.0) 6.600 (5.0) 19.144
3 4.488 (85.0) 1.320 (5.0) 3.300 (5.0) 6.600 (5.0) 24.292
4 4.435 (84.0) 1.320 (5.0) 6.600 (10.0) 1.320 (1.0) 26.325
5 4.435 (84.0) 2.640 (10.0) 3.300 (5.0) 1.320 (1.0) 28.305
6 4.118 (78.0) 2.640 (10.0) 6.600 (10.0) 2.640 (2.0) 24.002
7 3.854 (73.0) 3.960 (15.0) 6.600 (10.0) 2.640 (2.0) 22.946
8 4.488 (85.0) 2.640 (10.0) 0.000 (0.0) 6.600 (5.0) 26.272
9 4.435 (84.0) 3.960 (15.0) 0.000 (0.0) 1.320 (1.0) 30.285
실시예 10: 이중 패턴 형성
웨이퍼 제조
300 mm 실리콘 웨이퍼는 하기와 같이 가공하였다. 웨이퍼는 ARTM 40A 항반사제(다우 케미컬 컴패니)와 함께 TEL CLEAN TRACKTMLITHIUSTMi+ 코팅제/현상제 상에서 회전코팅하여 제1 하단 항반사 코팅(BARC)을 형성하였다. 제1 BARC-코팅된 웨이퍼를 215℃에서 60초간 베이킹하여 두께 75 nm의 제1 BARC-필름을 얻었다. 상기 제1 BARC 상에 ARTM 124 항반사제(다우 케미컬 컴패니)를 사용하여 제2 BARC층을 코팅하였다. 웨이퍼를 205℃에서 60초간 가열하여 23 nm의 BARC 탑층을 생성하였다. 이들 웨이퍼를 사용하여, 후술하는 바와 같이, 후속의 제1 리소그래피(L1) 이미지를 패터닝하였다.
제1 리소그래피(L1 )
상기 이중 BARC-코팅된 웨이퍼상에 EPICTM 2096 포토레지스트(다우 캐미컬 컴패니)를 코팅하고, TEL CLEAN TRACKTMLITHIUSTMi+ 코팅제/현상제 상에서 120℃에서 60초간 소프트 베이킹(soft-baked; SB)하여, 두께 950 Å의 제1 레지스트 층을 얻었다. 제1 레지스트 층상에 탑코트층을 형성시키고, 개구수 1.35 및 쌍극자 조명(dipole illumination; 0.89 외부 시그마 / 0.76 내부 시그마)의 ASML TWINSCANTMXT:1900i 침지 스캐너를 사용하여 도 2A에 보인 바와 같은 선 및 공간 패턴을 갖는 이진 레티클(binary reticle)을 통해 노광시켰다. 레티클 상의 임계 수치(CD)는 90nm 피치에서 45 nm 라인을 포함한다(45nm 1:1 라인 및 공간). 도 2A에 도시된 바와 같이 패턴화된 선 및 공간이 각 다이내에서 수평방향으로 되도록 레티클을 배향하였다. 상이한 노출량을 사용하여 90nm 피치에서 다양한 CDs가 웨이퍼상에 인쇄되었다. 다이는 고정 초점 심도로, 일정하게 노출량을 변화시켜 이미징하였으며, 각 줄에서 웨이퍼상 노치가 하부에 위치되도록 왼쪽에서 오른쪽으로 노출량을 증가시켰다. 이후 상기 웨이퍼를 100℃에서 60초간 노광후 베이킹(PEB)하였으며, MEGAPOSITTM MF-26A 현상제(다우 캐미컬 컴패니)를 사용하여 12초간 현상하여 L1 패턴이 나오게 하였다.
L1 레지스트 이미지의 경화
상기 L1-패턴화된 웨이퍼를 120℃에서 60초간 탈수 베이킹 공정을 시행하였다. 이후 상기 웨이퍼를 상기 실시예 1-10의 각각의 레지스트-경화 조성물과 함께을 1500 rpm으로 회전-코팅하여 약 1000Å 두께(베어(bare) 실리콘 웨이퍼상에서 측정시)를 얻었다. 이후 상기 웨이퍼를 130℃에서 60초 가열하여 전술한 코팅기/현상기 상에서 L1 패턴을 경화하였다. 이후 웨이퍼를 MEGAPOSIT MF-26A 현상제로 세정하여 과잉의 레지스트-경화 조성물을 제거하였다.
제2 리소그래피( L2 )
상기 경화된 L1-패턴화된 웨이퍼를 150℃에서 60초간 탈수 베이킹 공정을 시행하였다. 이후 상기 웨이퍼를 EPICTM 2098 포토레지스트(다우 캐미컬 컴패니)로 코팅하고, 120℃에서 60초간 전술한 코팅제/현상제 상에서 소프트베이킹하여(SB), 두께 650Å의 필름을 생성하였다(베어 실리콘 웨이퍼상에서 측정시). 탑코트층을 제2 레지스트층 상에 형성하였다. 도 2B 및 2C에 보인 바와 같이, 상기 L1 과정에서와 동일한 스캐너, 세팅 및 레티클을 사용하고, 다만 웨이퍼를 상기 L1 배향에 대해 90도 회전하여, 상기 탑코트층 및 제2 레지스트층을 노광 및 현상하여 제2(L2)레지스트 패턴을 생성하였다. 생성되는 L2 패턴은 각 다이에서 노치가 아래로 되게 수직 방향으로 배향됨으로써, 도 2D에 보인 바와 같이 수평방향으로 배향된 L1 패턴의 선 및 공간과 함께 십자 그리드를 형성하였다.
실시예 11: 조성물 제조
23.4g의 PVP 원액(4-메틸-2-펜탄올내 25 wt%), 0.75g의 CGPS 352 (시바 스페셜티 캐미컬사), 0.75g의 1,4-벤젠디메탄올(시그마-알드리치사), 15g의 TAEA 원액(4-메틸-2-펜탄올내 1 wt%) 및 110.1g의 4-메틸-2-펜탄올을 200mL 유리병에 가하였다. 상기 혼합물을 롤러상에서 5시간 동안 롤링하고, 이후 0.2 마이크론 기공크기의 테플론 필터를 통과시켜 여과하여, 5 wt% 고형물을 갖는 150g 용액을 제조하였다.
실시예 12: 조성물 제조
6.24g의 PVP 원액 (4-메틸-2-펜탄올내 25 wt%), 10g의 CGPS 352 원액 (4-메틸-2-펜탄올내 2 wt%), 10g의 TML-BPA-MF 원액 (4-메틸-2-펜탄올내 2 wt%), 4g의 TAEA 원액 (4-메틸-2-펜탄올내 1 wt%) 및 9.75g의 4-메틸-2-펜탄올을 100mL 유리병에 가하였다. 상기 혼합물을 롤러상에서 5시간 동안 롤링하고, 이후 0.2 마이크론 기공크기의 테플론 필터를 통과시켜 여과하여, 5 wt% 고형물을 갖는 40g 용액을 제조하였다.
실시예 13: 조성물 제조
6.44g의 PVP 폴리머 원액(4-메틸-2-펜탄올내 25 wt%), 10g의 CGPS 352 원액 (4-메틸-2-펜탄올내 2 wt%), 7.5075g의 TML-BPA-MF 원액 (4-메틸-2-펜탄올내의 2 wt%), 4g의 TAEA 용액(4-메틸-2-펜탄올내 1 wt%) 및 12.0525g의 4-메틸-2-펜탄올을 100mL 유리병에 가하였다. 상기 혼합물을 롤러상에서 5시간 동안 롤링하고, 이후 0.2 마이크론 기공크기의 테플론 필터를 통과시켜 여과하여, 5 wt% 고형물을 갖는 40g 용액을 제조하였다.
실시예 14: 조성물 제조
37.184g의 PVP 폴리머, 4.48g의 CGPS 352, 2.24g의 TML-BPA-MF 및 955g의 4-메틸-2-펜탄올을 용기에 첨가하였다. 이 혼합물을 롤러상에서 7시간동안 롤링하고, 0.896g의 TAEA를 용기에 가하였다. 이 혼합물을 0.2 마이크론 기공크기의 테플론 필터를 통과시켜 여과하여, 4.48 wt% 고형물을 갖는 1000g 용액을 제조하였다.
실시예 총 고형물에 대한 wt % 조성물에 대한 고형물 wt %
PVP CGPS 352 TML - BPA - MF BDM TAEA
11 78 10 - 10 2 5
12 78 10 10 - 2 5
13 80.5 10 7.5 - 2 5
14 83 10 5 - 2 5
실시예 15: 이중 패턴 형성
실시예 11의 레지스트-경화 조성물을 사용하여, 하기사항을 제외하고는 상기 실시예 10에 전술한 과정으로 이중 패터닝을 수행하였다. EPICTM2096 포토레지스트 (다우 캐미컬 컴패니)를 양 L1 및 L2 포토레지스트 층에 대해 사용하였다. L1 레지스트는 1200Å 두께가 되도록 코팅하고, 레지스트-경화 조성물은 베어 실리콘 웨이퍼에 대해 1400Å 두께가 되게 하는 회전속도로 코팅하였다. 웨이퍼는 MEGAPOSIT MF-26A 현상제 또는 탈이온수로 세정하여 과잉의 레지스트-경화 조성물을 제거하였다. L2 레지스트는 베어 실리콘 웨이퍼에 대해 1000Å 두께가 되게 하는 회전속도로 코팅하였다.
실시예 16: 이중 패턴 형성
실시예 11-14의 레지스트-경화 조성물을 사용하여, EPICTM 2098 포토레지스트 (다우 캐미컬 컴패니)를 L2 레지스트로서 사용하는 것을 제외하고는 상기 실시예 15와 동일한 과정으로 이중 패터닝을 수행하였다.
본 발명을 특정 실시형태를 참조하여 상세히 설명하였으나, 본 청구범위의 범위내에서, 다양하게 변화 및 변경하거나 균등물을 채용할 수 있음은 당업자에게 명백할 것이다.

Claims (10)

  1. 매트릭스 폴리머;
    가교결합제;
    3 또는 더 고도의 기능성 1차 아민; 및
    용매를 포함하는, 다중 노광 리소그래피 공정에 사용하기에 적합한 조성물.
  2. 제1항에 있어서, 다기능 방향족 메탄올 유도체를 더 포함하는 조성물.
  3. 제1항 또는 제2항에 있어서, 매트릭스 폴리머가 알콜-가용성 및 수계-가용성인 조성물.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 가교결합제가 하기 식 (G-I), (G-II) 및 (G-III)에서 선택되는 식에 의해 표시되는 화합물인 조성물:
    Figure pat00019

    {상기 식에서 R1 및 R2는 서로 독립적으로 수소 및 임의로 치환된 알킬에서 선택되며, R3는 임의로 치환된 알킬에서 선택된다);
    Figure pat00020

    (상기 식에서, R1, R2, R3 및 R4는 서로 독립적으로 수소, 임의로 치환된 C1 내지 C6알킬과 같은 알킬, 알케닐, 알콕시 및 아릴에서 선택되며, R5는 임의로 치환된 알킬에서 선택된다);
    Figure pat00021

    (상기 식에서, R은 임의로 치환된 알킬에서 선택된다).
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 1차 아민이 폴리아민 또는 폴리(알릴 아민)인 조성물.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 용매가 알콜 및/또는 알킬 에테르를 포함하는 조성물.
  7. (a) 하나 이상의 패턴화될 층들을 포함하는 반도체 기판을 제공하는 단계;
    (b) 하나 이상의 패턴화될 층상에 제1 감광성 조성물 층을 적용하는 단계;
    (c) 제1 감광성 조성물 층을 제1 포토마스크를 통해 활성화 광선에 노광시키는 단계;
    (d) 제1 감광성 조성물의 노광층을 제1 노광후 베이킹에서 열처리하는 단계;
    (e) 노광되고, 열처리된 제1 감광성 조성물 층을 현상하여 제1 레지스트 패턴을 형성하는 단계;
    (f) 하나 이상의 패턴화될 층 및 제1 레지스트 패턴 상에, 매트릭스 폴리머; 가교결합제; 3 또는 더 고도의 기능성 1차 아민; 및 용매를 포함하는 레지스트-경화 조성물층을 적용하는 단계;
    (g) 레지스트-경화 조성물 코팅된 기판을 열처리하여 제1 레지스트 패턴의 최소한 일부분을 경화하는 단계;
    (h) 기판에서 과잉의 레지스트-경화 조성물을 제거하는 단계;
    (i) 하나 이상의 패턴화될 층 및 제1 레지스트 패턴 상에 제2 감광성 조성물층을 적용하는 단계;
    (j) 제2 감광성 조성물층을 제2 포토마스크를 통해 활성화 광선에 노광시키는 단계;
    (k) 상기 제2 감광성 조성물의 노광층을 제2 노광 후 베이킹에서 열처리하는 단계;
    (l) 상기 노광되고 열처리된 제2 감광성 조성물층을 현상하여 제2 레지스트 패턴을 형성하는 단계; 및
    (m) 상기 제1 및 제2 레지스트 패턴을 동시에 에칭 마스크로서 사용하여 하나 이상의 패턴화될 층들을 에칭하는 단계를 포함하는,
    다중 노광 리소그래피 공정을 사용하여 전자장치를 형성하는 방법.
  8. 제7항에 있어서, 과잉 레지스트-경화 조성물이 반도체 기판에서 수계 세정으로 제거되는 방법.
  9. 제7항 또는 제8항에 있어서, (h) 및 (i) 단계 사이에 기판을 베이킹하는 단계를 더 포함하는 방법.
  10. 제7항 내지 제9항 중 어느 한 항에 있어서, 제1 노광후 베이킹이 제2 노광후 베이킹보다 더 고온에서 수행되는 방법.
KR1020100047146A 2009-05-19 2010-05-19 다중 노광 광리소그래피용 조성물 및 방법 KR101742573B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US21660909P 2009-05-19 2009-05-19
US61/216,609 2009-05-19

Publications (2)

Publication Number Publication Date
KR20100124680A true KR20100124680A (ko) 2010-11-29
KR101742573B1 KR101742573B1 (ko) 2017-06-01

Family

ID=43124843

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100047146A KR101742573B1 (ko) 2009-05-19 2010-05-19 다중 노광 광리소그래피용 조성물 및 방법

Country Status (3)

Country Link
US (1) US20100297851A1 (ko)
JP (1) JP5851085B2 (ko)
KR (1) KR101742573B1 (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5793399B2 (ja) * 2011-11-04 2015-10-14 富士フイルム株式会社 パターン形成方法及びその方法に用いる架橋層形成用組成物
JP6328931B2 (ja) * 2012-12-31 2018-05-23 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジストパターントリミング方法
CN103258794A (zh) * 2013-03-15 2013-08-21 上海华力微电子有限公司 防止光刻胶在湿法刻蚀中产生缺陷的工艺方法
CN104078417A (zh) * 2013-03-28 2014-10-01 中芯国际集成电路制造(上海)有限公司 自对准双构图方法及nand闪存的金属互连结构
US8791024B1 (en) * 2013-05-14 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method to define multiple layer patterns using a single exposure
US9252048B2 (en) 2013-05-14 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Metal and via definition scheme
US9081312B2 (en) 2013-05-14 2015-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method to define multiple layer patterns with a single exposure by E-beam lithography
CN104157565B (zh) * 2013-05-14 2017-04-12 台湾积体电路制造股份有限公司 通过电子束光刻利用单次曝光限定多层图案的方法
US9412647B2 (en) 2013-09-11 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Via definition scheme
US9679803B2 (en) * 2014-01-13 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming different patterns in a semiconductor structure using a single mask
US9257282B2 (en) 2014-05-02 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
US9595448B2 (en) * 2015-06-29 2017-03-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for cleaning plasma processing chamber and substrate
US11487206B2 (en) 2019-12-30 2022-11-01 Texas Instruments Incorporated Methods and apparatus for digital material deposition onto semiconductor wafers
CN116053116B (zh) * 2023-01-28 2023-07-11 合肥晶合集成电路股份有限公司 一种半导体器件图形化的方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7923198B2 (en) * 2002-08-14 2011-04-12 Fujitsu Limited Method of manufacturing fine T-shaped electrode
US7399582B2 (en) * 2003-07-17 2008-07-15 Az Electronic Materials Usa Corp. Material for forming fine pattern and method for forming fine pattern using the same
JP4679997B2 (ja) * 2004-08-31 2011-05-11 Azエレクトロニックマテリアルズ株式会社 微細パターン形成方法
JP4676325B2 (ja) * 2005-02-18 2011-04-27 富士通株式会社 レジストパターン厚肉化材料、レジストパターンの形成方法、半導体装置及びその製造方法
JP5138916B2 (ja) * 2006-09-28 2013-02-06 東京応化工業株式会社 パターン形成方法
WO2008070060A2 (en) * 2006-12-06 2008-06-12 Fujifilm Electronic Materials U.S.A., Inc. Device manufacturing process utilizing a double pattering process
KR20100014831A (ko) * 2007-03-16 2010-02-11 제이에스알 가부시끼가이샤 레지스트 패턴 형성 방법 및 그에 사용하는 레지스트 패턴 불용화 수지 조성물
US7923202B2 (en) * 2007-07-31 2011-04-12 International Business Machines Corporation Layer patterning using double exposure processes in a single photoresist layer
JP4973876B2 (ja) * 2007-08-22 2012-07-11 信越化学工業株式会社 パターン形成方法及びこれに用いるパターン表面コート材
US20100028803A1 (en) * 2008-08-01 2010-02-04 Fujifilm Corporation Surface treating agent for resist pattern formation, resist composition, method of treating surface of resist pattern therewith and method of forming resist pattern

Also Published As

Publication number Publication date
US20100297851A1 (en) 2010-11-25
JP2011039491A (ja) 2011-02-24
KR101742573B1 (ko) 2017-06-01
JP5851085B2 (ja) 2016-02-03

Similar Documents

Publication Publication Date Title
KR101742573B1 (ko) 다중 노광 광리소그래피용 조성물 및 방법
KR101967189B1 (ko) 자기 정렬 스페이서를 포함하는 기판
TWI420571B (zh) 形成電子裝置的方法
TWI442453B (zh) 形成電子裝置之方法
TWI584061B (zh) 多重圖案的形成方法
KR101680721B1 (ko) 포토리소그래픽 패턴 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant